KR19980080844A - 기판온도 제어장치 및 방법 - Google Patents

기판온도 제어장치 및 방법 Download PDF

Info

Publication number
KR19980080844A
KR19980080844A KR1019980010965A KR19980010965A KR19980080844A KR 19980080844 A KR19980080844 A KR 19980080844A KR 1019980010965 A KR1019980010965 A KR 1019980010965A KR 19980010965 A KR19980010965 A KR 19980010965A KR 19980080844 A KR19980080844 A KR 19980080844A
Authority
KR
South Korea
Prior art keywords
substrate
temperature control
temperature
control body
control device
Prior art date
Application number
KR1019980010965A
Other languages
English (en)
Other versions
KR100519613B1 (ko
Inventor
스가야마사카즈
무라이후미오
가네코유타카
가네토모마사후미
히라사와시게키
와타나베도모지
야마모토다츠하루
구로다가츠히로
Original Assignee
가나이츠토무
히다치세사쿠쇼(주)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가나이츠토무, 히다치세사쿠쇼(주) filed Critical 가나이츠토무
Publication of KR19980080844A publication Critical patent/KR19980080844A/ko
Application granted granted Critical
Publication of KR100519613B1 publication Critical patent/KR100519613B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Abstract

반도체장치 등을 제조하는 리도그래피공정에 적용해서 적합한 기판온도 제어장치 및 방법에 관한 것으로서, 기판의 온도를 균일화하고 또한 승온(강온)시간을 단축할 수 있는 새로운 기판온도 제어장치를 제공하기 위해서, 여러개의 돌기부를 표면에 갖는 기판의 온도설정을 위한 온도제어체와 기판을 온도제어체의 방향으로 흡착하는 것에 의해 기판을 여러개의 돌기부에 접촉시켜서 고정시키는 흡착기구를 구비하는 구성으로 하였다.
이러한 구성으로 하는 것에 의해, 피가열 또는 피냉각기판의 변형을 교정하는 것이 가능하게 되고 접촉부의 접촉열 저항을 소정의 값으로 이면에 균일하게 유지하는 것이 가능하게 되어 기판면의 온도분포의 균일성을 향상시킬 수 있고, 또, 승온(강온)시간을 단축하는 것이 가능하게 되어 제조공정의 스루풋을 향상시킬 수 있다는 효과가 얻어진다.

Description

기판온도 제어장치 및 방법
본 발명은 기판을 가열 또는 냉각하는 기술에 관한 것으로서, 특히 반도체장치 등을 제조하는 리도그래피공정에 적용해서 적합한 기판온도 제어장치 및 방법에 관한 것이다.
반도체장치의 제조공정에는 기판을 가열 및 냉각하는 공정이 포함되고, 특히 리도그래피공정에서는 수회의 가열이 실행된다. 기판을 가열하는 장치의 예로서 가열체에 진공흡인기구를 마련하고, 기판을 가열체에 밀착시키는 도포장치가 있다(예를 들면, 일본국 특허공개공보 소화62-53773호 참조). 또, 기판을 가열체에서 조금 부상해서 가열하는 근접방식(proximity mode)을 채용한 장치의 예도 많이 있고(공업조사회 발행[전자재료]지 1994년 별책, pp.77∼83 참조), 예를 들면 도 13에 도시한 레지스트 베이크용 반도체웨이퍼 가열장치(근접 베이크 유닛)이 있다. 동일장치는 기판(반도체웨이퍼)에 레지스트를 도포한 후의 공정에서 사용하는 것으로서 동일 도면은 장치의 개념도를 도시하고 있다. 도면 중, (1)은 레지스트가 도포된 반도체웨이퍼이고, 웨이퍼(1)은 리프트핀(8) 및 이것을 상하 이동시키는 액츄에이터(9)에 의해 구성되는 승강기구상으로 반송된 후, 가열체(51) 표면에 배치된 작은 블럭(52) 상에 탑재된다. 가열체(51)은 내부에 히터소자(4)가 배치되어 있고, 열전대(6) 및 온도조정기(7)로 이루어지는 온도제어부에 의해 소정의 온도로 유지된다. 웨이퍼(1)은 블럭(52)에 의해 가열체(51)에서 0.1㎜정도 부상해서 배치된다.
상기의 가열장치가 예를 들면 노출부분이 온도에 극히 민감하게 반응하는 화학증폭형 레지스트를 대상으로 한 묘화후의 후노출 베이크(이하, [PEB]라 한다)처리에 사용되는 경우, 온도의 편차는 ±0.8℃정도로 제어되고, 또 근접방식으로 기판 상부의 기류를 제어하는 것을 부가한 방식에서는 ±0.3℃정도로 제어된다.
그러나, 근래 반도체장치의 고집적화에 따라 PEB처리의 온도편차는 더욱 엄격한 값이 요구되게 되었고, 종래의 가열장치에서는 이와 같은 요구에 응답할 수 없다는 문제가 발생하고 있다. 또, 웨이퍼는 대구경화(大口徑化)가 진행되고 있고 온도편차의 억압이 한층더 곤란하게 되고 있다.
또, 웨이퍼의 대구경화는 열용량의 증대를 초래하고 그 때문에 원하는 온도에 도달하는데 시간이 걸리는 즉 승온시간이 증가한다는 새로운 문제를 야기시키고 있다. 8인치 크기의 웨이퍼를 목표온도인 60℃∼150℃까지 승온시키는데 약 60초의 시간을 소요하고 있다. 승온시간의 증가는 제조공정의 스루풋(제조능률)을 열화시킨다.
가열체에서 기판으로의 열전달은 기판의 가열체로 부터의 부상거리가 상기와 같이 미소한 경우, 기체의 대류에 의한 열전달이 무시되고 푸리에법칙에 따른 기체의 열전도가 지배적으로 된다. 그와 같은 열전도에 의한 경우에는 후술하는 바와 같이 기판과 가열체의 온도차가 부상거리에 비례한다. 따라서, 기판의 국부적인 부상거리의 편차가 기판에 온도편차를 부여하게 된다.
부상거리의 편차는 주로 기판의 변형에 의해 발생한다. 반도체웨이퍼의 변형은 여러가지 프로세스를 거치는 것에 의해 증대하고, 그 형상은 복잡하고 예측불가능한 것이다. 또, 변형은 웨이퍼의 대구경화에 따라 확대되는 것을 피할 수 없다. 그 때문에, 변형을 교정하는 것이 고려된다.
상기 공보에 개시되어 있는 기판을 가열체에 밀착시키는 방법은 기판의 변형을 교정하는 1개의 방법이지만, 기판의 이면 및 가열체의 표면에 피착하는 이물의 영향을 피할 수 없어 항상 안정하게 편차를 억제하는 것이 곤란하다.
이상에서는 가열장치에 대해서만 설명했지만, 냉각장치의 경우도 가열체가 냉각체로 대체되는 것에 의해 열의 전달방향이 반대로 될뿐이고, 문제점 및 과제는 동일하다. 이하, 가열과 냉각을 총괄해서 [온도제어]라고 하기로 한다.
본 발명의 목적은 종래기술의 상기 문제점을 해결하고, 기판의 온도를 균일화하고 또한 승온(강온)시간을 단축할 수 있는 새로운 기판온도 제어장치를 제공하는 것이다.
도 1은 본 발명에 관한 기판온도 제어장치의 제1 실시예를 설명하기 위한 단면도,
도 2는 제1 실시예의 돌기부를 구비한 가열체를 설명하기 위한 사시 단면도,
도 3은 제1 실시예의 효과를 설명하기 위한 곡선도,
도 4는 본 발명의 제2 실시예를 설명하기 위한 사시 단면도,
도 5는 본 발명의 제3 실시예를 설명하기 위한 단면도,
도 6은 본 발명의 제4 실시예를 설명하기 위한 단면도,
도 7은 본 발명의 제5 실시예를 설명하기 위한 단면도,
도 8의 (a)는 본 발명의 제6 실시예를 설명하기 위한 제1 단면도,
도 8의 (b)는 본 발명의 제6 실시예를 설명하기 위한 제2 단면도,
도 8의 (c)는 본 발명의 제6 실시예를 설명하기 위한 제3 단면도,
도 8의 (d)는 본 발명의 제6 실시예를 설명하기 위한 제4 단면도,
도 9의 (a)는 본 발명의 제7 실시예를 설명하기 위한 제1 단면도,
도 9의 (b)는 본 발명의 제7 실시예를 설명하기 위한 제2 단면도,
도 9의 (c)는 본 발명의 제7 실시예를 설명하기 위한 제3 단면도,
도 9의 (d)는 본 발명의 제7 실시예를 설명하기 위한 제4 단면도,
도 10은 본 발명의 제8 실시예를 설명하기 위한 단면도,
도 11은 본 발명의 제9 실시예를 설명하기 위한 사시도,
도 12는 본 발명의 제10 실시예를 설명하기 위한 공정 흐름도,
도 13은 종래의 기판가열장치를 설명하기 위한 단면도.
본 발명의 상기 과제는 동일평면을 이루는 돌기부를 표면에 갖는 온도제어체(가열체 또는 냉각체)와 기판을 온도제어체의 방향으로 흡착하는 것에 의해 이 기판을 돌기부상에 고정시키는 기판흡착기구를 마련하는 것에 의해 효과적으로 해결할 수 있다.
돌기부를 마련하는 것에 의해 기판과 온도제어체 사이에는 돌기부가 접하고 있는 접촉부를 거친 열전달과 기체층으로 되어 있는 비접촉부를 거친 열전달이 형성되지만, 기체에 비해 돌기부의 열전도가 매우 크므로 돌기부를 거친 열전달이 지배적으로 된다. 따라서, 접촉부의 열전달을 기판의 전면에 거쳐서 균일화하는 것에 의해 기판온도를 균일화할 수 있다. 또, 열전달의 효율이 종래의 기체층을 거치는 경우에 비해 현저하게 높아지므로, 승온(강온)시간을 단축할 수 있다. 또, 기판의 부상거리는 동일 평면을 이루는 돌기부에 의해 규제되어 정합되므로, 기판은 그 변형이 교정되어 평탄하게 된다.
단, 돌기부를 사용해서 기판을 고정시키는 제안은 일본국 특허공개공보 소화62-45378호에 의해 공개되어 있어 공지이다. 그러나, 동일 공보에 의한 장치는 단순한 도포장치로서 회전대의 진공용 홈에서 기판을 떼어내어 홈을 갖는 회전대에 밀착한 경우에 발생하는 기판의 온도불균일을 억제하는 것을 목적으로 하고 있다. 그 때문에, 온도제어체로 부터의 온도전달을 이용한 기판의 가열(냉각)에 대해서는 일체 언급하고 있지 않고, 가열(냉각)하는 기판의 온도편차를 억제하는 것은 불가능하다.
또한, 본 발명에 있어서 접촉부의 면적이 넓을 수록 열전달의 효율은 높아지지만, 접촉부에 있어서 기판의 이면 및 가열체의 표면에 피착하는 이물의 영향이 나타나 온도편차를 초래할 확률이 높아진다. 접촉부 면적의 이면 전체면적에 있어서 차지하는 비율의 바람직한 상한은 실험결과 60%정도인 것이 판명되어 있다. 한편, 접촉부면적을 저감하면 열전달의 효율이 저하하여 승온(강온)시간의 증가를 초래한다. 접촉부 면적의 이면 전체면적에 있어서 차지하는 비율의 바람직한 하한은 실험결과 0.5%정도인 것이 판명되어 있다. 이 비율에서도 접촉부를 거친 열전달은 비접촉부를 거친 열전달보다 높다. 특히 바람직한 범위는 실험결과 20%∼50%이었다.
기판과 온도제어체 사이의 접촉부를 거친 열전달은 접촉열 저항에 의해 지배된다. 그 때의 양자 사이에서 교환되는 열량Q는 접촉면적을 SC, 접촉열 저항을 RC로 하면, 식 1로 나타낼 수 있다.
Q=SC△T/RC
여기에서, 기판과 온도제어체 사이의 온도차가 장소에 관계없이 균일하다고 하면, 기판과 온도제어체로 교환되는 단위면적당 열량은 접촉열 저항RC에 반비례하고 그 편차에 의존한다. 일반적으로 잘 알려진 접촉열 저항RC를 산출하는 식으로서는 기판과 온도제어체의 각각의 접촉면의 거칠음(粗度)을 δ1, δ2, 각각의 열전도율을 λ1, λ2, 접촉압력을 P, 접촉부의 열저항을 RO, 브리넬경도를 H, 접촉면에 개재하는 기체의 열전도율을 λf로 할 때, 식 2로 나타낼 수 있다.
접촉면의 거칠음 δ1, δ2, 열전도율 λ1, λ2, λf, 브리넬경도 H는 물질고유의 값이고, 또 접촉부의 열저항RO는 경험적으로 구해지고 있다. 이 때문에, 접촉열 저항RC는 접촉압력P에 의해 결정된다. 따라서, 흡착압력P를 일정하게 관리하는 것이 바람직하고, 그와 같이 하는 것에 의해 압력변동에 따른 기판이면과 가열체 표면의 접촉상태의 변동을 저감시켜 일정한 접촉열 저항을 유지할 수 있다. 이 일정한 접촉열 저항에 의해 접촉부의 열전달이 기판의 전면에 걸쳐서 균일화되고 기판온도가 균일하게 된다.
그런데, 접촉부를 상세하게 보면, 돌기부와 기판 이면의 접촉면은 면거칠음을 형성하는 무수한 미소 돌기와 이들 돌기 사이를 메우는 미세한 기체공간으로 이루어져 있고 접촉열 저항을 형성하고 있다. 이것이 식 2의 λf를 포함하는 항에 나타내어져 있다. 기판의 온도제어체의 방향으로의 흡착을 예를 들면 진공흡착으로 실행하는 경우에는 기체공간의 압력을 저하시키게 되지만, 압력이 10Torr정도 이상이면 기체의 열전도율λf는 상압의 그것과 대략 동일하고 접촉열 저항의 변화가 적다. 그러나, 상기 정도 이하로 되면, 기체의 열전도율이 저하하고 접촉열 저항이 증대해서 열전달효율이 저하한다.
또한, 상기한 바와 같이 기체층에 의한 비접촉부의 열전달은 상대적으로 작지만, 여기에서 그 열량 관계에 대해서 설명한다. 기판의 부상거리(본 발명에서는 돌기부의 높이와 동일하다)와 온도제어체 사이의 기체에 의한 열전달은 대류에 의한 것과 열전도에 의한 것이 있다. 부상거리가 미소한 경우, 대류는 무시되고 주로 열전도에 의해 열전달이 실행된다. 기체의 열전도율을 λ, 돌기부가 접촉하고 있지 않은 기판의 비접촉부의 면적을 S, 기판과 온도제어체 사이의 온도차를 △T, 부상거리를 h로 하면, 비접촉부의 기체의 열전도에 의해 기판과 열제어체 사이에서 교환되는 열량QA는 푸리에법칙에 따라서 식 3으로 나타낼 수 있다.
QA=λS△T/h
이것에서 온도차 △T는 식 4로 된다.
△T=hQ/λS
식 3에서 부상거리h가 작을 수록 동일한 온도차△T에 대해 열량QA가 많다. 또, 식 4는 기판의 면의 국소에도 성립하기 때문에 부상거리h의 편차가 온도차△T의 편차로 되는 것이 나타난다. 본 발명에 있어서는 부상거리h가 동일평면을 이루는 돌기부에 의해 규제되어 정합되므로, 기체의 열전도에 따른 온도편차를 억제할 수 있다.
상기한 바와 같이, 돌기부를 거친 열전도가 지배적으로 되므로, 종래와 같은 기체층을 거친 열전도가 주로 되는 경우에 비해 돌기부의 높이에 부여하는 제한이 대폭으로 완화된다. 그러나, 진공흡착의 경우 1㎜를 초과하면 흡인되는 기체의 흐름에 흐트러짐이 발생하기 쉬워지고, 또 1㎛이하로 되면 밀착의 경우에 문제로 된 부착이물의 영향이 발생하기 쉽게 된다. 따라서, 돌기부의 높이의 바람직한 범위는 1㎜∼1㎛로 설정된다.
또, 기판을 온도제어체의 방향으로 흡착해서 고정시키는 흡착기구는 특히 제한은 없지만, 예를 들면 진공흡착 또는 정전흡착에 의한 기구를 채용할 수 있다. 진공흡착기구를 채용하는 경우에는 온도제어체의 면에 여러개의 돌기부 이외에 이들을 둘러싸는 외주부가 형성됨과 동시에 진공흡인 구멍이 마련된다. 외주부는 돌기부와 동일한 평면을 이루고 외주부 외측의 공기를 외주부 내측의 공간으로 유입시키지 않는 진공봉지(진공밀봉)로 되는 것이다. 이들 돌기부 및 외주부와 기판에 둘러싸여 형성된 공간을 진공흡인 구멍을 사용해서 부압으로 흡인하는 것에 의해 반도체웨이퍼는 온도제어체 표면에 등거리로 고정된다. 그리고, 열을 전달하는 접촉부가 돌기부 및 외주부와 기판 이면 사이에 형성된다.
또, 정전흡착기구를 채용하는 경우에는 온도제어체를 절연체로 형성해서 절연체내에 전극을 매립하고 기판과 이 전극 사이에 정전압을 인가하는 기구가 마련된다.
어느 경우에도, 형성되는 압력이 상기 접촉압력으로 되므로 소정 값으로 일정하게 유지할 수 있도록 공간의 압력을 제어하는 것이 바람직하다. 진공흡착의 경우 압력의 하한을 상기 10Torr로 하고, 접촉저항의 형성 및 기판의 평탄화의 관점에서 상한을 700Torr로 하는 것에 의해 바람직한 결과가 얻어지는 것이 판명되었다.
본 발명의 상기 및 그밖의 목적과 새로운 특징은 첨부도면과 함께 고려될 다음의 상세한 설명에서 명확하게 될 것이다.
[실시예]
이하, 본 발명에 관한 기판온도 제어장치 및 방법을 도면에 도시한 몇개의 실시예를 참조해서 더욱 상세하게 설명한다. 또한, 도면에 도시한 동일기호는 동일물 또는 유사물을 표시하는 것으로 한다.
실시예 1
본 발명을 레지스트 베이크용 반도체웨이퍼 가열장치에 적용한 실시예를 도 1에 도시한다. 동일도면에 있어서, (2)는 진공배관(3)과 히터소자(4)를 내부에 배치한 가열체, (2a)는 가열체(2)가 표면에 갖는 동일 평면을 이루는 여러개의 돌기부, (2b)는 돌기부(2a)를 포함하고 또한 진공밀봉으로 이루어지는 외주부를 나타낸다.
진공배관(3)의 끝은 가열체(2)의 면에 마련한 여러개의 흡인구멍(도시하지 않음)에 연결되어 있다. 진공배관(3)에는 압력조정밸브(5)가 부착되어 있고, 압력조정밸브(5)에 의해 웨이퍼(1)을 흡착하는 압력이 원하는 값으로 유지된다. 또, 히터소자(4)의 발열량은 가열체(2)에 매립된 열전대(6)과 온도조정기(7)에 의해 제어되고 가열체(2)를 소정의 온도로 유지하도록 조정된다.
또, 도 1에 있어서, (10)은 가열체(2)를 지지하는 지주, (11)은 가열체(2)의 열이 지주(10)에 전달되지 않도록 마련한 단열재, 12는 지주(10)을 고정시키고 가열체(2)의 대(臺)로 되는 베이스이고, 가열체(2)는 단열재(11), 지주(10)을 거쳐서 베이스(12)에 고정된다. (13)은 베이스(12)에 고정된 챔버이고, 챔버(13)중에 기판(1), 가열체(2) 등이 수납되어 있다. 챔버(13)에는 외란의 영향을 극력 작게 하기 위한 히터(14)가 부착되어 있고, 히터(14)의 발열량은 챔버(13)에 부착된 열전대(15)와 온도조정기(16)에 의해 챔버(13)의 온도가 정해진 값으로 되도록 조정된다.
다음에, 가열체(2)의 구조의 개요를 도 2에 도시한다. 돌기부(2a)는 접촉부가 웨이퍼(1) 이면에 균일하게 분포하도록 배치되어 있다. 따라서, 부압으로 흡인되는 부분도 마찬가지로 균일하게 분포하므로, 웨이퍼(1)이 변형을 갖는 경우 변형을 정밀도 좋게 교정할 수 있어 균일한 접촉을 얻을 수 있다. 따라서, 압력을 압력조정밸브(5)를 사용하여 일정하게 유지하는 것에 의해 접촉열 저항RC를 웨이퍼(1)면내에서 균일하게 유지하는 것이 가능하게 된다. 그 결과, 각 돌기부 및 외주부(2b)에서 전달되는 열량이 균일하게 되어 웨이퍼(1)면내의 온도분포를 균일하게 할 수 있다. 또, 접촉부를 균일하게 분포시키는 것에 의해 온도분포를 균일화하는 효과를 높힐 수 있다.
또, 당연한 일이지만, 웨이퍼(1)의 온도분포를 균일하게 하기 위해서는 가열체(2) 자체의 온도분포를 균일하게 할 필요가 있다. 그 때문에, 가열체(2)로서 열전도성이 우수한 재료를 사용하는 것이 유효하게 된다. 단, 알루미늄, 동 등의 금속을 사용하는 경우에는 금속오염을 방지할 필요가 있다. 그래서, 본 실시예에서는 4플루오르화 에틸렌수지를 코팅한 알루미늄을 사용하였다.
도 3에 본 실시예에서 얻어진 웨이퍼(1)의 승온특성을 도시한다. 도면중의 A는 본 실시예의 장치를 사용해서 얻어진 승온선, B는 비교를 위해 도시한 종래의 근접방식에 의한 장치의 일반적인 승온선이다. Tw는 목표온도로서 가열체(2)의 온도를 나타낸다. 본 실시예의 장치를 사용하는 경우, 웨이퍼(1)은 시간 0에 있어서 리프트핀(8)상에 탑재되고, 시간 t1에 있어서 가열체(2)상에 흡착고정된다. 그 후, 웨이퍼(1)은 시간 t2에 있어서 목표온도Tw의 99%로 승온한다. 시간 t1에서 t2까지의 소요시간이 웨이퍼(1)의 승온시간에 해당한다.
이 승온시간은 웨이퍼(1)의 이면의 돌기부(2a) 및 외주부(2b)로의 접촉면적율에 의해 결정된다. 본 실시예에서는 접촉면적율을 44%로 해서 상기의 승온시간을 15초로 할 수 있었다. 또한, 본 발명에서는 접촉면적율을 목표온도Tw 및 승온시간의 요구에 대해서 설정하는 것에 의해 이들을 임의로 결정하는 것이 가능하다.
한편, 근접방식을 사용하는 경우 웨이퍼(1)은 시간 0에 있어서 장치내로 반송되고, 시간t1에 있어서 소정의 위치에 설정된다. 그 후, 웨이퍼(1)은 시간 t3에 있어서 목표온도Tw에서 수℃ 낮은 온도 Tp에 도달하여 포화한다. 이 때, 소요되는 승온시간, 즉 t1에서 t3까지의 시간은 통상 60초정도이다. 이와 같이, 본 발명의 가열방식에서는 종래에 비해 승온시간을 대폭으로 단축할 수 있고, 프로세스시간의 단축이 가능하게 된다. 따라서, 스루풋을 향상시킬 수 있다.
실시예 2
여러개의 외주부를 마련한 실시예를 도 4에 도시한다. 도면 중, (2c)는 돌기부(2a)를 포함하는 여러개의 외주부이다. 가열체(2)와 웨이퍼(1)에 의해 둘러싸인 공간의 압력을 작게 하면, 외주부(2a)(도 2 참조)에서 조금이기는 하지만 공기가 누설되어 상기 공간으로 유입하는 것을 피할 수 없다. 공기유입은 웨이퍼(1) 주변의 온도를 저하시키는 원인으로 된다. 이 때문에, 반도체웨이퍼의 온도분포는 중심부가 높고 주변이 낮아진다. 이 문제를 해결하기 위해서, 동일평면을 이루는 여러개의 돌기부를 포함하는 외주부를 동심원형상의 여러개의 구조로 하고(외주부(2c)), 각 외주부 사이에 진공배관(3)에 연결되는 흡인구멍(3a)를 추가하였다.
이것에 의해, 각 외주부 사이의 공간의 압력차를 작게 할 수 있고, 진공밀봉부에서 유입하는 공기량을 저감할 수 있다. 이것에 의해, 웨이퍼주변의 온도강하를 완화하는 것이 가능하게 되었다. 또, 최외주의 외주부(2c)를 웨이퍼(1)의 외경에 근접시키는 것에 의해, 흡착시의 웨이퍼외주의 밀어올려짐(lifting)을 방지할 수 있다.
실시예 3
정전흡착에 의해 웨이퍼(1)을 흡착하도록 한 실시예를 도 5에 도시한다. 도면중, (18)은 동일평면을 이루는 여러개의 돌기부(2a)를 표면에 가공한 절연체 재료로 이루어지는 가열체, (17)은 가열체(18)의 내부에 배치한 금속전극이다. 절연체 재료로서 탄화규소(SiC)를 사용했지만, 이것에 한정되지 않고 열전도가 좋은 재료 예를 들면 산화알루미늄(Al2O3), 질화알루미늄(AlN)을 사용할 수 있다. (19)는 금속전극(17)에 접속한 전원으로서 인가전압을 조정하기 위해 사용된다.
본 실시예에서는 금속전극(17)에 전압을 인가하는 것에 의해, 가열체(18)의 표면과 웨이퍼(1) 사이에 정부의 전하를 발생시키고, 이 동안에 작용하는 쿨롱힘(Coulomb power)과 존슨라벡력(JohnsonRahbeck effect)을 이용해서 웨이퍼(1)을 가열체(18)상에 흡착고정시킨다. 또한, 본 실시예에서는 진공흡착의 경우에 사용한 외주부는 필요로 하지 않는다.
본 실시예에 있어서도 인가전압을 조정하는 것에 의해 웨이퍼(1)면에 균일하게 일정한 접촉압력을 웨이퍼(1)에 부여할 수 있으므로, 실시예 1의 경우와 마찬가지로 접촉열저항RC를 웨이퍼(1)면내에서 균일하게 유지하는 것이 가능하게 된다. 그 결과, 각 돌기부에서 전달되는 열량이 균일하게 되고 웨이퍼(1)면내의 온도분포를 균일하게 할 수 있다.
당연히, 본 실시예에서 설명한 발명은 흡착을 위해 대기압과의 차압을 필요로 하지 않으므로, 진공분위기 등 흡착을 위한 차압을 충분하게 취할 수 없는 분위기에 있어서의 사용이 가능하다.
또한, 본 실시예에서는 전극이 단극인 경우를 설명하지만, 이것에 한정되는 것은 아니고 쌍극으로 하는 것이 가능하고 마찬가지의 효과를 얻을 수 있다. 쌍극으로 하는 경우에는 가열체(18)의 내부의 동일면내에 2개의 전극을 마련하고, 쌍방의 전극 사이에 전압을 인가한다.
실시예 4
기판(1)상에서 가열체(2)와 대향하는 위치에 보조가열체를 설치한 실시예를 도 6에 도시한다. 동일도면에 있어서, (20)은 내부에 히터소자(21)을 배치한 보조가열체이다. 보조가열체(20)은 기판(1)에서 떨어져 설치된다. 보조가열체(20)에 매립된 열전대(22)의 측정값이 온도조정기(23)에 부여되고, 온도조정기(23)은 보조가열체(20)의 온도가 소정의 값으로 되도록 히터소자(21)의 발열량의 조정을 실행한다. 또, 보조가열체(20)은 단열재(24)를 거쳐서 지주(25)에 의해 지지되어 있다.
또한, 도면중 (26)은 리프트핀(8)과 연동하여 작용하는 셔터로서 상하의 가열체 사이에 위치된 공간의 측면을 차단하는 것이다. 이 기구를 사용하는 것에 의해 웨이퍼(1)을 가열하는 공간을 폐쇄하여 웨이퍼(1)의 승온시간의 단축 및 웨이퍼(1) 상면에 존재하는 기체로 부터의 영향의 저감을 가능하게 하였다.
실시예 5
내부의 히터소자를 생략한 보조가열체를 사용한 실시예를 도 7에 도시한다. 도면중, (27)은 가열체(2)와 동등한 열용량을 갖는 보조가열체이다. 보조가열체(27)은 웨이퍼(1)을 장치내로 반송하기 전에 가열플레이트(2)에 의해 충분하게 가열되고 가열체(2)와 동일정도의 온도로 되고 나서 사용된다.
본 실시예에서는 실시예 4에 비해 보조가열체 내부의 히터소자나 온도조정부를 필요로 하지 않으므로, 동등한 효과를 저가(低價)로 실현할 수 있다.
실시예 6
실시예 1의 장치를 사용한 기판가열방법의 일부를 도 8의 (a)∼도 8의 (d)에 도시한다. 동일도면은 웨이퍼(1)을 가열체(2)에 고정시킬 때까지의 공정을 도시한 것이다.
웨이퍼(1)은 반송로봇 등(도시하지 않음)에 의해 리프트핀(8)상으로 반송된다(도 8의 (a)). 다음에, 리프트핀(8)을 하강시키기 전에 압력조정밸브(5)를 열어 흡인을 개시하고 즉시 흡착이 가능한 상태로 한다(도 8의 (b)). 그 후, 리프트핀(8)을 하강시켜서(도 8의 (c)) 웨이퍼(1)을 가열체(2)상의 돌기부(2a)에 고정시킨다(도 8의 (d)).
상기의 공정을 거치는 것에 의해, 웨이퍼(1)은 흡착기구가 동작을 개시하고 나서 가열체(2)상에 탑재되고, 승온시의 온도분포의 편차를 최소한으로 억제한 상태에서 즉시 승온을 개시하는 것이 가능하게 된다. 또한, 탑재되기 전의 웨이퍼(1)에 대해서 가열체(2)가 경사져 있는 경우라도 웨이퍼(1)은 가열체(2)상을 미끄럼이동(sliding)하는 일 없이 소정의 위치에 고정시킬 수 있다.
상기한 것은 실시예 1의 장치를 사용한 방법이지만, 실시예 2∼실시예 5의 장치인 경우도 마찬가지로, 리프트핀(8)을 하강시키기 전에 흡착을 개시한다. 실시예 3의 정전흡착을 사용하는 경우에는 리프트핀(8)을 하강시키기 전에 내부의 전극(17)에 급전해서 흡착을 개시한다.
실시예 7
실시예 1의 기판가열장치를 사용한 MOS(Metal Oxide Semiconductor)형 트랜지스터의 제조공정의 일부를 도 9의 (a)∼도 9의 (d)에 도시한다. 동일도면은 MOS형 트랜지스터의 게이트전극의 가공공정을 도시한 단면도이다. 도 9의 (a)∼도 9의 (d)의 우측에 웨이퍼(1) 전체의 변형을 도시한 단면구조를 도시하고, 좌측에 게이트전극부의 단면구조를 확대해서 도시한다.
트랜지스터의 활성영역은 실리콘기판(28)에 실리콘 산화막(29)에 의해 소자분리되고 전면에 폴리실리콘(30)이 피착되어 있다. 본 실시예에서는 게이트전극의 가공을 위해 네가티브형의 화학증폭 레지스트를 회전도포해서 레지스트층(31)을 형성한다. 그 후, 레지스트층(31)의 소정의 영역에 전자선(32)를 사용해서 노출시켰다(도 9의 (a) 좌측). 또한, 전자선 대신에 자외선이나 X선을 사용하는 경우에도 노출기, 레지스트 재료가 다를 뿐 기본적으로는 마찬가지의 공정의 채용이 가능하다. 포지티브형 레지스트를 사용했을 때는 노출영역이 역전한다. 이 단계에서의 웨이퍼(1)의 형상은 피착물로 인해 휘어진 형상을 하고 있다(도 9의 (a) 우측)
노출된 웨이퍼(1)은 도 9의 (b)에 도시한 바와 같이 가열체(2)상에 마련된 여러개의 돌기부(2a) 및 외주부(도시하지 않음)상에 배치되고, 진공배관(3)을 통해서 이면을 진공흡착하는 것에 의해 웨이퍼(1)의 휨(warp)이 교정된다. 이 상태로 되고 나서 가열하였다. 웨이퍼(1)면내에서의 온도분포는 ±0.1℃를 조금 하회하는 정도이었다. 또한, 비교를 위해 웨이퍼형상의 교정을 실행하지 않고 가열한 경우를 측정하였지만 온도분포는 ±0.8℃로서 교정 효과가 확인되었다. 또, 웨이퍼(1)의 돌기부(2a) 및 외주부의 접촉면적비율을 40%로 하였다. 가열조건은 본 레지스트의 경우 110℃, 2분이었다. 가열의 결과, 레지스트내의 노출영역에는 잠상(34)가 형성된다(도 9의 (b)).
다음에, 레지스트(31)을 알칼리수용액을 사용해서 현상처리를 실행하고 도 9의 (c)에 도시한 레지스트 패턴(38)을 얻었다. 다음에, 불소계의 가스플라즈마중에서의 반응성 드라이에칭을 사용하여 폴리실리콘을 에칭하고 게이트전극(39)를 얻었다(도 9의 (d)). 이 때의 폴리실리콘의 게이트전극(39)의 평균치수는 0.2㎛이고, 웨이퍼(1)면내의 치수분포는 ±0.02㎛이내로 제어되었다. 이 값은 웨이퍼의 휨을 교정하지 않고 노출후 베이크처리를 실행했을 때의 치수분포 ±0.06㎛에 비하면 3배의 정밀도 향상이 도모되고 있는 것이 판명되었다.
LSI에 있어서는 처리속도와 장기신뢰성이 게이트전극의 공정과 정밀도에 크게 의존하므로, 본 발명에 의해 얻어지는 게이트전극(39)의 치수편차가 적은 LSI가 결과적으로 높은 성능을 발휘한다. 이 때문에, 본 발명의 장치 및 방법의 유효한 응용예의 하나로 본 실시예에서 기술한 바와 같은 LSI가공(특히, 논리LSI의 게이트가공)에 있어서의 레지스트 베이크처리공정이 있다.
또한, 본 실시예에서는 실시예 1의 기판가열장치를 사용했지만, 실시예 2∼실시예 5중의 어느 하나의 기판가열장치를 사용하는 것도 가능하고 마찬가지의 효과를 얻을 수 있다.
기판을 냉각하는 장치의 실시예를 도 10에 도시한다. 도면중, (40)은 내부에 진공배관(3) 및 냉각매체를 순환시키기 위한 경로(41)을 마련한 냉각체이다. 냉각체(40)의 표면에는 상기 실시예에서 설명한 가열체(2)와 마찬가지로 동일평면을 이루는 여러개의 돌기부(2a)와 이것을 포함하는 외주부(2b)가 설치되어 있다. 또, (42)는 냉각매체의 온도를 제어하는 유닛이다. 본 실시예에서는 냉각매체로 물을 사용했으므로, 유닛(4)로서 항온조를 채용하였다. (43)은 냉각매체를 경로(41)로 공급해서 순환시키기 위한 경로이다.
본 실시예는 온도제어체가 냉각체(40)인 것 이외에는 상기 실시예의 가열장치의 경우와 마찬가지의 구조를 갖고 있고, 따라서 가열장치의 경우와 마찬가지로 짧은 강온시간과 균일한 온도분포로 기판(1)의 온도를 냉각체(40)의 온도로 제어할 수 있다.
또한, 본 실시예에서는 기판(1)을 냉각체(40)에 흡착고정시키기 위한 수단으로서 진공흡착을 채용했지만, 상기 실시예에서 설명한 바와 같이 흡착기구로서 정전흡착을 사용하는 것이 가능하고 마찬가지의 효과를 얻을 수 있다. 그 경우, 또 기판(1)의 대향면에 보조냉각체를 배치하는 구조의 채용이 물론 가능하고, 가열장치의 경우와 마찬가지로 웨이퍼(1)의 강온시간의 단축 및 웨이퍼(1) 상면에 존재하는 기체로 부터의 영향의 저감 효과를 얻을 수 있다.
실시예 9
기판가열장치와 묘화장치를 일체화한 기판처리장치의 실시예를 도 11에 도시한다. 도면중, (44)는 레지스트가 도포된 반도체웨이퍼, (45)는 웨이퍼(44)를 수납하는 웨이퍼 카세트, (50)은 전자선 묘화장치, (51)은 실시예 1∼실시예 5의 기판가열장치 중의 어느 하나를 나타낸다.
웨이퍼(44)는 반송암(46)에 의해 배향판(orientation flat)검출기(47)로 반송되고, 배향판이 소정의 위치에 정합된 후 반송암(48)에 의해 로드록실(49)내로 반송된다. 웨이퍼(44)는 전자선 묘화장치(50)에 의해 묘화된 후 로드록실(49)로 되돌려 보내지고, 반송암(48)에 의해 배향판 검출기(47)로 반송된다. 그 후, 웨이퍼(44)는 반송암(46)을 사용해서 즉시 기판가열장치(51)로 반송되어 가열처리가 실행된다.
묘화후의 반도체웨이퍼를 대기중에 방치하면, 화학적인 오염 등에 의해 묘화패턴에 변동이 발생하여 정밀도가 좋은 패턴을 얻는 것이 곤란하게 된다는 문제가 발생한다. 이 문제는 묘화후에 즉시 반도체웨이퍼를 가열하고 반도체웨이퍼에 묘화되어 있는 레지스트 패턴을 안정화시키는 것에 의해 효과적으로 해결할 수 있다. 그래서, 본 실시예에서는 기판가열장치(51)을 전자선 묘화장치(50)과 일체화하는 것에 의해 이 문제를 해결하였다.
또한, 본 실시예에서는 전자선 묘화장치를 예로 설명했지만 묘화장치는 이것에 한정되는 것은 아니고 레지스트를 노출시킬 수 있는 전자파 예를 들면 자외선 또는 X선을 사용한 노출장치의 사용이 가능한 것은 물론이고 마찬가지의 효과를 얻을 수 있다.
실시예 10
실시예 1∼실시예 5의 기판가열장치중의 어느 하나 및 실시예 8의 기판냉각장치를 사용한 리도그래피공정을 도 12에 도시한다. 동일도면의 좌측열에 리도그래피의 각 공정을 도시하고, 우측열에 각 공정에서 사용하는 처리장치를 도시한다.
리도그래피공정에 들어간 반도체웨이퍼에 대해서 우선 도포전 베이크(60)이 실행되고, 표면에 흡착하고 있는 수분에 의한 레지스트 밀착성의 저하를 방지하기 위한 처리가 실행된다. 계속해서, 헥사메틸렌디시라잔(hexamethyldisilazane)에 의한 밀착강화처리(61)이 실행된다. 다음에, 동일처리가 종료된 반도체웨이퍼는 기판온도제어(62)의 공정을 거친 후 실온상태로 되고 레지스트도포(63)에 의해 레지스트가 도포된다. 레지스트도포는 웨이퍼를 회전시키면서 레지스트를 웨이퍼상에 떨어뜨리는 방법에 의해 실행된다. 그 후, 반도체웨이퍼는 가열체상에서 80∼100℃정도로 프리베이크(64)를 받고 레지스트중의 용매를 건조시키는 처리가 실행된다.
지금까지의 처리는 레지스트 처리장치의 하나인 레지스트 도포기(coater) (71)내의 각 유닛을 사용해서 연속적으로 처리된다. 본 발명의 기판가열장치 및 기판냉각장치는 동일 유닛으로서 레지스트 도포기(71)에 수용되어 있고, 도포전 베이크(60) 및 프리베이크(64)에 있어서 기판가열장치가 사용되고, 기판온도제어(62)에 있어서 기판냉각장치가 사용된다.
다음에, 반도체웨이퍼는 전자선을 응용한 노출기에 있어서 패턴의 노출처리(65)가 실시된다. 또한, 노출기는 그밖에 자외선 또는 X선을 응용한 장치를 사용하는 것이 가능하다. 노출후의 반도체웨이퍼는 가열체상에서 PEB처리(66)이 실행되고, 기판온도제어(67)의 공정에서 재차 실온상태로 복원된 후, 현상(68)의 공정에서 현상된다. 현상후의 반도체웨이퍼는 수세된 후 포스트베이크(69)의 공정에 의해 레지스트중의 수분이 증발된다.
PEB처리(66)에서 포스트베이크(69)까지의 처리는 현상기(developer)(72)라고 불리는 장치내의 각 유닛을 사용해서 연속적으로 처리된다. 본 발명의 기판가열장치 및 기판냉각장치는 동일 유닛으로서 레지스터 도포기(71)에 수용되어 있고 PEB처리(66) 및 포스트베이크(69)에 있어서 기판가열장치가 사용되고, 기판온도제어(67)에 있어서 기판냉각장치가 사용된다.
이상의 처리후에 반도체웨이퍼는 리도그래피공정에서 출하되고, 필요에 따라서 포스트베이크(69)후에 DUV(원자외광) 경화처리(70)이 실행되고 나서 다음공정으로 이송된다. 또한, DUV경화처리(70)은 현상기(72)내의 하나의 유닛으로서 포함되는 경우가 있다.
레지스트재료로서 화학증폭형의 레지스트를 사용하는 경우, 상기한 바와 같이 고정밀도의 패턴을 얻기 위해서 노출후의 PEB처리에서의 웨이퍼의 면내온도분포가 중요하게 된다. 본 실시예에서는 본 발명의 기판가열장치를 PEB처리의 공정에 사용하는 것에 의해 반도체웨이퍼의 면내온도분포를 ±0.1℃로 억제할 수 있었다. 또한, 반도체웨이퍼이면의 돌기부와의 접촉율을 40%로 설정하였다.
이상, 본 발명자에 의해 이루어진 발명을 실시예에 따라서 구체적으로 설명했지만, 본 발명은 상기 실시예에 한정되는 것은 아니고 그 요지를 이탈하지 않는 범위에 있어서 변경가능한 것은 물론이다. 예를 들면, 반도체웨이퍼를 가열하는 장치로서는 레지스트 베이크로(爐)에 한정되지 않고, 웨이퍼의 균일한 가열 또는 냉각이 필요한 프로세스장치 일반에 널리 적용할 수 있다.
본 발명에 의하면, 동일평면을 이루는 여러개의 돌기부를 구비한 진공흡착면 또는 정전흡착면을 갖는 온도제어체를 사용하는 것에 의해 피가열 또는 피냉각기판의 변형을 교정하는 것이 가능하게 되고, 또 이 기판의 이면과 돌기부와의 접촉부를 기판면에 균일하게 분포시키는 것에 의해 접촉부의 접촉열 저항을 소정의 값으로 이면에 균일하게 유지하는 것이 가능하게 된다. 그것에 의해, 기판면의 온도분포의 균일성을 향상시킬 수 있다. 또, 기판과 온도제어체 사이의 열의 교환은 기체에 비해 열전도가 큰 돌기부를 거친 교환이 지배적으로 되므로, 승온(강온)시간을 단축하는 것이 가능하게 된다. 따라서, 제조공정의 스루풋을 향상시킬 수 있다.

Claims (24)

  1. 여러개의 돌기부를 표면에 갖는 기판의 온도설정을 위한 온도제어체와 상기 기판을 상기 온도제어체의 방향으로 흡착하는 것에 의해 상기 기판을 상기 여러개의 돌기부에 접촉시켜서 고정시키는 흡착기구를 구비해서 이루어지는 것을 특징으로 하는 기판온도 제어장치.
  2. 제1항에 있어서,
    상기 온도제어체는 상기 기판을 가열하기 위한 가열체인 것을 특징으로 하는 기판온도 제어장치.
  3. 제1항에 있어서,
    상기 온도제어체는 상기 기판을 냉각하기 위한 냉각체인 것을 특징으로 하는 기판온도 제어장치.
  4. 제1항에 있어서,
    상기 흡착기구는 상기 기판과 상기 온도제어체에 의해 둘러싸인 공간을 부압으로 흡인하는 진공흡착기구이고, 상기 온도제어체는 표면에 상기 여러개의 돌기부를 둘러싸는 진공봉지를 위한 외주부를 더 갖고 있고, 상기 진공흡착기구는 상기 기판을 상기 외주부에 접촉시켜서 부압을 유지하는 것인 것을 특징으로 하는 기판온도 제어장치.
  5. 제1항에 있어서,
    상기 흡착기구는 상기 기판과 상기 온도제어체 내부에 마련한 전극 사이에 전압을 인가하는 것에 의해 발생하는 정전기력을 이용한 정전흡착기구인 것을 특징으로 하는 기판온도 제어장치.
  6. 제1항에 있어서,
    상기 기판표면과 대향하는 위치에 공간을 두고 보조온도 제어체가 설치되고, 이 보조온도 제어체는 상기 기판을 피복하는 면을 갖고 또한 상기 온도제어체와 대략 동일한 온도를 갖는 것인 것을 특징으로 하는 기판온도 제어장치.
  7. 제6항에 있어서,
    상기 보조온도 제어체는 상기 온도제어체를 초과하는 열용량을 갖는 것인 것을 특징으로 하는 기판온도 제어장치.
  8. 제4항에 있어서,
    상기 진공흡착기구는 상기 기판과 상기 온도제어체에 의해 둘러싸인 공간의 압력을 일정하게 유지하는 압력조정수단을 갖는 것을 특징으로 하는 기판온도 제어장치.
  9. 제8항에 있어서,
    상기 기판과 상기 온도제어체에 의해 둘러싸인 공간의 압력은 700Torr∼10Torr 사이의 소정 값으로 유지되어 있는 것을 특징으로 하는 기판온도 제어장치.
  10. 제1항 또는 제4항에 있어서,
    상기 기판을 상기 온도제어체에 흡착고정시킨 상태에서 상기 온도제어체의 상기 기판으로의 접촉면적이 상기 기판의 표면면적의 60%∼0.5%의 범위에 있는 것을 특징으로 하는 기판온도 제어장치.
  11. 제10항에 있어서,
    상기 기판을 상기 온도제어체에 흡착고정시킨 상태에서 상기 온도제어체의 상기 기판으로의 접촉면적이 상기 기판의 이면면적의 50%∼20%의 범위에 있는 것을 특징으로 하는 기판온도 제어장치.
  12. 제10항에 있어서,
    상기 돌기부는 높이가 1㎜∼1㎛의 범위인 것을 특징으로 하는 기판온도 제어장치.
  13. 제4항에 있어서,
    상기 외주부는 동심원형상을 이루는 여러개로 이루어지는 것을 특징으로 하는 기판온도 제어장치.
  14. 제6항에 있어서,
    상기 기판과 상기 보조온도 제어체에 둘러싸인 공간의 측면을 포함하는 개폐기구를 갖는 것을 특징으로 하는 기판온도 제어장치.
  15. 제10항에 있어서,
    상기 돌기부는 상기 온도제어체의 표면의 소정의 범위에 일정한 간격으로 배치되어 있는 것을 특징으로 하는 기판온도 제어장치.
  16. 제1항에 있어서,
    상기 온도제어체는 4플루오르화 에틸렌수지를 표면에 코팅한 금속으로 이루어지는 것을 특징으로 하는 기판온도 제어장치.
  17. 제5항에 있어서,
    상기 온도제어체는 탄화규소, 산화 알루미늄 및 질화 알루미늄으로 이루어지는 군에서 선택된 절연체재료로 이루어지는 것을 특징으로 하는 기판온도 제어장치.
  18. 상기 진공흡착기구가 흡인을 개시하고 난 후에 상기 기판을 상기 돌기부상에 고정시키는 것을 특징으로 하는 특허청구범위 제4항에 기재된 기판온도 제어장치를 사용한 기판온도 제어방법.
  19. 상기 정전흡착기구의 상기 전극에 전압을 인가하고 난 후에 상기 기판을 돌기부상에 고정시키는 것을 특징으로 하는 특허청구범위 제5항에 기재된 기판온도 제어장치를 사용한 기판온도 제어방법.
  20. 상기 기판에 레지스트를 도포하는 공정과 상기 레지스트가 도포된 상기 기판을 상기 흡착기구를 사용해서 상기 돌기부상에 고정시키고 난 후에 상기 기판으로의 온도제어를 실행하는 공정으로 이루어지는 것을 특징으로 하는 특허청구범위 제10항에 기재된 기판온도 제어장치를 사용한 기판처리방법.
  21. 상기 기판에 화학증폭형 레지스트를 도포하는 공정, 자외선, 전자선 및 X선 중의 어느하나를 선택해서 상기 기판상의 화학증폭형 레지스트를 노출시키는 공정 및 노출후의 상기 기판을 상기 흡착기구에 의해 상기 돌기부상에 고정시키고 난 후에 상기 기판으로의 온도제어를 실행하는 공정으로 이루어지는 것을 특징으로 하는 특허청구범위 제10항에 기재된 기판온도 제어장치를 사용한 기판처리방법.
  22. 상기 기판이 반도체웨이퍼이고, 적어도 1개의 반도체웨이퍼를 처리하는 처리실을 갖고, 상기 처리실에 특허청구범위 제10항에 기재된 기판온도 제어장치를 구비해서 이루어지는 것을 특징으로 하는 반도체 처리장치.
  23. 특허청구범위 제10항에 기재된 기판온도 제어장치와 자외선 노출장치, 전자선 묘화장치 및 X선 노출장치 중의 어느하나의 장치를 일체화해서 이루어짐과 동시에 온도제어를 실행하는 상기 기판이 레지스트를 도포한 반도체웨이퍼인 것을 특징으로 하는 반도체 처리장치.
  24. 상기 기판이 반도체웨이퍼이고, 상기 반도체웨이퍼에 레지스트를 도포하는 공정, 자외선, 전자선 및 X선 중의 어느 하나를 선택해서 상기 기판상의 레지스트를 노출과 묘화 중의 어느 하나를 실행하는 공정 및 노출과 묘화 중의 어느 하나의 실행후의 상기 기판의 후노출베이크를 특허청구범위 제10항에 기재된 기판온도 제어장치를 사용해서 실행하는 공정으로 이루어지는 것을 특징으로 하는 반도체 처리방법.
KR10-1998-0010965A 1997-04-02 1998-03-30 기판온도제어장치및방법 KR100519613B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP97-83920 1997-04-02
JP9083920A JPH10284360A (ja) 1997-04-02 1997-04-02 基板温度制御装置及び方法

Publications (2)

Publication Number Publication Date
KR19980080844A true KR19980080844A (ko) 1998-11-25
KR100519613B1 KR100519613B1 (ko) 2005-12-30

Family

ID=13816049

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1998-0010965A KR100519613B1 (ko) 1997-04-02 1998-03-30 기판온도제어장치및방법

Country Status (4)

Country Link
US (2) US6394797B1 (ko)
JP (1) JPH10284360A (ko)
KR (1) KR100519613B1 (ko)
TW (1) TW376543B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100680769B1 (ko) * 1998-08-26 2007-02-08 동경 엘렉트론 주식회사 열처리장치
US8673790B2 (en) 2010-06-08 2014-03-18 Hitachi Kokusai Electric Inc. Method of manufacturing a semiconductor device, method of cleaning a process vessel, and substrate processing apparatus

Families Citing this family (295)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6402509B1 (en) * 1999-09-03 2002-06-11 Tokyo Electron, Limited Substrate processing apparatus and substrate processing method
US6705394B1 (en) * 1999-10-29 2004-03-16 Cvc Products, Inc. Rapid cycle chuck for low-pressure processing
JP4522527B2 (ja) * 2000-03-06 2010-08-11 キヤノンアネルバ株式会社 半導体製造装置における基板搭載方法
JP2002025758A (ja) * 2000-05-02 2002-01-25 Ibiden Co Ltd ホットプレートユニット
US6838115B2 (en) * 2000-07-12 2005-01-04 Fsi International, Inc. Thermal processing system and methods for forming low-k dielectric films suitable for incorporation into microelectronic devices
JP4328003B2 (ja) * 2000-10-19 2009-09-09 日本碍子株式会社 セラミックヒーター
US6685467B1 (en) 2000-10-24 2004-02-03 Advanced Micro Devices, Inc. System using hot and cold fluids to heat and cool plate
US6634882B2 (en) * 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance
JP3781347B2 (ja) * 2001-05-23 2006-05-31 住友重機械工業株式会社 ウエハーチャック
JP2002353102A (ja) * 2001-05-23 2002-12-06 Hitachi Ltd 半導体装置の製造方法
US6890383B2 (en) * 2001-05-31 2005-05-10 Shin-Etsu Handotai Co., Ltd. Method of manufacturing semiconductor wafer and susceptor used therefor
US7160105B2 (en) * 2001-06-01 2007-01-09 Litrex Corporation Temperature controlled vacuum chuck
EP1399268B1 (en) * 2001-06-01 2012-10-31 Ulvac, Inc. Industrial microdeposition system for polymer light emitting diode displays, printed circuit boards and the like
US7033445B2 (en) * 2001-12-27 2006-04-25 Asm America, Inc. Gridded susceptor
US6771086B2 (en) * 2002-02-19 2004-08-03 Lucas/Signatone Corporation Semiconductor wafer electrical testing with a mobile chiller plate for rapid and precise test temperature control
JP3791432B2 (ja) * 2002-02-27 2006-06-28 住友電気工業株式会社 半導体製造用加熱装置
US6646233B2 (en) * 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US20030168174A1 (en) * 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US6597964B1 (en) * 2002-05-08 2003-07-22 Taiwan Semiconductor Manufacturing Co., Ltd Thermocoupled lift pin system for etching chamber
JP2004055722A (ja) * 2002-07-18 2004-02-19 Renesas Technology Corp 洗浄装置、基板の洗浄方法および半導体装置の製造方法
US7452712B2 (en) * 2002-07-30 2008-11-18 Applied Biosystems Inc. Sample block apparatus and method of maintaining a microcard on a sample block
US20040053514A1 (en) * 2002-08-27 2004-03-18 Ali Shajii Apparatus for cooling a substrate through thermal conduction in the viscous regime
EP1540259A2 (en) * 2002-09-10 2005-06-15 FSI International, Inc. Thermal process station with heated lid
CN100437894C (zh) * 2002-09-10 2008-11-26 亚舍立技术有限公司 利用固定温度的卡盘以可变温度的工艺加热衬底的方法
US6709267B1 (en) 2002-12-27 2004-03-23 Asm America, Inc. Substrate holder with deep annular groove to prevent edge heat loss
US20040187787A1 (en) * 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US7993460B2 (en) * 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
US20050067147A1 (en) * 2003-09-02 2005-03-31 Thayer John Gilbert Loop thermosyphon for cooling semiconductors during burn-in testing
US20050067146A1 (en) * 2003-09-02 2005-03-31 Thayer John Gilbert Two phase cooling system method for burn-in testing
US7129731B2 (en) * 2003-09-02 2006-10-31 Thermal Corp. Heat pipe with chilled liquid condenser system for burn-in testing
US7013956B2 (en) * 2003-09-02 2006-03-21 Thermal Corp. Heat pipe evaporator with porous valve
US20050221358A1 (en) * 2003-09-19 2005-10-06 Carrillo Albert L Pressure chamber clamp mechanism
US20050226779A1 (en) * 2003-09-19 2005-10-13 Oldham Mark F Vacuum assist for a microplate
US7410355B2 (en) * 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
KR100666039B1 (ko) 2003-12-05 2007-01-10 동경 엘렉트론 주식회사 정전척
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4636807B2 (ja) * 2004-03-18 2011-02-23 キヤノン株式会社 基板保持装置およびそれを用いた露光装置
JP4765328B2 (ja) * 2004-04-16 2011-09-07 東京エレクトロン株式会社 被処理体の処理装置
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
DE102004024649B4 (de) * 2004-05-18 2007-02-01 Thallner, Erich, Dipl.-Ing. Justiereinrichtung und Vorrichtung zum Justieren eines Wafers
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7532310B2 (en) * 2004-10-22 2009-05-12 Asml Netherlands B.V. Apparatus, method for supporting and/or thermally conditioning a substrate, a support table, and a chuck
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
JP4488867B2 (ja) * 2004-11-05 2010-06-23 株式会社東芝 パターン形成方法
US7601934B2 (en) * 2004-12-22 2009-10-13 Sokudo Co., Ltd. Integrated thermal unit having a shuttle with a temperature controlled surface
US20070295276A1 (en) * 2004-12-22 2007-12-27 Sokudo Co., Ltd. Bake plate having engageable thermal mass
US7741585B2 (en) * 2004-12-22 2010-06-22 Sokudo Co., Ltd. Integrated thermal unit having a shuttle with two-axis movement
US7274005B2 (en) * 2004-12-22 2007-09-25 Sokudo Co., Ltd. Bake plate having engageable thermal mass
US20060144337A1 (en) * 2005-01-06 2006-07-06 Hsien-Che Teng Heater for heating a wafer and method for preventing contamination of the heater
JP4934595B2 (ja) 2005-01-18 2012-05-16 エーエスエム アメリカ インコーポレイテッド 薄膜成長用反応装置
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
JP4421501B2 (ja) * 2005-03-25 2010-02-24 東京エレクトロン株式会社 加熱装置、塗布、現像装置及び加熱方法
JP2006292535A (ja) * 2005-04-11 2006-10-26 Omron Corp 距離推定装置、異常検出装置、温度調節器および熱処理装置
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) * 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US7694583B2 (en) * 2005-05-05 2010-04-13 Control Gaging, Inc. Gripper gage assembly
JP4666473B2 (ja) * 2005-05-12 2011-04-06 大日本スクリーン製造株式会社 基板熱処理装置
US20100270004A1 (en) * 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
US20070077326A1 (en) * 2005-09-30 2007-04-05 Smith Kristina L Childproof Press for Fusing Beads
US7470919B2 (en) * 2005-09-30 2008-12-30 Applied Materials, Inc. Substrate support assembly with thermal isolating plate
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
JP2007158077A (ja) 2005-12-06 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
US8003919B2 (en) 2005-12-06 2011-08-23 Dainippon Screen Mfg. Co., Ltd. Substrate heat treatment apparatus
JP2007158076A (ja) * 2005-12-06 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
JP2007158075A (ja) * 2005-12-06 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
JP4666496B2 (ja) 2005-12-07 2011-04-06 大日本スクリーン製造株式会社 基板熱処理装置
JP4755498B2 (ja) * 2006-01-06 2011-08-24 東京エレクトロン株式会社 加熱装置及び加熱方法
JP4827569B2 (ja) * 2006-03-23 2011-11-30 大日本スクリーン製造株式会社 基板支持構造とこれを用いた熱処理装置と基板支持構造に用いられるシート状物と基板支持構造の製造方法
EP1840940B8 (de) * 2006-03-28 2014-11-26 Thallner, Erich, Dipl.-Ing. Vorrichtung und Verfahren zum Beschichten eines mikro- und/oder nanostrukturierten Struktursubstrats
US20070237897A1 (en) * 2006-03-28 2007-10-11 Erich Thallner Device and method for coating a microstructured and/or nanostructured structural substrate
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
JP4781901B2 (ja) 2006-05-08 2011-09-28 東京エレクトロン株式会社 熱処理方法,プログラム及び熱処理装置
JP2007329008A (ja) * 2006-06-07 2007-12-20 Tokyo Electron Ltd 熱板及びその製造方法
JP4805741B2 (ja) * 2006-07-14 2011-11-02 Okiセミコンダクタ株式会社 半導体製造装置および半導体装置の製造方法
US9275887B2 (en) * 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US20100267231A1 (en) * 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US7563007B2 (en) * 2006-12-04 2009-07-21 Ford Global Technologies, Llc Bumper cover and lamp housing assembly with integral fasteners for independent removal of parts from a vehicle
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US20080160462A1 (en) * 2007-01-03 2008-07-03 Sokudo Co., Ltd. Method and system for bake plate heat transfer control in track lithography tools
JP4899879B2 (ja) * 2007-01-17 2012-03-21 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP2008218738A (ja) * 2007-03-05 2008-09-18 Espec Corp サーマルプレート、及び試験装置
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US7649729B2 (en) * 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
US8052419B1 (en) * 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
JP4457242B2 (ja) * 2007-11-30 2010-04-28 Okiセミコンダクタ株式会社 半導体装置の製造方法
WO2009072252A1 (ja) * 2007-12-06 2009-06-11 Shin-Etsu Handotai Co., Ltd. 気相成長用サセプタおよび気相成長装置
US8426778B1 (en) 2007-12-10 2013-04-23 Novellus Systems, Inc. Tunable-illumination reflector optics for UV cure system
US7935940B1 (en) 2008-01-08 2011-05-03 Novellus Systems, Inc. Measuring in-situ UV intensity in UV cure tool
US8283644B2 (en) 2008-01-08 2012-10-09 Novellus Systems, Inc. Measuring in-situ UV intensity in UV cure tool
US8198567B2 (en) * 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
SG156589A1 (en) * 2008-04-29 2009-11-26 United Test & Assembly Ct Lt Oven control system and methods
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US20090312830A1 (en) * 2008-06-17 2009-12-17 Mcnulty Sean Balloon arc profile control
US8715331B2 (en) * 2008-08-06 2014-05-06 Boston Scientific Scimed, Inc. Stent edge protection and methods
US8652260B2 (en) * 2008-08-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for holding semiconductor wafers
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
WO2010090948A1 (en) * 2009-02-04 2010-08-12 Mattson Technology, Inc. Electrostatic chuck system and process for radially tuning the temperature profile across the surface of a substrate
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5542743B2 (ja) * 2010-10-07 2014-07-09 東京エレクトロン株式会社 熱処理装置および熱処理方法
JP5810517B2 (ja) * 2010-12-02 2015-11-11 富士電機株式会社 吸着装置および吸着方法
JP5299442B2 (ja) * 2011-01-18 2013-09-25 東京エレクトロン株式会社 基板加熱装置、基板加熱方法及び記憶媒体
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
KR20140119726A (ko) 2012-01-06 2014-10-10 노벨러스 시스템즈, 인코포레이티드 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
DE102012022067A1 (de) * 2012-11-09 2014-05-15 Centrotherm Photovoltaics Ag Substrathalter sowie eine vorrichtung und ein verfahren zum behandeln von substraten
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP6049485B2 (ja) * 2013-02-13 2016-12-21 新電元工業株式会社 半導体ウェーハの検査方法
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9273413B2 (en) 2013-03-14 2016-03-01 Veeco Instruments Inc. Wafer carrier with temperature distribution control
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9645391B2 (en) 2013-11-27 2017-05-09 Tokyo Electron Limited Substrate tuning system and method using optical projection
JP6676527B6 (ja) * 2013-11-27 2020-05-20 東京エレクトロン株式会社 光学投影を使用する基板チューニングシステム及び方法
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
CN103760753B (zh) * 2013-12-31 2017-04-12 深圳市华星光电技术有限公司 基板烘烤装置及其温度调节方法
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
JP6270268B2 (ja) * 2014-02-27 2018-01-31 株式会社Screenホールディングス 基板処理装置および基板処理方法
US9728443B2 (en) 2014-02-27 2017-08-08 SCREEN Holdings Co., Ltd. Substrate processing apparatus and substrate processing method
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
SG10201810390TA (en) * 2014-05-21 2018-12-28 Applied Materials Inc Thermal processing susceptor
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10832931B2 (en) * 2014-05-30 2020-11-10 Applied Materials, Inc. Electrostatic chuck with embossed top plate and cooling channels
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR101557141B1 (ko) 2015-07-20 2015-10-05 주식회사 나래나노텍 개선된 기판 흡착용 에어 배관 장치, 및 이를 구비한 기판 흡착 스테이지, 흡착 방법, 및 코팅 장치
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
CN108474109B (zh) * 2016-01-13 2021-08-03 应用材料公司 保持基板的保持布置、支撑基板的载体、沉积系统、保持基板的方法和释放基板的方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
USD799646S1 (en) * 2016-08-30 2017-10-10 Asm Ip Holding B.V. Heater block
US10570015B2 (en) 2016-09-02 2020-02-25 International Business Machines Corporation Minimizing tin loss during thermal processing of kesterite films
US10126053B2 (en) * 2016-09-02 2018-11-13 International Business Machines Corporation Precision dual annealing apparatus
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6215426B1 (ja) * 2016-09-21 2017-10-18 オリジン電気株式会社 加熱装置及び板状部材の製造方法
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
KR101884853B1 (ko) * 2016-12-30 2018-08-02 세메스 주식회사 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
USD859484S1 (en) * 2017-06-12 2019-09-10 Asm Ip Holding B.V. Heater block
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN108711556B (zh) * 2018-05-25 2020-06-19 北京北方华创微电子装备有限公司 去气腔室以及去气方法
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
US11961756B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Vented susceptor
USD927575S1 (en) * 2019-01-18 2021-08-10 Shinkawa Ltd. Heater block for bonding apparatus
JP7249814B2 (ja) * 2019-03-04 2023-03-31 株式会社Screenホールディングス 熱処理装置および熱処理方法
TW202110587A (zh) 2019-05-22 2021-03-16 荷蘭商Asm Ip 控股公司 工件基座主體及用於沖洗工件基座的方法
TW202125689A (zh) 2019-10-11 2021-07-01 美商應用材料股份有限公司 用於空間多晶圓處理工具的基座加熱器
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
US20220049350A1 (en) * 2020-08-13 2022-02-17 Applied Materials, Inc. Apparatus design for photoresist deposition

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4037830A (en) 1976-09-07 1977-07-26 International Business Machines Corporation Wafer handler
USRE31053E (en) 1978-01-23 1982-10-12 Bell Telephone Laboratories, Incorporated Apparatus and method for holding and planarizing thin workpieces
US4213698A (en) 1978-12-01 1980-07-22 Bell Telephone Laboratories, Incorporated Apparatus and method for holding and planarizing thin workpieces
US4518848A (en) * 1981-05-15 1985-05-21 Gca Corporation Apparatus for baking resist on semiconductor wafers
US4551192A (en) 1983-06-30 1985-11-05 International Business Machines Corporation Electrostatic or vacuum pinchuck formed with microcircuit lithography
JPS6216509A (ja) 1985-07-15 1987-01-24 Seiko Instr & Electronics Ltd 半導体装置用基板の製造方法
JPS6245378A (ja) 1985-08-23 1987-02-27 Hitachi Ltd 塗布装置
JPS6253773A (ja) 1985-08-30 1987-03-09 Nec Corp 有機膜塗布装置
JP3020523B2 (ja) 1989-11-13 2000-03-15 株式会社日立製作所 図形露光装置とその方法
US5094885A (en) 1990-10-12 1992-03-10 Genus, Inc. Differential pressure cvd chuck
US5252807A (en) * 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
JP3604706B2 (ja) 1992-07-23 2004-12-22 キヤノン株式会社 成膜方法
JPH06244269A (ja) 1992-09-07 1994-09-02 Mitsubishi Electric Corp 半導体製造装置並びに半導体製造装置におけるウエハ真空チャック装置及びガスクリーニング方法及び窒化膜形成方法
US5343012A (en) * 1992-10-06 1994-08-30 Hardy Walter N Differentially pumped temperature controller for low pressure thin film fabrication process
JPH06158361A (ja) 1992-11-20 1994-06-07 Hitachi Ltd プラズマ処理装置
US5460684A (en) 1992-12-04 1995-10-24 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5382311A (en) 1992-12-17 1995-01-17 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5695568A (en) * 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
US5738165A (en) 1993-05-07 1998-04-14 Nikon Corporation Substrate holding apparatus
US5484485A (en) 1993-10-29 1996-01-16 Chapman; Robert A. Plasma reactor with magnet for protecting an electrostatic chuck from the plasma
US5588827A (en) * 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5474877A (en) 1994-02-24 1995-12-12 Nec Corporation Method for developing a resist pattern
TW320749B (ko) 1994-09-22 1997-11-21 Tokyo Electron Co Ltd
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5730803A (en) 1996-02-23 1998-03-24 Applied Materials, Inc. Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body
WO1997039607A1 (fr) * 1996-04-12 1997-10-23 Hitachi, Ltd. Dispositif de traitement au plasma
US5720818A (en) 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
JP3154324B2 (ja) 1996-05-15 2001-04-09 トヨタ自動車株式会社 内燃機関の蒸発燃料処理装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5904779A (en) 1996-12-19 1999-05-18 Lam Research Corporation Wafer electrical discharge control by wafer lifter system
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100680769B1 (ko) * 1998-08-26 2007-02-08 동경 엘렉트론 주식회사 열처리장치
US8673790B2 (en) 2010-06-08 2014-03-18 Hitachi Kokusai Electric Inc. Method of manufacturing a semiconductor device, method of cleaning a process vessel, and substrate processing apparatus

Also Published As

Publication number Publication date
KR100519613B1 (ko) 2005-12-30
TW376543B (en) 1999-12-11
US6394797B1 (en) 2002-05-28
JPH10284360A (ja) 1998-10-23
US20020113056A1 (en) 2002-08-22
US6518548B2 (en) 2003-02-11

Similar Documents

Publication Publication Date Title
KR100519613B1 (ko) 기판온도제어장치및방법
JP4948587B2 (ja) フォトレジスト塗布現像装置、基板搬送方法、インターフェイス装置
US6753508B2 (en) Heating apparatus and heating method
US8308381B2 (en) Substrate processing method, computer-readable storage medium, and substrate processing system
US7534467B2 (en) Reduced-pressure drying unit and coating film forming method
US8138456B2 (en) Heat processing method, computer-readable storage medium, and heat processing apparatus
US6552308B2 (en) Substrate temperature adjustment apparatus for estimating a time taken until a substrate temperature falls within a target temperature range
US20080153182A1 (en) Method and system to measure and compensate for substrate warpage during thermal processing
JP2000114343A (ja) 基板処理方法および基板搬送装置
WO2006085527A1 (ja) 熱処理板の温度設定方法,熱処理板の温度設定装置,プログラム及びプログラムを記録したコンピュータ読み取り可能な記録媒体
JP3755814B2 (ja) 熱処理方法及び熱処理装置
US11295952B2 (en) Apparatus for treating substrate and method for treating apparatus
JP4267809B2 (ja) 基板の処理装置及び処理方法
JP3898895B2 (ja) 加熱処理装置及び加熱処理方法
JP3619876B2 (ja) 加熱処理装置
JP5104192B2 (ja) レジスト塗布基板の熱処理装置及びその熱処理方法
JP2829909B2 (ja) レジスト処理方法及びレジスト処理装置
US20080076077A1 (en) Apparatus and method for heating semiconductor wafers with improved temperature uniformity
JP3626284B2 (ja) マスク基板の熱処理方法とその装置
JP2001237155A (ja) 加熱処理方法及び加熱処理装置
KR102175073B1 (ko) 기판 처리 장치 및 방법
US20240055281A1 (en) Substrate processing method, method for controlling substrate processing method and substrate processing apparatus
JP2001237171A (ja) 加熱処理装置
KR20200021818A (ko) 가열 플레이트, 이를 구비하는 기판 열처리 장치 및 가열 플레이트의 제조 방법
JP2002100559A (ja) 基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee