TW202110587A - 工件基座主體及用於沖洗工件基座的方法 - Google Patents

工件基座主體及用於沖洗工件基座的方法 Download PDF

Info

Publication number
TW202110587A
TW202110587A TW109116162A TW109116162A TW202110587A TW 202110587 A TW202110587 A TW 202110587A TW 109116162 A TW109116162 A TW 109116162A TW 109116162 A TW109116162 A TW 109116162A TW 202110587 A TW202110587 A TW 202110587A
Authority
TW
Taiwan
Prior art keywords
workpiece
base body
radial
front surface
contact area
Prior art date
Application number
TW109116162A
Other languages
English (en)
Inventor
拉吉 辛古
陶德 杜恩
卡爾 路易斯 懷特
赫伯特 特后司特
艾立克 雪洛
布尚 佐佩
Original Assignee
荷蘭商Asm Ip 控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip 控股公司 filed Critical 荷蘭商Asm Ip 控股公司
Publication of TW202110587A publication Critical patent/TW202110587A/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25BTOOLS OR BENCH DEVICES NOT OTHERWISE PROVIDED FOR, FOR FASTENING, CONNECTING, DISENGAGING OR HOLDING
    • B25B11/00Work holders not covered by any preceding group in the subclass, e.g. magnetic work holders, vacuum work holders
    • B25B11/005Vacuum work holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Abstract

一種工件基座主體可包括經組態以支撐工件之正面;與該正面相對之背面;工件接觸區域,其在該正面之內部上至少部分地形成支撐邊界;及裝設於該基座主體內之複數個軸向通道。該工件接觸區域可裝設於在處理組態中定位在該正面上之工件的外邊緣的徑向內側。該複數個軸向通道中之每一者可連接至延伸至該正面之外部中的對應開口。該等開口中之每一者可裝設於該基座主體之該工件接觸區域的徑向外側。

Description

使用邊緣清除之基板基座
本發明大體上是關於半導體處理,且更特定言之,是關於用於支撐處理腔室中之半導體基板的基座。
相關申請案之交叉參考 本申請案主張2019年5月22日申請標題為使用邊緣沖洗之基板基座(SUBSTRATE SUSCEPTOR USING EDGE PURGING)之美國臨時申請案第62/851414號的優先權,該案特此以全文引用的方式併入本文中。根據37 CFR 1.57將於與本申請案共同提出申請之申請資料表中指出國外或國內優先權主張的任何及所有申請案以特此引用的方式併入。
半導體製造製程通常在受控製程條件下對在反應腔室內支撐在基座上之基板進行。對於許多製程,半導體基板(例如,晶圓)在反應腔室內部加熱。在處理期間可能出現基板與基座之間的物理相互作用相關的數個品質控制問題。
在一些具體例中,提供一種工件基座。工件基座主體包含經組態以支撐工件之正面及與正面相對之背面。工件基座亦包括工件接觸區域,其至少部分地形成圍繞正面之內部的支撐邊界。工件接觸區域經組態以裝設於在處理組態中定位在正面上之工件的外邊緣之徑向內側。工件基座亦包括裝設於基座主體內之一或多個軸向通道。軸向通道連接至延伸至正面之外部中的一或多個開口。該等開口之每一者皆裝設於基座主體之工件接觸區域的徑向外側。工件接觸區域之高度大於該面之外部,以在工件接觸區域之徑向外側且在基座主體之該正面與工件之間軸向地形成間隙。
在一些具體例中,提供一種用於沖洗工件基座的方法。該方法包含將工件裝載至基座主體之正面上的工件接觸區域上,使得工件之外邊緣裝設於工件接觸區域之徑向外側。該方法進一步包括將工件定位於處理組態中,使得基座主體之正面與反應腔室流體連通,且基座主體之背面與裝載腔室流體連通。該方法包括在反應腔室內提供第一壓力,及藉由在第二壓力下使沖洗氣體自基座主體內之一或多個通道流動來沖洗工件之外邊緣的背側,沖洗氣體流至徑向上在工件接觸區域之外側且軸向上在基座主體之正面與工件之間的間隙,以到達反應腔室。第二壓力大於第一壓力。
儘管在下文中揭示特定具體例及實施例,但彼等熟悉本技藝者應理解,本發明延伸超出本發明所具體揭示之具體例及/或用途及其明顯修改及等效物。因此,期望本文中所揭示之發明範疇不應受限於下文所描述之特定所揭示具體例。
基板製備及處理可能需要精確之製造技術及設備。此外,其處理可能需要維持及清潔。本文中描述用於改良基板處理之清潔度及品質的各種具體例。
諸如基板(例如,晶圓,包括半導體晶圓)之各種類型的實質上平坦工件通常在處理設備內之基座組合件上進行處理。基座組合件可包括基座,其可藉由將各種硬質材料(例如,陶瓷、石墨)機械加工成所需形狀並視情況塗覆塗層(例如,碳化矽(SiC))而形成。基座可以不同形狀形成以支撐各種形狀之工件,但許多基座為圓形。
如上文所提及,與反應物與基座之間的相互作用相關的數個品質控制問題可能在處理期間出現,尤其在基板的處理期間出現。此等問題可包括例如基板上之背側沈積、基座組合件及/或處理設備之各種部分或區上的不合需要沈積。此類品質控制問題可能會降低基板及半導體裝置之總體品質及生產,從而導致產量減少且成本增大。
當處理氣體流入基板與基座之間的空間中並沈積在基板之背表面上時,會發生背側沈積。因為處理氣體之流動不被控制在基板與基座之間,所以隨機沈積可能發生在基板之背側上。除了上文提及之問題之外,此隨機沈積可在背側上產生厚度不一致,從而可影響前側上之局部部位平坦度,並最終引起裝置一致性問題。
在典型製程中,反應物氣體在經加熱晶圓上方流過,從而使得薄反應物材料層在晶圓上化學氣相沈積(CVD)。通過依序處理,在積體電路中製造出多個層。其他例示性製程包括濺鍍沈積、光微影、乾式蝕刻、等離子體處理,及高溫退火。許多此等製程需要高溫且可在相同或類似反應腔室中執行。反應物氣體可包括金屬氯化物(例如,氯化鈦、四氯化鈦等)、其他金屬化合物(例如,鉬、鎢等)、矽基氣體(例如,矽烷、乙矽烷、三矽烷等)、氧化氣體(例如,H2 O、O2 、O3 等),及/或任何其他合適的反應物氣體。可製備各種膜,諸如金屬基(例如,鈦、鉬、鎢等)膜、矽基膜及/或其他膜。膜可包括氧化物層。在一些具體例中,反應物氣體可包括上文氣體中之任一者(或其組合)與諸如氫氣之其他氣體的混合物。在一些具體例中,可實施兩種或更多種反應物氣體。例如,可使用排除氫氣之第一反應物氣體(例如,鉬氣體),及/或第二反應物氣體可包括具有氫氣的混合物(例如,鉬氣體加上氫氣)。第二反應物氣體可在第一反應物氣體之後在基板上方流過。
晶圓可在各種溫度下進行處理以促進高品質的沈積。溫度控制在低於傳質範圍之溫度下尤其有用,諸如對於使用矽烷之矽CVD而言,該溫度約為500℃至900℃。在此動力學機制中,若溫度在晶圓之表面上不一致,則經沈積膜厚度將為不均勻的。然而,較低溫度有時可用於某些情境中。
晶圓之直徑亦可影響處理。近年來,大直徑晶圓之單晶圓處理已出於多種原因加以較廣泛地使用,包括對高於可在批次處理下獲得之製程控制精確度的製程控制精確度之需要。晶圓可由矽製成,最常具有約150 mm (約6吋)或約200 mm (約8吋)之直徑且具有約0.725 mm之厚度。最近,已利用具有約300 mm (約12吋)之直徑及約0.775 mm之厚度的較大矽晶圓,因為其甚至更有效地利用單晶圓處理之益處。未來預期甚至更大的晶圓。典型的單晶圓基座包括凹座或凹陷,晶圓在處理期間擱置在該凹座或凹陷內。在許多情況下,凹陷經塑形以極緊密地收容晶圓。
為解決上文所描述之一些問題,基座組合件或處理設備可包括沖洗通道設計。如所提及,此等改良可減少反應腔室內之反應物接觸基板(例如,晶圓)之背側、基座組合件之面或其他不合需要的區域。當反應物無意中接觸處理設備或基座組合件之某些區域時,可能需要清潔對應區域。此不僅會在處理基板時產生額外人工,且亦會降低未來晶圓處理之品質。
現將參考圖式。
圖1示意性地說明包含反應腔室101及裝載腔室102的半導體處理設備100的具體例。反應腔室101及裝載腔室102可一起被視為例如待實施成多模組「群集」工具的處理模組。在所說明具體例中,反應腔室101裝設於裝載腔室102上方,且該兩腔室由下文更詳細地描述的底板107及可移動底座或工件支撐件109分離。
在一些具體例中,與示意性圖式相反,反應腔室101可實質上小於裝載腔室102,該等圖式未按比例繪製。對於單晶圓處理模組,如所示,反應腔室101可具有約0.25公升與3公升之間的體積。在一些具體例中,反應腔室101可具有小於約1公升之體積。在一些具體例中,反應腔室101可為約900 mm長、600 mm寬及5 mm高。在一些具體例中,裝載腔室102可具有約30公升與約50公升之間的體積。在一些具體例中,裝載腔室102可具有約40公升之體積。在一些具體例中,裝載腔室102可具有約為反應腔室101之體積的35至45倍的體積。
在一些具體例中,反應腔室101可包含一或多個入口103(示出一個)及一或多個出口104(示出一個)。在處理期間,諸如反應物及沖洗氣體之氣體可通過反應腔室入口103流入反應腔室101中,且諸如多餘反應物、反應物副產物及沖洗氣體之氣體可通過反應腔室出口104流出反應腔室101。在一些具體例中,裝載腔室102可包含一或多個入口105(示出一個)及一或多個出口106(示出一個)。在操作中,諸如沖洗氣體之氣體可通過裝載腔室入口105流入裝載腔室102中,且諸如多餘反應物、反應物副產物及沖洗氣體之氣體可通過裝載腔室出口106流出裝載腔室102。所描繪組態(諸如入口103、105及出口104、106之位置)僅為示意性的,且可基於例如待於反應腔室101中執行之製程、氣體之所要流動路徑等而調整。沖洗氣體可包括單種沖洗氣體或沖洗氣體之混合物。例如,在一些具體例中,沖洗氣體可基本上由一或多種惰性氣體,諸如一或多種稀有氣體(例如,氦氣、氬氣、氖氣、氙氣等)組成。沖洗氣體可包括一或多種惰性氣體,而不包括任何反應氣體。在其他具體例中,沖洗氣體可包括例如一或多種惰性氣體及一或多種其他非惰性氣體。沖洗氣體可包括與諸如氫氣之反應氣體混合的惰性氣體。沖洗氣體可包括例如氫氣與氬氣之混合物。在一些具體例中,主要由一或多種惰性氣體組成(亦即,無任何反應氣體)之第一沖洗氣體可用於第一沖洗步驟,且包含與一或多種反應氣體混合之一或多種惰性氣體的混合物之第二沖洗氣體可用於第二沖洗步驟。在一些具體例中,此第二沖洗步驟順序上在此第一沖洗步驟之後。使用包括一或多種惰性氣體以及一或多種反應氣體之沖洗步驟可有助於改良反應物在基板上之分佈。例如,輸送系統(例如,噴頭)大體上可將反應物集中在基板之中心附近。在第二沖洗步驟期間,惰性與反應氣體之混合物可提供反應物在例如基板之邊緣附近的較好分佈。
在所說明具體例中,反應腔室101包含包括開口108之底板107。底板107之內部邊緣界定開口108。在一些具體例中,底板107可包含鈦。在所說明具體例中,反應腔室入口103大約與反應腔室出口104相對定位,使得自反應腔室入口103至反應腔室出口104流動之反應氣體大約平行於工件W之面,且因此平行於可移動支撐件之上部表面行進。此類反應器有時被稱作「交叉流」或水平層流反應器。在一些具體例中,設備100可為原子層沈積(ALD)反應器,使得其包括由控制系統113控制以單獨地提供反應物脈衝之閥。在一些具體例中,設備100可包括兩個或更多個閥,其由控制系統113獨立地控制以允許調節反應腔室101與裝載腔室102之間的相對壓力及/或流動方向。在一些具體例中,反應腔室入口103可包含分佈系統,以便以合乎需要之圖案分佈氣體。在一些具體例中,反應腔室101可在反應腔室出口104附近逐漸變窄,使得反應腔室101之高度在反應腔室出口104附近降低,藉此限制流過反應腔室出口104之氣流。儘管本文中可關於氣相沈積(例如,化學氣相沈積或CVD及/或原子層氣相沈積或ALD)反應器描述設備100,但設備100可替代地包含其他半導體處理工具,包括(但不限於)乾式蝕刻器、灰化器、快速熱退火器等。
設備100進一步包含可移動支撐件109,其經組態以藉由驅動機構110之操作在裝載位置與處理位置之間移動。根據一個具體例,圖1描繪處於裝載位置之支撐件109。支撐件109可經組態以固持諸如矽晶圓之工件(半導體工件W,參見圖2)。可將工件W以各種方式諸如藉由機器人之末端執行器而裝載至支撐件109並自支撐件卸載。支撐件109可包含升降銷111及/或切口,以有助於藉由葉片或叉來裝載及卸載工件W。支撐件109可包含在裝載工件W之後將其固持就位之真空系統,或僅重力可將工件W固持於經大小設定及塑形之凹座中以容納工件W。設備100可進一步包含一或多個閘閥112(示出一個),其用於將工件W裝載至支撐件109及自支撐件卸載該工件。閘閥112可允許進入例如傳遞腔室、負載鎖定、處理腔室、清潔室等。
控制系統113亦經組態或程式化以控制驅動機構110。在一些具體例中,驅動機構110可包含將豎直移動賦予至支撐件109之活塞或升降器。因此驅動機構110經組態以將支撐件109在反應器封閉操作期間移動至處理位置及在反應器打開操作期間移動至裝載位置,且因而移動裝設於支撐件109上之工件W。驅動機構110亦可經組態以旋轉裝設於支撐件109上之工件W。
圖2示意性地說明根據一個具體例的設備100,其中支撐件109示出為處於處理位置。當處於處理位置時,支撐件109接合底板107,從而有效地將反應腔室101之內部與裝載腔室102隔離或分離。此隔離可減少反應腔室101與裝載腔室102之間的污染。在一些具體例中,接合可包含在底板107與支撐件109之間產生金屬對金屬硬密封。在一些具體例中,接合可包含壓縮諸如O形環之柔韌材料的任一部分,以在底板107與支撐件109之間產生軟密封。在一些具體例中,接合可包含在支撐件109與底板107之間維持一間隙,使得不存在絕對密封。在設備100處於處理位置時,即使接合包含在支撐件109與底板107之間維持一間隙,支撐件仍可藉由實質性阻擋反應腔室101與裝載腔室102之間的流體連通而有效地分離反應腔室101與裝載腔室102。
圖3示出實施例基座主體200。圖1及圖2中所說明之支撐件109可包括基座主體200。基座主體200可包括背面204及正面202。基座主體200可包括支撐背面204及正面202之底座218。正面202可與背面204相對。整個正面202可實質上平坦,其中一些特徵延伸至正面202中或自該正面延伸,如本文中所描述。正面202可經組態以支撐諸如基板(例如,晶圓)之工件。正面202及/或背面204可形成一或多種形狀,諸如圓形、橢圓形、矩形等。如圖3中所示,正面202可實質上為圓形。因此,全文使用術語「周向」或相關術語。然而,本文中所描述之概念亦可適用於其他形狀。
主體200可包括一或多個開口222。開口222可延伸至正面202之外部220中。開口222可向支撐在正面202上之工件提供邊緣沖洗,如本文中進一步描述。開口222可與裝設於基座主體200內,例如至少部分在正面202與背面204之間的一或多個軸向通道(例如,圖4A至圖4C中所示之通道252)流體連通。在一些具體例中,主體可包括周向延伸之軸向通道。例如,周向延伸之軸向通道224可延伸至正面202中。開口222可與周向延伸之軸向通道224連通,如所示。周向延伸之軸向通道可以完整圓周延伸,以形成環形通道,如所示。
沖洗開口222可經組態以具有固定或可變剖面面積,以控制流過其中的流量。例如,沖洗開口222可包括諸如針閥之對應流量控制閥,以提供可調整之流量。在一些具體例中,開口222可包含具有所要剖面面積(例如,對應於給定直徑)及/或所要數量之固定孔口,以在一些製程條件下提供所要邊緣沖洗流量。例如,基座主體200可具有數目在約15與36之間的沖洗開口222,且在一些具體例中具有18個開口。沖洗開口222中之每一者可具有在約0.5 mm與2 mm之間的範圍內的直徑,且在一些具體例中直徑為約1.85 mm。
基座主體200可包括工件接觸區域210。工件接觸區域210可至少部分地形成圍繞正面202之內部226的支撐邊界。在一些具體例中,可在工件接觸區域210與固持在上面的基板之間的界面處形成密封,以防止正面202之外部220與內部226之間發生流動。工件接觸區域210可經組態以裝設在定位於正面202上的工件之外邊緣的徑向內側。工件接觸區域210之高度可大於正面202之外部220,以在工件接觸區域210之徑向外側,且在工件定位於正面202上時,在基座主體200之正面202與工件之間軸向地形成間隙(未示出)。工件接觸區域210可包括自正面202延伸之周向肋狀物。開口222中之每一者可裝設在工件接觸區域210之徑向外側。工件接觸區域210可具有在約1.5 mm與約3 mm之間的範圍內之厚度(亦即,徑向寬度),且在一些具體例中厚度為約2 mm。在工件接觸區域210為圓形之具體例中,其可形成直徑在約286 mm與約292 mm之間的範圍內的大約環狀支撐環,且在一些具體例(例如,經組態以固持300 mm工件之具體例)中,直徑為約288 mm。在一些具體例中,自工件之外邊緣至工件接觸區域210之徑向距離可在約10 mm與約12 mm之間的範圍內,且在一些具體例中約為12 mm。
基座主體可包括工件保持部分214。工件保持部分214可包括徑向向內之周向輪緣。工件保持部分214可定位於一或多個開口222之徑向外側,且可經組態以防止工件之徑向移動。此可防止工件受損並促進工件之較高品質沈積。工件保持部分214可延伸至比工件接觸區域210大的高度,以使工件保持支撐在工件接觸區域上。基座主體200可包括面向工件保持部分214之徑向外側的周向邊緣。在一些具體例中,工件保持部分214在正面202上方可具有在約0.8 mm與約1 mm之間的範圍內之高度,且在一些具體例中該高度為約0.9 mm。
基座主體200可包括在正面202之內部226內的內真空區。真空區可在開口222、軸向通道(例如,周向沖洗通道224)及/或工件接觸區域210之徑向內側。內真空區可包括延伸至正面202中之一或多個真空凹槽。例如,周向凹槽236可延伸至正面202中以形成外真空邊界。一或多個徑向真空凹槽可延伸至正面202中。內真空區可由一或多個徑向真空凹槽246分割成一或多個區段。徑向真空凹槽246可形成內真空區之2、3、4、5、6或更多個區段。基座主體200可包括延伸至正面202之內真空區中的一或多個真空孔徑244。真空孔徑244可與真空流體連通。當工件在正面202上時,可經由真空孔徑244施加真空並沿著徑向真空凹槽246、周向凹槽236及/或內真空區之其他區向工件施加負壓。基座主體200可在內真空區中包括壓印及/或凸起特徵,諸如圖3中所示之凸起特徵232。凸起特徵232可在工件與正面202的一部分(例如,內真空區)之間提供較小間隔。此間隔可改良所施加真空之功能性及效果。凸起特徵232可有助於減少工件至基座主體200之黏附,及/或可減少與工件之背側的直接接觸,此繼而可減少污染或潛在工件受損。凸起特徵232亦可改良至工件206之熱傳導的一致性。
可包括一或多個升降銷孔228,其允許升降銷延伸穿過主體200,且允許將工件(例如,晶圓)置放於正面202上及/或自正面移除工件。升降銷孔228可裝設在周向凹槽236之徑向內側或徑向外側。在一些具體例中,存在三個升降銷孔228,但另一數目是可能的。
圖4A示出上文關於圖3所描述的基座主體200之一部分的示意性剖面側視圖。例如,圖4A示出在正面202與背面204之間裝設於基座主體200內之軸向沖洗通道252及開口222。在一些具體例中,軸向沖洗通道252中之每一者可經由周向沖洗通道224(圖3)流體連通。
工件206示出為接觸正面202之工件接觸區域210。如所示,工件接觸區域210之高度可大於正面202之外部220。在一些設計中,流體間隙270可形成於工件206與在工件接觸區域210徑向外側的正面202之間。所示具體例可允許沖洗流體沿著工件206之背側且圍繞工件206之外邊緣在間隙270內流過軸向沖洗通道252及開口222。此組態可減少或防止用於沈積於工件206之前側上的氣體在工件206之邊緣或背側上沈積材料。在一些具體例中,沖洗流體可流過周向沖洗通道,諸如圖3中所示之通道224。在一些具體例中,流體間隙270可在約0.1 mm與約0.18 mm之間的範圍內,且在一些具體例中流體間隙270為約0.15 mm。
圖4A亦示出如下具體例之進一步細節:工件保持部分214以比如上文關於圖3所描述之工件接觸區域210大的高度來裝設。
圖4B示出具有底座218之實施例基座主體200的透視剖面圖。底座218可用於支撐基座主體200,例如藉由經由附接至背面204而實現支撐。底座218中可另外或替代地包括一或多個通道。例如,一或多個底座沖洗通道260可裝設於底座218中。一或多個底座沖洗通道260可縱向地延伸穿過底座218,且可經組態以與複數個開口222中之至少一者流體連通。例如,基座主體202可包括兩個軸向沖洗通道252,如本文中在別處所描述。基座主體200可包括定位於正面202與背面204之間的一或多個徑向沖洗通道248。一或多個徑向沖洗通道248可自軸向沖洗通道252中之至少一者延伸及/或與其流體連通,且可在軸向通道252與縱向底座通道260之間提供流體連通。在一些具體例中,示出為周向沖洗通道256之周向凹槽可與徑向通道248及軸向通道252流體連通(例如,在兩通道間提供流體連通)。在一些具體例中,底座沖洗通道260可具有在約3 mm與約5 mm之間的範圍內之直徑,且在一些具體例中直徑為約4 mm。徑向沖洗通道248可具有在約2 mm與約4 mm之間的範圍內之直徑,且在一些具體例中直徑為約3 mm。
圖4C示出包括連接正面202與背面204之軸向沖洗通道252的實施例基座主體200。如細節圖中最佳所示,在一些具體例中,軸向沖洗通道252可完全穿過主體200,該通道自正面202且穿過正面至背面204並穿過背面204。如上文亦關於圖3所描述,基座主體200可包括升降銷孔228。如所示,底座218可附接至或包括諸如錨定組合件之其他特徵,以提供對升降機構、流體學及/或其他特徵之安全附接。
圖5示出實施例基座主體200之剖面圖。在一些具體例中,圖5中所示之視圖為沿著不同於(例如,正交)圖4C中所示之視圖的平面之剖面之視圖,且其示出上文所論述且圖3中所示之許多類似真空特徵。如此處所示,基座主體200可包括與一或多個徑向真空凹槽246流體連通之一或多個底座真空通道266。亦示出周向凹槽236。底座真空通道266可徑向裝設於底座218之邊緣附近。底座真空通道266可為縱向的(以形成縱向真空通道)且可延伸穿過底座218。在一些具體例中,真空通道266經組態以與真空孔徑244中之一或多者流體連通。另外或替代地,底座真空通道266可與複數個徑向真空凹槽246中之至少一者流體連通。徑向真空凹槽246可與真空孔徑244及/或周向凹槽236流體連通。在一些具體例中,真空孔244彼此可具有在約43 mm與約52 mm之間的範圍內之間隔距離,且在一些具體例中距離為約51.5 mm。
圖6示出實施例基座主體200之剖面的透視圖。剖面可為沿著不同於圖4A及圖4B中所示之平面的平面。如所示,周向沖洗通道256可裝設在整個基座主體200上。周向沖洗通道256之此配置可允許任何沖洗氣體更大程度地進入並穿透整個基座主體200(例如,正面202與背面204之間)。
亦示出諸如徑向熱電偶通道262之熱電偶通道。熱電偶通道在本文中可經組態以包括在其中延伸之熱電偶。基座主體200可包括至少部分裝設於熱電偶通道262內之熱電偶。基座主體200可包括一或多個徑向熱電偶通道262。徑向熱電偶通道262可定位於正面202與背面204之間。
底座218可包括縱向延伸穿過其中之縱向熱電偶通道264,該通道中經組態以收容熱電偶。縱向熱電偶通道264可與熱電偶通道262流體連通。熱電偶可經組態以量測徑向延伸穿過熱電偶通道262之各種點處之溫度。此長熱電偶通道262可允許獲得基座主體200之較大部分上的較精確溫度資訊,及/或允許在需要時修改沈積製程。
圖7示出在正面202與背面204之間的實施例基座主體200之剖面俯視圖。如所示,基座主體200可包括複數個徑向沖洗通道248。徑向沖洗通道248可與對應底座沖洗通道260流體連通,如所示。徑向沖洗通道248之一或多個分組可與對應底座沖洗通道260相關聯。一分組內之徑向沖洗通道248中之每一者可彼此徑向偏移。另外或替代地,分組之間的徑向沖洗通道248可彼此偏移。例如,徑向偏移(例如,分組內、分組間)可在約5度與140度之間。例如,徑向偏移可約在50度與70度之間。在一些具體例中,同一分組內之相鄰徑向沖洗通道248之間的徑向偏移可大約相等。另外或替代地,所有相鄰徑向沖洗通道248當中之偏移可大約相等。熱電偶通道262可大約裝設於與徑向沖洗通道248中之一或多者相同的平面中,如所示。
圖8示出用於使用處理設備沖洗工件基座之實施例方法300,該基座諸如包括參考圖3至圖7所描述之基座主體200的基座之具體例,該處理設備諸如參考圖2至圖2所描述之設備100,且在一些具體例中為諸如控制器113之控制器。方法300可包括將工件裝載至基座主體之正面上的工件接觸區域上,使得工件之外邊緣裝設於工件接觸區域之徑向外側,如區塊304處所示。方法300可包括將工件定位於處理組態中,使得基座主體之正面與反應腔室流體連通,如區塊308中所示。定位可包括定位基座主體之背面,從而使得其與裝載腔室流體連通。如區塊312中所示,方法300可包括在反應腔室內提供第一壓力。在區塊316處,方法300可包括藉由在第二壓力下使沖洗氣體自基座內之複數個通道流動來沖洗工件之外邊緣的背側。沖洗氣體可流至徑向上在工件接觸區域之外側且軸向上在基座主體之正面與工件之間的間隙,以到達反應腔室。在一些具體例中,第二壓力大於第一壓力。在一些具體例中,第二壓力經組態以高於裝載腔室內之第一壓力。
在一些具體例中,工件接觸區域包括自正面延伸之周向肋狀物。在一些具體例中,將工件裝載至工件接觸區域上可包括將工件裝載至定位於工件接觸區域之徑向外側的工件保持部分之徑向內側。工件保持部分可經組態以防止工件之徑向移動,諸如本文中所揭示。沖洗工件之外邊緣的背側可包括使沖洗氣體流過裝設於基座主體內之複數個軸向通道。軸向通道可延伸穿過工件基座主體及基座主體之背面。
在一些具體例中,沖洗工件之外邊緣的背側包括使沖洗氣體流過延伸至正面之外部中的複數個開口,開口中之每一者裝設於基座主體之工件接觸區域的徑向外側。該等開口之一或多者可裝設成與複數個軸向通道之對應通道流體連通。在一些具體例中,沖洗工件之外邊緣的背側可包括使沖洗氣體流過定位於正面與背面之間的複數個徑向通道。徑向通道可自複數個軸向通道中之至少一者延伸及/或與其流體連通。
方法300可包括經由延伸至正面之內部中的複數個孔徑將真空施加至工件之背側。將真空施加至工件之背側可包括將真空施加至延伸穿過經組態以支撐背面之底座的至少一個縱向真空通道。至少一個縱向真空通道可與複數個孔徑中之至少一者流體連通。方法300之一或多個態樣的特徵可包括上文關於圖1至圖7所揭示之基座主體200的功能性及特徵。說明性實施例
下文提供各種實施例。
在第1實施例中,一種工件基座主體包含:經組態以支撐工件之正面;與正面相對之背面;至少部分地形成圍繞正面之內部的支撐邊界之工件接觸區域,工件接觸區域經組態以裝設於在處理組態中定位在正面上之工件的外邊緣之徑向內側;裝設於基座主體內之一或多個軸向通道,軸向通道連接至延伸至正面之外部中的一或多個開口,開口中之每一者裝設於基座主體之工件接觸區域的徑向外側;其中工件接觸區域之高度大於該正面之外部,以在工件接觸區域之徑向外側,且在基座主體之正面與工件之間軸向地形成間隙。
在第2實施例中,如實施例1之工件基座主體,其中工件接觸區域包含自正面延伸之周向肋狀物。
在第3實施例中,如實施例1至2中任一項之工件基座主體,其進一步包含工件。
在第4實施例中,如實施例1至3中任一項之工件基座主體,其進一步包含定位於開口之徑向外側的工件保持部分,工件保持部分經組態以防止工件之徑向移動。
在第5實施例中,如實施例4之工件基座主體,其中工件保持部分以大於工件接觸區域之高度來裝設。
在第6實施例中,如實施例1至5中任一項之工件基座主體,其中軸向通道延伸穿過工件基座主體及背面。
在第7實施例中,如實施例1至6中任一項之工件基座主體,其進一步包含複數個徑向通道,該等通道定位於正面與背面之間,且自複數個軸向通道中之至少一者延伸並與之流體連通。
在第8實施例中,如實施例7之工件基座主體,其進一步包含經組態以支撐背面之底座,及延伸穿過底座且經組態以與複數個徑向通道中之至少一者流體連通的至少一個縱向沖洗通道。
在第9實施例中,如實施例8之工件基座主體,其進一步包含延伸至正面之內部中的一或多個孔徑,複數個孔徑經組態以與真空流體連通。
在第10實施例中,如實施例9之工件基座主體,其進一步包含至少一個縱向真空通道,該通道延伸穿過底座且經組態以與複數個孔徑中之至少一者流體連通。
在第11實施例中,如實施例10之工件基座主體,其進一步包含延伸至正面中之複數個徑向凹槽,複數個徑向凹槽中之每一者與複數個孔徑中之至少一者流體連通。
在第12實施例中,如實施例11之工件基座主體,其進一步包含與複數個徑向凹槽流體連通之周向凹槽。
在第13實施例中,如實施例12之工件基座主體,其中周向凹槽在正面上形成內真空區,該主體進一步包含內真空區內自正面延伸之複數個突出部。
在第14實施例中,如實施例7至13中任一項之工件基座主體,其中複數個徑向通道包含複數個徑向流體通道,複數個徑向流體通道進一步包含定位於正面與背面之間的至少一個徑向熱電偶通道,徑向熱電偶通道經組態以收容熱電偶。
在第15實施例中,如實施例8至13中任一項之工件基座主體,其中複數個徑向通道包含複數個徑向流體通道,該主體進一步包含定位於正面與背面之間的至少一個徑向熱電偶通道,該主體進一步包含延伸穿過底座之至少一個縱向熱電偶通道,至少一個徑向熱電偶通道及縱向熱電偶通道經組態以收容熱電偶。
在第16實施例中,一種用於沖洗工件基座的方法包含:將工件裝載至基座主體之正面上的工件接觸區域上,使得工件之外邊緣裝設於工件接觸區域之徑向外側;將工件定位於處理組態中,其中基座主體之正面與反應腔室流體連通且其中基座主體之背面與裝載腔室流體連通;在反應腔室內提供第一壓力;藉由在第二壓力下使沖洗氣體自基座主體內之一或多個通道流動來沖洗工件之外邊緣的背側,沖洗氣體流至徑向上在工件接觸區域之外側且軸向上在基座主體之正面與工件之間的間隙,以到達反應腔室,其中第二壓力大於第一壓力。
在第17實施例中,如實施例16之方法,其中工件接觸區域包含自正面延伸之周向肋狀物。
在第18實施例中,如實施例16至17中任一項之方法,其中將工件裝載至工件接觸區域上包含將工件裝載於定位在工件接觸區域之徑向外側的工件保持部分之徑向內側,工件保持部分經組態以防止工件之徑向移動。
在第19實施例中,如實施例16至18中任一項之方法,其中沖洗工件之外邊緣的背側包含使沖洗氣體流過裝設於基座主體內之一或多個軸向通道,其中軸向通道延伸穿過工件基座主體及背面。
在第20實施例中,如實施例19之方法,其中沖洗工件之外邊緣的背側進一步包含使沖洗氣體流過延伸至正面之外部中的一或多個開口,開口中之每一者裝設於基座主體之工件接觸區域的徑向外側,開口中之每一者裝設成與一或多個軸向通道中之至少一者流體連通。
在第21實施例中,如實施例20之方法,其中沖洗工件之外邊緣的背側進一步包含使沖洗氣體流過定位於正面與背面之間的複數個徑向通道,且徑向通道自一或多個軸向通道中之至少一者延伸並與之流體連通。
在第22實施例中,如實施例21之方法,其進一步包含經由延伸至正面之內部中的一或多個孔徑將真空施加至工件之背側。
在第23實施例中,如實施例22之方法,其中將真空施加至工件之背側包含將真空施加至延伸穿過經組態以支撐背面之底座的至少一個縱向真空通道,至少一個縱向真空通道與一或多個孔徑中之至少一者流體連通。
在第24實施例中,如實施例16至23中任一項之方法,其中沖洗氣體包含惰性氣體及反應氣體。
在第25實施例中,如實施例24之方法,其中惰性氣體包含氬氣且反應氣體包含氫氣。
在第26實施例中,如實施例16至23中任一項之方法,其中沖洗包含第一沖洗步驟,其包含基本上由惰性氣體組成之第一沖洗氣體;及第二沖洗步驟,其包含包括惰性氣體及反應氣體之第二沖洗氣體。
在第27實施例中,如實施例26之方法,其中第二沖洗步驟順序上在第一沖洗步驟之後。額外考量
可就功能區塊組件及各種處理步驟而言來描述本發明態樣及實施。此類功能區塊可藉由任何數目個硬體或軟體組件實現,該等硬體或軟體組件經組態以執行指定功能並實現各種結果。例如,本發明態樣可採用各種感測器、偵測器、流量控制裝置、加熱器等等,其可實行多種功能。另外,本發明態樣及實施可結合任何數目個處理方法來實踐,且所描述之設備及系統可採用任何數目個處理方法,並且所描述之設備及系統僅為本發明的應用之實施例。
文字「例示性」在本文中用以意謂「充當實施例、例項或說明」。本文中描述為「例示性」之任何態樣或具體例未必應詮釋為比其他態樣或具體例較佳或有利。在下文中參考附圖更完整地描述新穎系統、設備及方法之各種態樣。然而,本發明可以許多不同形式來體現,且不應將其詮釋為限於貫穿本發明所呈現之任何特定結構或功能。確切而言,提供此等態樣,從而使得本發明將為透徹且完整的,且將向熟悉本技藝者充分傳達本發明之範疇。基於本文中之教示,熟悉本技藝者應瞭解,本發明之範疇意欲涵蓋本文中所揭示之新穎系統、設備及方法之任何態樣,不論其是獨立於所描述任何其他態樣實施,或是與之組合地實施。例如,可使用本文中所記載之任何數目個態樣來實施設備或可使用本文中所記載之任何數目個態樣來實踐方法。另外,本發明之範疇意欲涵蓋使用除本文中所記載之本發明各種態樣之外的或不同於本文中所記載之本發明各種態樣的其他結構、功能性,或結構與功能性來實踐的該設備或方法。應理解,可藉由申請專利範圍之一或多個要素來體現本文中所揭示之任何態樣。
亦應理解,除非在本專利中使用句子「如本文中所使用,術語「___」據此界定以意指 …」或類似句子來明確地界定術語,否則並不意欲明確地或藉由暗示將彼術語之含義限為超出其普通或一般含義,且此術語不應基於本專利之任何章節中的任何表述(申請專利範圍之語言除外)而被解釋為限制範疇。在某種程度上,在本專利末尾的申請專利範圍中所列述之任何術語在本專利中以與單一含義一致的方式被提及,此僅是為了清楚起見,以免使讀者感到混淆,而非意欲將此申請專利範圍術語藉由暗示或以其他方式限於彼單一含義。
除非另外具體陳述,或另外在如所使用的上下文內進行理解,否則條件性語言(諸如,「可(can、could、might或may)」)大體上意欲傳達:某些具體例包括某些特徵、元件及/或步驟,而其他具體例並不包括該等特徵、元件及/或步驟。因此,此條件性語言大體上並非意欲暗示特徵、元件及/或步驟無論如何皆為一或多個具體例所需要的,或者該一或多個具體例必需包括用於在具有或不具有使用者輸入或提示情況下決定此等特徵、元件及/或步驟是否包括於任一特定具體例中,或是否待於任一特定具體例中執行此等特徵、元件及/或步驟的邏輯。
除非另外具體陳述,否則諸如片語「X、Y及Z中之至少一者」的連接語言在所使用之上下文的情況下應理解為一般傳達項目、項等可為X、Y或Z。因此,此連接語言大體上並不意欲暗示某些具體例需要存在X中的至少一者、Y中的至少一者,以及Z中的至少一者。
本文中所使用之程度語言,諸如如本文中所使用之術語「大約」、「約」、「大體上」及「實質上」表示接近於所陳述之值、量或特性的值、量或特性仍執行所要功能或實現所要結果。例如,取決於所要功能或所要結果,術語「大約」、「約」、「大體上」及「實質上」可指一量在所陳述量之小於10%內、小於5%內、小於1%內、小於0.1%內及小於0.01%內。
雖然已描述某些具體例,但此等具體例僅藉助於實施例呈現,且並不意欲限制本發明之範疇。實際上,本文中所描述之新穎方法及系統可以多種其他形式體現。此外,可在不背離本發明之精神的情況下在本文中所描述之系統及方法中作出各種省略、替代及改變。隨附申請專利範圍及其等效物意欲涵蓋將落入本發明範疇及精神內之諸等形式或修改。
結合特定態樣、具體例或實施例描述之特徵、材料、特性或基團應理解為適用於本章節或本說明書中之別處所描述之任何其他態樣、具體例或實施例,除非與其不相容。本說明書中揭示之所有特徵(包括任何隨附申請專利範圍、摘要及圖式)及/或如此揭示之任何方法或製程之所有步驟,可以任何組合形式組合,此類特徵及/或步驟中之至少一些相互排斥之組合除外。保護範圍不限於任何前述具體例之細節。保護範圍延伸至本說明書(包括任何隨附申請專利範圍、摘要及圖式)中所揭示之特徵之任何新穎特徵或任何新穎組合,或延伸至如此揭示之任何方法或製程之步驟的任何新穎步驟或任何新穎組合。
此外,在單獨實施之上下文中描述於本發明中之某些特徵亦可在單個實施中以組合形式實施。相反地,在單個實施之上下文中所描述之各種特徵亦可單獨地在多個實施中分別或以任何合適子組合而實施。此外,儘管上文可將特徵描述為以某些組合起作用,但來自所主張組合之一或多個特徵在一些情況下可自該組合刪除,且該組合可主張為子組合或子組合之變化。
此外,雖然圖式中可以特定次序描繪操作或說明書中可以特定次序描述操作,但此類操作無需以所示特定次序或依序次序執行,或無需執行所有操作以實現合乎需要之結果。未描繪或描述之其他操作可併入於實施例方法及製程中。例如,可在所描述操作中之任一者之前、之後、同時或之間執行一或多個額外操作。此外,可在其他實施中重新配置或重排序操作。熟悉本技藝者將瞭解,在一些具體例中,所說明及/或揭示之製程中採取的實際步驟可不同於圖式中所示之彼等步驟。取決於具體例,可移除上文所描述之某些步驟,可添加其他步驟。此外,可以不同方式組合上文所揭示之特定具體例的特徵及屬性以形成額外具體例,其皆屬於本發明之範疇內。又,不應將上文所描述實施中之各種系統組件的間隔理解為在所有實施中皆要求此間隔,且應理解,所描述組件及系統大體上可一起整合於單個產品中或封裝成多個產品。例如,可單獨地提供本文中所描述之能量儲存系統的任何組件,或將其整合在一起(例如,封裝在一起或附接在一起)以形成能量儲存系統。
出於本發明之目的,本文中描述某些態樣、優勢及新穎特徵。未必所有此類優勢皆可根據任何特定具體例來實現。因此,例如,熟悉本技藝者將認識到,可以如本文中所教示來實現一個優勢或一組優勢而未必實現如本文中可能教示或建議之其他優勢的方式來體現或實行本發明。
本文中所提供之標題(若存在)僅是為方便起見,且未必影響本文中所揭示之裝置及方法的範疇或含義。
本發明之範疇並不意欲受本章節中或本說明書中之別處的較佳具體例之特定揭示內容限制,且可由如本章節中或本說明書中之別處或如未來呈現之申請專利範圍來界定。申請專利範圍之語言應基於申請專利範圍中所採用之語言作廣義解讀,且不限於本說明書中所描述或在本申請案之審查期間所描述的實施例,該等實施例應詮釋為非排他性的。
100:半導體處理設備 101:反應腔室 102:裝載腔室 103、105:入口 104、106:出口 107:底板 108:開口 109:工件支撐件 110:驅動機構 111:升降銷 112:閘閥 113:控制系統 200:基座主體 202:正面 204:背面 206:工件 210:工件接觸區域 214:工件保持部分 218:底座 220:外部 222:沖洗開口 224:周向沖洗通道 226:內部 228:升降銷孔 232:凸起特徵 236:周向凹槽 244:真空孔徑 246:徑向真空凹槽 248:徑向沖洗通道 252:軸向沖洗通道 256:周向沖洗通道 260:底座沖洗通道,縱向底座通道 262:徑向熱電偶通道 264:縱向熱電偶通道 266:底座真空通道 270:流體間隙 300:方法 304、308、312、316:區塊 W:半導體工件
通過以下參考附圖對本發明之具體例進行的說明性且非限制性詳細描述,將較好地理解本發明概念之上文以及額外目標、特徵及優勢。在圖式中,除非另外陳述,否則相同符號說明將用於相同元件。 圖1示意性地說明根據一個具體例的具有處於裝載位置的工件支撐件之半導體處理設備的剖面。 圖2示意性地說明根據一個具體例的圖1之設備,其中工件支撐件示出為處於處理位置。 圖3示出包括支撐背面及正面之底座的實施例基座主體。 圖4A示出基座主體的一部分之示意性剖面側視圖。 圖4B示出具有底座的實施例基座主體之透視剖面圖。 圖4C示出包括連接主體之正面與主體之背面的軸向沖洗通道的實施例基座主體。 圖5示出實施例基座主體之剖面圖。 圖6示出實施例基座主體之剖面的透視圖。 圖7示出在正面與背面之間分段的實施例基座主體之剖面俯視圖。 圖8示出用於沖洗工件基座的實施例方法。
200:基座主體
202:正面
206:工件
210:工件接觸區域
214:工件保持部分
222:沖洗開口
252:軸向沖洗通道
270:流體間隙

Claims (20)

  1. 一種工件基座主體,包括: 一正面,其經組態以支撐一工件; 一背面,其與該正面相對; 一工件接觸區域,其至少部分地形成圍繞該正面之一內部的一支撐邊界,該工件接觸區域經組態以裝設於在一處理組態中定位在該正面上之一工件的一外邊緣之徑向內側; 一或多個軸向通道,其裝設於該工件基座主體內,該等軸向通道連接至延伸至該正面之一外部中的一或多個開口,該等開口中之每一者裝設於該工件基座主體之該工件接觸區域的徑向外側; 其中該工件接觸區域之高度大於該正面之外部,以在該工件接觸區域之徑向外側且在該工件基座主體之該正面與該工件之間軸向地形成一間隙。
  2. 如請求項1所述的工件基座主體,其中該工件接觸區域包含自該正面延伸之一周向肋狀物。
  3. 如請求項1所述的工件基座主體,其進一步包含該工件。
  4. 如請求項1所述的工件基座主體,其進一步包含定位於該等開口之徑向外側的一工件保持部分,該工件保持部分經組態以防止該工件之徑向移動。
  5. 如請求項4所述的工件基座主體,其中該工件保持部分是以比該工件接觸區域大之高度來裝設。
  6. 如請求項1所述的工件基座主體,其中該等軸向通道延伸穿過該工件基座主體及該背面。
  7. 如請求項1所述的工件基座主體,其進一步包含複數個徑向通道,該複數個徑向通道定位於該正面與該背面之間,且自該等軸向通道中之至少一者延伸並與該等軸向通道中之至少一者流體連通。
  8. 如請求項7所述的工件基座主體,其進一步包含經組態以支撐該背面之一底座,及延伸穿過該底座且經組態以與該複數個徑向通道中之至少一者流體連通的至少一個縱向沖洗通道。
  9. 如請求項8所述的工件基座主體,其進一步包含延伸至該正面之該內部中的複數個孔徑,該複數個孔徑經組態以與一真空流體連通。
  10. 如請求項9所述的工件基座主體,其進一步包含至少一個縱向的真空通道,該真空通道延伸穿過該底座且經組態以與該複數個孔徑中之至少一者流體連通。
  11. 如請求項10所述的工件基座主體,其進一步包含延伸至該正面中之複數個徑向凹槽,該複數個徑向凹槽中之每一者與該複數個孔徑中之至少一者流體連通。
  12. 如請求項11所述的工件基座主體,其進一步包含與該複數個徑向凹槽流體連通之一周向凹槽。
  13. 如請求項12所述的工件基座主體,其中該周向凹槽在該正面上形成一內真空區,該工件基座主體進一步包含該內真空區內自該正面延伸之複數個突出部。
  14. 如請求項7所述的工件基座主體,其中該複數個徑向通道包含複數個徑向流體通道,該複數個徑向流體通道進一步包含定位於該正面與該背面之間的至少一個徑向熱電偶通道,該徑向熱電偶通道經組態以收容一熱電偶。
  15. 如請求項8所述的工件基座主體,其中該複數個徑向通道包含複數個徑向流體通道,該工件基座主體進一步包含定位於該正面與該背面之間的至少一個徑向熱電偶通道,該工件基座主體進一步包含延伸穿過該底座之至少一個縱向熱電偶通道,該至少一個徑向熱電偶通道及該至少一個縱向熱電偶通道經組態以收容一熱電偶。
  16. 一種用於沖洗工件基座的方法,包括: 將一工件裝載至一基座主體之一正面上的一工件接觸區域上,使得該工件之一外邊緣裝設於該工件接觸區域之徑向外側; 將該工件定位於一處理組態中,其中該基座主體之該正面與一反應腔室流體連通,且其中該基座主體之一背面與一裝載腔室流體連通; 在該反應腔室內提供一第一壓力; 藉由在一第二壓力下使沖洗氣體自該基座主體內之一或多個通道流動來沖洗該工件之該外邊緣的一背側,沖洗氣體流至徑向上在該工件接觸區域之外側且軸向上在該基座主體之該正面與該工件之間的一間隙以到達該反應腔室,其中該第二壓力大於該第一壓力。
  17. 如請求項16所述的方法,其中將該工件裝載至該工件接觸區域上包含將該工件裝載於定位在該工件接觸區域之徑向外側的一工件保持部分之徑向內側,該工件保持部分經組態以防止該工件之徑向移動。
  18. 如請求項17所述的方法,其中沖洗該工件之該外邊緣的該背側包含使沖洗氣體流過裝設於該基座主體內之一或多個軸向通道,其中該等軸向通道延伸穿過該基座主體及該背面。
  19. 如請求項16所述的方法,其中該沖洗氣體包含一惰性氣體及一反應氣體。
  20. 如請求項19所述的方法,其中該惰性氣體包含氬氣且該反應氣體包含氫氣。
TW109116162A 2019-05-22 2020-05-15 工件基座主體及用於沖洗工件基座的方法 TW202110587A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962851414P 2019-05-22 2019-05-22
US62/851,414 2019-05-22

Publications (1)

Publication Number Publication Date
TW202110587A true TW202110587A (zh) 2021-03-16

Family

ID=73442096

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109116162A TW202110587A (zh) 2019-05-22 2020-05-15 工件基座主體及用於沖洗工件基座的方法

Country Status (5)

Country Link
US (2) US11404302B2 (zh)
JP (1) JP2020191450A (zh)
KR (1) KR20200135734A (zh)
CN (1) CN111979529A (zh)
TW (1) TW202110587A (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
TW202110587A (zh) 2019-05-22 2021-03-16 荷蘭商Asm Ip 控股公司 工件基座主體及用於沖洗工件基座的方法
US11764101B2 (en) * 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
CN115142046B (zh) * 2021-03-31 2024-03-12 中微半导体设备(上海)股份有限公司 基片承载组件、化学气相沉积设备及吹扫方法

Family Cites Families (148)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3407783A (en) 1964-08-31 1968-10-29 Emil R. Capita Vapor deposition apparatus
US3549847A (en) 1967-04-18 1970-12-22 Gen Electric Graphite susceptor
JPS4930319B1 (zh) 1969-08-29 1974-08-12
US3796182A (en) 1971-12-16 1974-03-12 Applied Materials Tech Susceptor structure for chemical vapor deposition reactor
US5242501A (en) 1982-09-10 1993-09-07 Lam Research Corporation Susceptor in chemical vapor deposition reactors
US4499354A (en) 1982-10-06 1985-02-12 General Instrument Corp. Susceptor for radiant absorption heater system
US4522149A (en) 1983-11-21 1985-06-11 General Instrument Corp. Reactor and susceptor for chemical vapor deposition process
US4560420A (en) 1984-06-13 1985-12-24 At&T Technologies, Inc. Method for reducing temperature variations across a semiconductor wafer during heating
JPS6169116A (ja) 1984-09-13 1986-04-09 Toshiba Ceramics Co Ltd シリコンウエハ−の連続cvdコ−テイング用サセプター
NL8602356A (nl) 1985-10-07 1987-05-04 Epsilon Ltd Partnership Inrichting en werkwijze voor een axiaal symmetrische reactor voor het chemische uit damp neerslaan.
US5200157A (en) 1986-02-17 1993-04-06 Toshiba Ceramics Co., Ltd. Susceptor for vapor-growth deposition
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPS63186422A (ja) 1987-01-28 1988-08-02 Tadahiro Omi ウエハサセプタ装置
US4821674A (en) 1987-03-31 1989-04-18 Deboer Wiebe B Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4978567A (en) 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
KR0155545B1 (ko) 1988-06-27 1998-12-01 고다까 토시오 기판의 열처리 장치
US5306699A (en) 1988-08-31 1994-04-26 Superconductor Technologies, Inc. Reactor vessel for manufacture of superconducting films
US4986215A (en) 1988-09-01 1991-01-22 Kyushu Electronic Metal Co., Ltd. Susceptor for vapor-phase growth system
JPH0834187B2 (ja) 1989-01-13 1996-03-29 東芝セラミックス株式会社 サセプタ
JP2731855B2 (ja) 1989-02-14 1998-03-25 アネルバ株式会社 減圧気相成長装置
US5156820A (en) 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US5119540A (en) 1990-07-24 1992-06-09 Cree Research, Inc. Apparatus for eliminating residual nitrogen contamination in epitaxial layers of silicon carbide and resulting product
US4990374A (en) 1989-11-28 1991-02-05 Cvd Incorporated Selective area chemical vapor deposition
US5108792A (en) 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
DE69126724T2 (de) 1990-03-19 1998-01-15 Toshiba Kawasaki Kk Vorrichtung zur Dampfphasenabscheidung
US5098198A (en) 1990-04-19 1992-03-24 Applied Materials, Inc. Wafer heating and monitor module and method of operation
US5094885A (en) 1990-10-12 1992-03-10 Genus, Inc. Differential pressure cvd chuck
JPH0410529A (ja) 1990-04-27 1992-01-14 Shin Etsu Handotai Co Ltd サセプタ及びウエーハ自動脱着装置
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5121531A (en) 1990-07-06 1992-06-16 Applied Materials, Inc. Refractory susceptors for epitaxial deposition apparatus
US5620525A (en) 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5044943A (en) 1990-08-16 1991-09-03 Applied Materials, Inc. Spoked susceptor support for enhanced thermal uniformity of susceptor in semiconductor wafer processing apparatus
US5298465A (en) 1990-08-16 1994-03-29 Applied Materials, Inc. Plasma etching system
US5304248A (en) 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5356486A (en) 1991-03-04 1994-10-18 Applied Materials, Inc. Combined wafer support and temperature monitoring device
US5199483A (en) 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US5393349A (en) 1991-08-16 1995-02-28 Tokyo Electron Sagami Kabushiki Kaisha Semiconductor wafer processing apparatus
US5332442A (en) 1991-11-15 1994-07-26 Tokyo Electron Kabushiki Kaisha Surface processing apparatus
US5455069A (en) 1992-06-01 1995-10-03 Motorola, Inc. Method of improving layer uniformity in a CVD reactor
US5461214A (en) 1992-06-15 1995-10-24 Thermtec, Inc. High performance horizontal diffusion furnace system
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5308645A (en) 1992-08-07 1994-05-03 Delco Electronics Corporation Method and apparatus for through hole substrate printing
US5803977A (en) 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
US5292554A (en) 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
US5343938A (en) 1992-12-24 1994-09-06 Vlsi Technology, Inc. Method and apparatus for thermally insulating a wafer support
EP0606751B1 (en) 1993-01-13 2002-03-06 Applied Materials, Inc. Method for depositing polysilicon films having improved uniformity and apparatus therefor
US5444217A (en) 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
EP0611273B1 (de) 1993-02-08 1998-09-16 SEZ Semiconductor-Equipment Zubehör für die Halbleiterfertigung AG Träger für scheibenförmige Gegenstände
US5421893A (en) 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
NL9300389A (nl) 1993-03-04 1994-10-03 Xycarb Bv Substraatdrager.
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
US5738165A (en) 1993-05-07 1998-04-14 Nikon Corporation Substrate holding apparatus
JPH0711446A (ja) 1993-05-27 1995-01-13 Applied Materials Inc 気相成長用サセプタ装置
DE69404397T2 (de) 1993-07-13 1997-11-13 Applied Materials Inc Verbesserte Suszeptor Ausführung
JPH0758039A (ja) 1993-08-20 1995-03-03 Toshiba Ceramics Co Ltd サセプタ
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5549756A (en) 1994-02-02 1996-08-27 Applied Materials, Inc. Optical pyrometer for a thin film deposition system
US5467220A (en) 1994-02-18 1995-11-14 Applied Materials, Inc. Method and apparatus for improving semiconductor wafer surface temperature uniformity
US5645646A (en) 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
EP0669640A1 (en) 1994-02-25 1995-08-30 Applied Materials, Inc. Susceptor for deposition apparatus
JPH0878347A (ja) 1994-09-06 1996-03-22 Komatsu Electron Metals Co Ltd エピタキシャル成長装置のサセプタ
US5514439A (en) 1994-10-14 1996-05-07 Sibley; Thomas Wafer support fixtures for rapid thermal processing
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
NL9500614A (nl) 1995-03-30 1996-11-01 Helpman Intellectual Propertie Warmtewisselaar.
JP3028462B2 (ja) 1995-05-12 2000-04-04 東京エレクトロン株式会社 熱処理装置
FR2735238B1 (fr) 1995-06-09 1997-09-05 Cis Bio Int Utilisation d'un complexe phycobiliproteine-peptide de liaison en tant que traceur fluorescent
US5700725A (en) 1995-06-26 1997-12-23 Lucent Technologies Inc. Apparatus and method for making integrated circuits
JPH0936049A (ja) 1995-07-21 1997-02-07 Mitsubishi Electric Corp 気相成長装置およびこれによって製造された化合物半導体装置
US6093252A (en) 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
JP3430277B2 (ja) 1995-08-04 2003-07-28 東京エレクトロン株式会社 枚葉式の熱処理装置
US5551985A (en) 1995-08-18 1996-09-03 Torrex Equipment Corporation Method and apparatus for cold wall chemical vapor deposition
US6086680A (en) 1995-08-22 2000-07-11 Asm America, Inc. Low-mass susceptor
US6113702A (en) 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
JPH09181155A (ja) 1995-09-29 1997-07-11 Applied Materials Inc 堆積装置のサセプタ
US5584936A (en) 1995-12-14 1996-12-17 Cvd, Incorporated Susceptor for semiconductor wafer processing
US5895530A (en) 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
US5656093A (en) 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5761023A (en) 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6001183A (en) 1996-06-10 1999-12-14 Emcore Corporation Wafer carriers for epitaxial growth processes
US6395363B1 (en) 1996-11-05 2002-05-28 Applied Materials, Inc. Sloped substrate support
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6077357A (en) 1997-05-29 2000-06-20 Applied Materials, Inc. Orientless wafer processing on an electrostatic chuck
TW524873B (en) * 1997-07-11 2003-03-21 Applied Materials Inc Improved substrate supporting apparatus and processing chamber
US6090212A (en) 1997-08-15 2000-07-18 Micro C Technologies, Inc. Substrate platform for a semiconductor substrate during rapid high temperature processing and method of supporting a substrate
USD404370S (en) 1997-08-20 1999-01-19 Tokyo Electron Limited Cap for use in a semiconductor wafer heat processing apparatus
US5960159A (en) 1997-10-14 1999-09-28 Kokusai Electric Co., Ltd. Heat treatment of semiconductor wafers where upper heater directly heats upper wafer in its entirety and lower heater directly heats lower wafer in its entirety
JP4475804B2 (ja) 1997-11-03 2010-06-09 エーエスエム アメリカ インコーポレイテッド 長寿命高温プロセスチャンバ
WO1999023691A2 (en) 1997-11-03 1999-05-14 Asm America, Inc. Improved low mass wafer support system
US6293749B1 (en) 1997-11-21 2001-09-25 Asm America, Inc. Substrate transfer system for semiconductor processing equipment
US6068441A (en) 1997-11-21 2000-05-30 Asm America, Inc. Substrate transfer system for semiconductor processing equipment
KR100460338B1 (ko) 1998-02-04 2005-01-17 삼성전자주식회사 반도체소자 제조용 서셉터
KR19990069084A (ko) 1998-02-04 1999-09-06 윤종용 반도체소자 제조용 서셉터
US6219219B1 (en) 1998-09-30 2001-04-17 Applied Materials, Inc. Cathode assembly containing an electrostatic chuck for retaining a wafer in a semiconductor wafer processing system
JP2000269310A (ja) 1999-03-15 2000-09-29 Toshiba Corp 半導体ウェハ支持装置
US6331023B1 (en) 2000-01-14 2001-12-18 Asm America, Inc. Gridded substrate transport spatula
EP1313890B1 (en) 2000-04-06 2006-10-11 ASM America, Inc. Barrier coating for vitreous materials
JP2002184843A (ja) 2000-12-13 2002-06-28 Sharp Corp 半導体基板保持装置
US6634882B2 (en) 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance
KR100389449B1 (ko) 2001-06-26 2003-06-27 주성엔지니어링(주) 대칭형 유로블럭을 가지는 진공판
JP2003124167A (ja) 2001-10-10 2003-04-25 Sumitomo Heavy Ind Ltd ウエハ支持部材及びこれを用いる両頭研削装置
US7033445B2 (en) 2001-12-27 2006-04-25 Asm America, Inc. Gridded susceptor
US20030168174A1 (en) * 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US7704327B2 (en) 2002-09-30 2010-04-27 Applied Materials, Inc. High temperature anneal with improved substrate support
JP2006509367A (ja) 2002-12-09 2006-03-16 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ コールドウォールcvdシステムのウェハ温度の変動を抑制するためのシステムおよび方法
USD496008S1 (en) 2002-12-12 2004-09-14 Tokyo Electron Limited Exhaust ring for manufacturing semiconductors
US6709267B1 (en) 2002-12-27 2004-03-23 Asm America, Inc. Substrate holder with deep annular groove to prevent edge heat loss
US8366830B2 (en) 2003-03-04 2013-02-05 Cree, Inc. Susceptor apparatus for inverted type MOCVD reactor
JP4058364B2 (ja) 2003-03-18 2008-03-05 株式会社日立製作所 半導体製造装置
US20040229002A1 (en) 2003-05-15 2004-11-18 3D Systems, Inc. Stereolithographic seal and support structure for semiconductor wafer
US20050092439A1 (en) 2003-10-29 2005-05-05 Keeton Tony J. Low/high temperature substrate holder to reduce edge rolloff and backside damage
WO2005081283A2 (en) 2004-02-13 2005-09-01 Asm America, Inc. Substrate support system for reduced autodoping and backside deposition
USD525127S1 (en) 2004-03-01 2006-07-18 Kraft Foods Holdings, Inc. Susceptor ring
US20060005767A1 (en) 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
JP2006228802A (ja) 2005-02-15 2006-08-31 Dainippon Screen Mfg Co Ltd ウエハ端面保護装置
TWI327761B (en) 2005-10-07 2010-07-21 Rohm & Haas Elect Mat Method for making semiconductor wafer and wafer holding article
KR20070098025A (ko) 2006-03-30 2007-10-05 삼성전자주식회사 반도체 소자 제조용 장비
US7602224B2 (en) 2007-05-16 2009-10-13 Hynix Semiconductor, Inc. Semiconductor device having delay locked loop and method for driving the same
US20090280248A1 (en) 2008-05-06 2009-11-12 Asm America, Inc. Porous substrate holder with thinned portions
USD600223S1 (en) 2008-08-07 2009-09-15 Ravinder Aggarwal Susceptor ring
US8394229B2 (en) 2008-08-07 2013-03-12 Asm America, Inc. Susceptor ring
US8801857B2 (en) 2008-10-31 2014-08-12 Asm America, Inc. Self-centering susceptor ring assembly
US20100107974A1 (en) 2008-11-06 2010-05-06 Asm America, Inc. Substrate holder with varying density
US8287648B2 (en) * 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US10242890B2 (en) * 2011-08-08 2019-03-26 Applied Materials, Inc. Substrate support with heater
US11085112B2 (en) 2011-10-28 2021-08-10 Asm Ip Holding B.V. Susceptor with ring to limit backside deposition
KR101963851B1 (ko) * 2012-03-28 2019-07-31 에이씨엠 리서치 (상하이) 인코포레이티드 진공 척
US9682398B2 (en) 2012-03-30 2017-06-20 Applied Materials, Inc. Substrate processing system having susceptorless substrate support with enhanced substrate heating control
USD743357S1 (en) 2013-03-01 2015-11-17 Asm Ip Holding B.V. Susceptor
US9633889B2 (en) * 2013-03-06 2017-04-25 Applied Materials, Inc. Substrate support with integrated vacuum and edge purge conduits
US10068791B2 (en) 2013-03-08 2018-09-04 Semiconductor Components Industries, Llc Wafer susceptor for forming a semiconductor device and method therefor
USD784276S1 (en) 2013-08-06 2017-04-18 Applied Materials, Inc. Susceptor assembly
US20160002778A1 (en) * 2014-07-03 2016-01-07 Applied Materials, Inc. Substrate support with more uniform edge purge
US10269614B2 (en) 2014-11-12 2019-04-23 Applied Materials, Inc. Susceptor design to reduce edge thermal peak
JP1547357S (zh) 2015-07-27 2016-04-11
USD807481S1 (en) 2016-04-08 2018-01-09 Applied Materials, Inc. Patterned heater pedestal
JP1570747S (zh) 2016-04-27 2018-02-19
JP1570748S (zh) 2016-04-27 2018-02-19
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
DE112017006987B4 (de) 2017-02-02 2022-09-08 Sumco Corporation Hebestift, Epitaxiewachstumsvorrichtung und Verfahren zur Herstellung von Siliziumepitaxiewafern unter Verwendung des Hebestiftes
JP1587815S (zh) 2017-03-31 2017-10-10
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD864134S1 (en) 2018-10-24 2019-10-22 Asm Ip Holding B.V. Susceptor
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
TW202110587A (zh) 2019-05-22 2021-03-16 荷蘭商Asm Ip 控股公司 工件基座主體及用於沖洗工件基座的方法
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing

Also Published As

Publication number Publication date
JP2020191450A (ja) 2020-11-26
CN111979529A (zh) 2020-11-24
US20200373187A1 (en) 2020-11-26
US20220380895A1 (en) 2022-12-01
US11404302B2 (en) 2022-08-02
KR20200135734A (ko) 2020-12-03

Similar Documents

Publication Publication Date Title
TW202110587A (zh) 工件基座主體及用於沖洗工件基座的方法
US11810810B2 (en) Contour pocket and hybrid susceptor for wafer uniformity
TW201944523A (zh) 基板支撐設備、包含其的基板處理設備以及基板處理方法
CN110892501B (zh) 消除晶片背面边缘和缺口处的沉积物的晶片边缘接触硬件和方法
TWI765922B (zh) 具有小間隙之銷升降器組件
US11443975B2 (en) Planar substrate edge contact with open volume equalization pathways and side containment
TWI772340B (zh) 可運動的邊緣環設計
KR20190077632A (ko) 시간적 원자 층 증착 프로세싱 챔버
JP2023550044A (ja) シールを含む台座
WO2022086927A1 (en) Thermally uniform deposition station
US20210320017A1 (en) Bottom purge for semiconductor processing system
US20210320027A1 (en) Systems and methods for substrate support temperature control
JP2024054122A (ja) エッジパージを用いる基材サセプタ
US20210398843A1 (en) Vented susceptor
US20230009859A1 (en) Asymmetric purged block beneath wafer plane to manage non-uniformity
WO2000005750A1 (en) Improved substrate support member
TWI760111B (zh) 底部和中間邊緣環
WO2022203982A1 (en) Conductive cooling of a low temperature pedestal operating in a high temperature deposition sequence
WO2024076479A1 (en) Adjustable pedestal
CN117352444A (zh) 具有清扫机构的主轴和升降销驱动组件
CN116235275A (zh) 用于浮动变压器耦合等离子体室气体板的承载环