JP4475804B2 - 長寿命高温プロセスチャンバ - Google Patents

長寿命高温プロセスチャンバ Download PDF

Info

Publication number
JP4475804B2
JP4475804B2 JP2000519127A JP2000519127A JP4475804B2 JP 4475804 B2 JP4475804 B2 JP 4475804B2 JP 2000519127 A JP2000519127 A JP 2000519127A JP 2000519127 A JP2000519127 A JP 2000519127A JP 4475804 B2 JP4475804 B2 JP 4475804B2
Authority
JP
Japan
Prior art keywords
chamber
susceptor
vapor deposition
chemical vapor
silicon carbide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2000519127A
Other languages
English (en)
Other versions
JP2001522138A5 (ja
JP2001522138A (ja
Inventor
ジョン エフ. ウェンガート
イヴォ ラアイジメイカーズ
マイク ハルピン
ローレン ヤコブス
マイケル ジェイ. メイヤー
ビルセン フランク ヴァン
マット グッドマン
エリック バーレット
エリック ウッド
ブレーク サミュエルス
Original Assignee
エーエスエム アメリカ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アメリカ インコーポレイテッド filed Critical エーエスエム アメリカ インコーポレイテッド
Publication of JP2001522138A publication Critical patent/JP2001522138A/ja
Publication of JP2001522138A5 publication Critical patent/JP2001522138A5/ja
Application granted granted Critical
Publication of JP4475804B2 publication Critical patent/JP4475804B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K1/00Details of thermometers not specially adapted for particular types of thermometer
    • G01K1/08Protective devices, e.g. casings
    • G01K1/12Protective devices, e.g. casings for preventing damage due to heat overloading
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K7/00Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements
    • G01K7/02Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using thermoelectric elements, e.g. thermocouples
    • G01K7/04Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using thermoelectric elements, e.g. thermocouples the object to be measured not forming one of the thermoelectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Description

【0001】
(発明の分野)
本発明は基板の高温処理のための装置に関し、より具体的には化学気相付着(CVD)リアクタ内での半導体ウエハ上への材料のCVDに関する。
【0002】
(発明の背景)
一般にCVDリアクタにおいて付着される材料はウエハ上に付着するだけでなく、リアクタチャンバ壁およびリアクタ内の他の部分、特に多くのリアクタにおいてウエハサポートおよびウエハサポートの周囲に位置するリングの上にも付着する。繰り返し可能なプロセスを維持するためにチャンバは定期的に洗浄しなければならない。このようなチャンバの洗浄は、ウエハサポート、チャンバ壁、および他の部品を好適な高温に加熱し、HClなどのハロゲン含有ガス流を導入することにより通常行われる。
【0003】
エピタキシャル付着のためのリアクタは、通常サセプタおよびサセプタの温度制御を手助けする周囲のリングを利用する。これらの部品は通常グラファイトで作られ、炭化ケイ素(SiC)で被覆される。いつかはHClのエッチングがSiC皮膜に浸透し付着膜の性質を急速に劣化させる。したがってこれらは交換されなければならない。ある型の周知のリアクタはサセプタを取り囲むリングの温度を感知するためにリング近傍の熱電対を用い、これがサセプタおよびその上に位置するウエハの温度の間接的測定になる。これらの熱電対は通常石英で被覆される。石英を1000℃を超える温度に頻繁に加熱サイクルさせると、石英被覆の失透および熱電対の損傷が起こり、したがって交換が必要になる。
【0004】
通常、チャンバは石英で形成される。高温化学気相付着における問題は反応物ガスが石英チャンバ壁の内側を被覆することである。チャンバ壁の被覆物は壁からのパーティクルの剥離、チャンバのより頻繁な洗浄の必要などの多くの望ましくない状態を生ずる恐れがある。石英チャンバ壁上に付着する材料の中にはチャンバを洗浄する際にエッチング除去されないものがある。十分な付着物が集まれば、石英チャンバは局部的に透明性を失い、通常チャンバ上壁の外側およびチャンバ下壁の外側の近くに位置するランプからの照射により急速に加熱される。このためにいつかは湿式洗浄または石英チャンバの交換さえ必要になる。
【0005】
チャンバ壁が過熱すると、反応物ガスはウエハ上への付着と同様に壁上に付着できる。石英はランプがもたらす熱エネルギーに対して広い範囲で透明であるため好ましいチャンバ壁材料である。ウエハ、サセプタ、および周囲の補償リングはこの放射エネルギーで過熱されると、エネルギーをチャンバ壁に向かって照射し返す。この放射エネルギーの中には、エネルギーのかなりの部分が石英チャンバ壁に吸収される波長を有するものがある。したがって、壁の温度を壁上への付着が起こる温度より低く保つために、ランプと近傍のチャンバ壁との間を横切って空気または他の冷媒を流すのが通例である。しかし、この冷却はチャンバ壁のいくつかの部分を、反応物ガスが冷却領域上に凝縮し得る温度に維持させる。サセプタを支持するスパイダ、およびリングを支持するのに用いるスタンドなどの他の部品もまた石英で作られ、したがって失透および加工ガスへの露出の問題に曝される。
【0006】
サセプタ、リング、熱電対、チャンバおよび種々の他のチャンバ部品の交換が必要になれば、リアクタの休止時間および交換部品のかなりの費用が当然生ずる。さらに、被覆されるウエハ上に望ましい膜特性を与える条件にリアクタを戻すには相当な時間と費用がかかる。
【0007】
本発明の目的は、CVDチャンバ内の部品の寿命を著しく延長することである。本発明のさらなる目的は、チャンバ壁およびCVDチャンバ内の部品上への付着量を低減しその寿命を延長することである。洗浄剤の洗浄効率を高めることも目的の1つである。最後の2つの目的に関連して、本発明のさらなる目的は休止時間を低減し、生産高を増加させることである。
【0008】
(発明の概要)
本発明によれば、赤外吸収性および非吸収性部品/材料の適切な選択により、チャンバおよびすべての内部部品の寿命が延長されプロセスチャンバの寿命に適合される、半導体ウエハ加工のための化学気相付着リアクタが提供される。一構成において、チャンバは前方分割板、温度補償またはスリップリングで囲まれたサセプタ、および後方分割板によって上部領域と下部領域に分割され水平に配置された石英管の形状をしている。上部領域では、サセプタ上に位置するウエハの上にシリコンまたは他の材料の成長を生ずるように反応物の流れが導入される。リアクタの下部領域では、反応性ガスがリアクタの底部内へ拡散または流動しないようにパージ・ガスが導入される。
【0009】
サセプタの下流側のチャンバ壁上への未使用反応物ガスの付着を最小化するために、表面をガス流の中に配置し、近傍のチャンバ壁上でなくその表面上に未使用反応物のいくらかを付着させる。この表面は炭化ケイ素などの高温に耐えることができる赤外線吸収材料でできている。一構成において、この表面はガス流にほぼ平行に伸びるプレート上にあって後方チャンバ分割板とチャンバの上壁との間に間隔を置いて位置し、このいわゆるゲッタ板の上下両方の表面が未使用反応物ガスに露出されるようになっている。また、このプレートは石英壁により容易に吸収される波長を含む広いスペクトルでエネルギーを再照射する。このプレートを上チャンバ壁の近くに配置すればこの効果が最大化される。温度を適正に制御することにより、この装置はより冷たい近傍の石英壁上への付着または凝縮を最小化し、壁の洗浄も改善し、そのことによりチャンバの寿命を延長する。
【0010】
このように石英チャンバ壁上への付着を最小化する別の方法は、過冷されやすいかまたは別の理由で最大の付着または凝縮を受けやすいチャンバ壁の付近に遮蔽材または吸熱材を配置することである。これはチャンバ構造が異なれば異なる。たとえば、あるチャンバではサセプタを囲む壁にとってこのような遮蔽材の使用が有益である。チャンバの長寿命化に加えて、このような遮蔽材により付着皮膜の剥離によるパーティクル問題を最小化することができる。さらに、チャンバ上の付着物中の残留ドーパントによる次のウエハのドーピングもまた最小化される。サセプタを囲むチャンバ壁を通る放射熱の遮断によりチャンバの縁における冷却が制限される。
【0011】
サセプタの近傍には炭化ケイ素などの石英より耐久性のある外部被覆を有する1つ以上の熱電対が搭載されている。炭化ケイ素は高温サイクルによって失透または消失することはなく、したがって熱電対被覆の寿命は以前用いられた石英被覆よりはるかに延びた。炭化ケイ素は熱電対と不都合な反応をする恐れがあるため、薄い石英または他の非反応性材料のスリーブを炭化ケイ素被覆内熱電対接合の上に配置する。
【0012】
石英リアクタ部品を失透から保護するために炭化ケイ素遮蔽材がチャンバ全体に提供される。一実施形態において、中央の熱電対を覆う石英被覆の上に炭化ケイ素のキャップが提供され、これにより石英がプロセス・ガスから保護される。炭化ケイ素はまたサセプタを支持する石英スパイダ、またはスリップリングを支持する石英スタンドなどの他の石英部品を部分的にまたは完全に覆うのにも用いることができる。
【0013】
サセプタおよびサセプタを取り囲むリングは両者とも炭化ケイ素で被覆したグラファイトでなく固体炭化ケイ素などの材料で作られる。固体CVD炭化ケイ素の部品の寿命は炭化ケイ素被覆グラファイト部品の寿命の約5倍である。この延長された寿命は前記のゲッタプレートを用いたリアクタチャンバの寿命とほぼ同等である。炭化ケイ素で被覆した熱電対および炭化ケイ素で遮蔽した石英部品は同様の期間使用できることが期待される。その結果、リアクタ内部部品および石英チャンバ寿命の前記の改善により、リアクタのメンテナンスの頻度をウエハ製造数1,500〜4,000毎から20,000毎以上に改善することができると考えられる。
【0014】
(好ましい実施形態の詳細な説明)
図1および2に石英製の引き伸ばされた全般に平坦な長方形のチャンバ10が図示されている。このチャンバは1対の短い垂直側壁10cで接合された平坦な上壁10aおよび平坦な下壁10bを含む。厚みのある入口フランジ12がチャンバのガス入口の端を横切って延びてチャンバ壁に取り付けられている。同様なガス出口フランジ14がチャンバの下流端にチャンバ壁に取り付けられて示されている。
【0015】
チャンバは、チャンバ側壁10c間に延び、上壁および下壁にほぼ平行な平坦な前方または上流分割板16および後方下流分割板18により上部15と下部17とに分割される。分割板16および18は側壁10c上に形成されたサポート19またはチャンバ下壁から上向きに延びる(図示されない)サポートにより支持される。後方チャンバ分割板は前方板とほぼ同一の平面内にある。チャンバはほぼ平坦な円形サセプタ20、および温度補償リングまたは(結晶のスリップ(crystallographic slip)を防止するための)スリップリングとも称される周囲のリング22によりさらに分割される。最良の結果を得るには、スリップリングの単位照射面積あたりの熱量はサセプタの熱量と同程度でなければならない。具体的な構造によって、最適のスリップリングおよび熱量はサセプタよりやや大きくまたは小さくてもよい。最適値を知るには実験が好適である。サセプタおよびスリップリングも、図2の断面図で最もよく分かるように実質的に分割板18および16と同じ平面に位置する。
【0016】
サセプタ20は中央ハブから外側に向けて放射状に延びる3つのアームを有し、サセプタに取り付けたアームの端上向きに延びる突起を有するスパイダ24によって支持される。このサセプタを中央に位置させ、サセプタを回転するための結合を形成するためにサセプタの下面に突起の端を受け入れるための(図示されていない)窪みを1つ以上設けてもよい。スパイダはチャンバの下壁10bを突き抜け、このチャンバ下壁に取り付けられ下方に延びる石英管27も通って延びる概略図示された管状シャフト26に取り付けられる。このシャフトはシャフト、スパイダおよびサセプタを回転させるための(図示されていない)駆動体に接続されるようになっている。この様な装置の詳細は駆動機構と共に米国特許第4,821,674号に見られ、参照により本明細書に含まれる。リング22はチャンバの下壁10b上に載せたスタンド23により支持されることが示されている。あるいは、リングはチャンバの側壁から内側に突き出た棚、または分割板16および18から突き出た棚の上に支持してもよい。
【0017】
サセプタおよびリングの下流には、後方チャンバ分割板18から上向きに延びる複数のピン31の上に支持されたゲッタ板30が位置する。このゲッタ板はチャンバ上壁10aおよび分割板にほぼ平行でこれらのほぼ中央に延びている。この板は1つ以上用いることができる。この板はこの系のガス流の動力学に合う形状に作ることができる。1枚の平板で満足な結果が得られている。しかし、当業者には板の形状の最適化は簡単である。また、任意にサセプタから下流に位置するのはゲッタ板の各側面および近傍の側面10cの下流部分に位置する遮蔽体または吸熱体32である。さらに、遮蔽体または吸熱体33はチャンバ中央域の両側の側壁10cの中央部付近に用いることができる。チャンバ壁の付近の炭化ケイ素リング22はこれらの近傍のチャンバ壁に対して相当な加熱効果を有するので、部品33は不必要かもしれない。これらの部品32および33は何らかの適当な方法で固定することができる。たとえば、部品32はピン31のそばにチャンバの側壁10cからわずかに間隔を置いて位置することができる。所望であれば、突起は側壁からわずかに間隔を置いて部品32を配置するためにチャンバ側壁および下流の板18の上に置くことができる。同様に部品33はチャンバ下壁10b上、チャンバ側壁10cの間に置くことができ、スタンド23は側壁上に取り付けられた好適なサポートにより部品33の上端とわずかに間隔を置いて位置することができる。
【0018】
部品32および33は炭化ケイ素、炭化ケイ素被覆グラファイト、または他の好適な吸熱材料で作るのが好ましい。部品32および33の目的はチャンバ壁上への材料の付着を最小化するために熱を多少とも吸収することである。付着を減少する方法は、チャンバ壁上の被覆物の剥離に起因するパーティクル問題を低減する。さらに、残留ドーパントおよびチャンバ上の付着物に起因するウエハのドープ化が最小化される。また、温度制御ループによりウエハ温度に直接影響する放射熱の遮蔽もまた最小化される。
【0019】
部品32および33は側壁とほぼ同一の広がりを持つ薄板として示されているが、別の構造を用いることもできる。たとえば、より厚いが垂直方向には短い部品は主として吸熱体でありまた側壁への放熱体であって、チャンバ端における冷却を最小化するので用いることができる。このように、短く厚い部分もまた、壁と同じ広がりを持たなくても近傍のチャンバ壁の温度を高める傾向がある。
【0020】
図7aはほぼ円形構造を有するCVDチャンバ側壁63の近傍の一連の炭化ケイ素部品62を概略的に示す。一連の短い真直ぐな部品62が示されているが、図7bのように長い曲がった部分64ももちろん用いることができる。これらの部品62は側壁の近傍に、石英チャンバのフランジ65上に支持された好適な石英ピン(図示されていない)の上など好適な方法で支持される。図7bはドーム型上壁68を有するチャンバを概略的に示す。
【0021】
きれいなチャンバは、前記の理由でよりよい加工結果に導く。さらに、きれいなチャンバは頻繁に止める必要がなく、したがって休止時間が少なく、また頻繁に洗浄する必要がないチャンバは洗浄プロセスによる損傷が少ないため長持ちする。
【0022】
1対の熱電対34がリング22の両側に示されており、この熱電対はほぼ平行してチャンバ側壁10cに向けて延びている。各熱電対がリングの管状部分22aを通って延びているのを示す図4でよく解るように、この熱電対はリング22に支持されてその下に位置する。温度の読みの許容誤差によっては、熱電対をスリップリングの近傍に配置してもよい。図3において、各熱電対34は、それを通って延び、接点36aを形成する1対の熱電対ワイヤ36を有するセラミック支持体37を取り囲む外側被覆35を含む。さらに、被覆が熱電対ワイヤと化学的に適合しない場合には、小さい石英のスリーブまたはキャップ40が熱電対接点36aの上に延びてそれを被覆から遮蔽する。あるいは、ワイヤ上に窒化ホウ素皮膜を用いることもできる。
【0023】
熱電対接点36aはリング22の前方または上流の各々の角に配置することが好ましい。また、各被覆35内にもう1つまたは2つの熱電対接点を配置し、2対目のワイヤの接点をリング22の後方または下流の角の近傍に配置するのが望ましい。また、1つの接点を上流および下流の角の間に配置してもよい。さらに、管状シャフト26を通って上に延び、その先端がサセプタ20の中心の近くにある同様の熱電対38が示されている。
【0024】
サセプタおよびその周囲のリングは非常に低い熱量を有するように形成されている。サセプタはそれが支持する半導体ウエハに匹敵する質量を有するのが好ましく、比率は3以内程度がよい。サセプタは図4に示すように1体物であっても、図5に示すように2部分からなっていてもよい。後者は中央の平坦なディスク44を支持する下の内側に延びたフランジを有する外側の支持リング42を含む。このリングはさらに、リング42内に合致するウエハ45を支持するための3〜6個の1段高いヘリ42bを有する縁を含む。このヘリはウエハとディスク44との間に隙間を作る。リング42の下面のグルーブ42a内に位置しているスパイダアームの突起24aの先端の1つが示されている。
【0025】
本発明によれば、サセプタ、リング、およびゲッタ板は全てCVDプロセスに対する耐久性がSiC被覆グラファイトと同等またはより大きい材料で作られる。熱電対被覆は失透しない材料で作られる。その材料はサセプタおよび加熱ランプ46からの放射エネルギーのよい吸収体でなければならない。さらに、その材料はかなりよい熱伝導体でなければならず、多数回のCVD処理における高温に対して耐久性がなければならない。これにはまた熱いサセプタの上に比較的冷たいウエハを載せる度に繰り返し起こる熱衝撃に対する耐久性も含まれる。さらに、その材料は耐久性を有し、付着プロセスに用いられる種々の材料や洗浄およびエッチングに用いられる種々の化学薬品との適合性を有し、優れた化学的安定性を有しなければならない。SiまたはSi−Geエピタキシに通常用いられる化学過程においてこれらの性能に適合する材料は炭化ケイ素である。したがって、サセプタ、およびその周囲のリングは炭化ケイ素被覆グラファイトを用いる従来の方法と異なり、固体の炭化ケイ素で作るのが好ましい。さらに、リング、サセプタ、ゲッタ板、および熱電対被覆はCVD炭化ケイ素で形成するのが好ましい。これによりサセプタおよびリングは従来用いられた炭化ケイ素被覆グラファイトの部品よりはるかに多い過熱サイクルを経て用いることができる。サセプタの下流の温度はサセプタ上より低いので、ゲッタ装置はシステムの他の部品より長持ちする。炭化ケイ素の熱電対被覆は従来用いられた石英被覆よりはるかに多くのサイクルに耐えることができる。
【0026】
リアクタ部品の寿命もチャンバ全体に所定の位置に炭化ケイ素の遮蔽体を備えることによって改善される。(Siのような)石英部品上に材料を付着させることにより石英の早期損傷が起こることがある。要所をSiCで遮蔽することにより石英の損傷が防止でき、加工チャンバの寿命が延長される。炭化ケイ素が、チャンバの操作を実質的に妨害しない限り、スパイダ24、スタンド23、熱電対34および38、およびその他適当な位置など、チャンバ内で石英があるあらゆる位置に炭化ケイ素遮蔽体を備えることができることを認識されたい。
【0027】
図6に中央熱電対38の例を用いて炭化ケイ素遮蔽体による石英部品の保護の一実施形態を示す。この熱電対38は石英被覆52で取り囲まれた熱電対ワイヤ50を含む。熱電対38をそのヘリに付着する傾向があるプロセス・ガスから保護し、プロセス・ガス付着物の除去に用いられるエッチング工程の影響から石英被覆を保護するために、石英被覆52の上に炭化ケイ素のキャップ54を備える。
【0028】
図6に示すキャップ54などの炭化ケイ素遮蔽体は、対応する石英部品上に合致して、部品をチャンバのプロセス・ガスから部分的にまたは完全に隔離するように作られた簡単な幾何学的構造の形状のものが好ましい。炭化ケイ素部品は一般に比較的高価で、複雑な形状に作るのが困難であるので、キャップ、平板、L字型、U字型、T字型、およびその他種々の平面、湾曲、曲面形状などの単純な形状を用いることにより、製造コストを低減し、全製造工程を単純化することができる。このことはさらに、比較的廉価で機械加工および溶接が容易な石英でチャンバの複雑な部品を作ることを可能にする。したがって、図1および2の好ましい実施形態に示したチャンバ10において、熱電対34および38、スパイダ24、およびスタンド23は石英で製造し単純な構造の炭化ケイ素遮蔽体で覆うのが好ましい。
【0029】
炭化ケイ素に加えて他の満足できる材料には窒化ホウ素、窒化ケイ素、二酸化ケイ素、窒化アルミニウム、酸化アルミニウム、これらの組合せ材料の3元化合物、または4元化合物熱分解グラファイト、およびその他の高温セラミック組成物がある。炭化ケイ素部品はSiCのCVDと材料除去法との組み合わせによって作られる。このような方法の例は、米国特許公開第4,978,567号および5,514,439号に記されており、参照により本明細書に含まれる。
【0030】
(操作)
操作において2つのガス流がリアクタに導入される。上部には反応材のガス流が入口フランジ12を通して導入され、サセプタの上面の中央リセス内に位置するウエハ上にケイ素または他の材料を成長させる。リアクタの下部には入口フランジを通してパージ・ガスが導入され、リングと分割板との間およびサセプタとリングとの間の小さい隙間から反応材ガスまたは洗浄ガスがリアクタ下部に流入するのを防止する。下のチャンバ領域の下流端はプラグまたは壁48で塞がれ、パージ・ガスが隙間を通って上のチャンバ出口に強制的に漏れ、チャンバ上部からのガスが下部に流れ込む可能性を最小にしている。
【0031】
サセプタおよびリングから下流へ流れる未反応の反応材は図1のゲッタ板の両側に付着し、リアクタの上の石英壁への付着を最小にする。ゲッタ板は付着そのものを受けるばかりでなく、石英壁にかなりの部分が吸収される波長のエネルギーを含むエネルギーを冷たいチャンバ上壁に向けて放射する。側壁に面する位置にある部品は同様に作用する。吸収される放射を最大にすることが望ましい表面の近傍にこれらの部品を配置することが重要であることに注目されたい。
【0032】
同様に、図7の装置において、炭化ケイ素部品は周囲のチャンバ側壁および上のドーム壁66の周辺部分を加熱するように配置されている。ドーム型チャンバにおいて、ガス流はチャンバ上壁の中心からの下方への流れまたはチャンバを横切る水平の流れであってもよい。後者の場合、水平に広く垂直に短い(図示されていない)隙間がチャンバの側壁に形成され、その領域には炭化ケイ素部品を有することを願う必要がないが、(図示されていない)ガス出口付近を含むチャンバ側壁周辺の残りの部分にはそれが望ましい。チャンバの洗浄サイクルの間チャンバを加温しておくこともHClなどの洗浄剤のエッチング効果を最大にする。
【0033】
前記の方法の結果、プロセスチャンバの寿命は著しく延び、ウエハ数約10,000から約20,000に増加した。さらに、チャンバ下部の部品を保護することにより、石英スパイダおよびリングの石英スタンドも寿命を延ばし、チャンバ自体より頻繁に交換する必要がない。さらに、炭化ケイ素または他の上記の材料をこれらの部品に用いることが可能である。
【0034】
前記のように、サセプタ、周囲のリング、および熱電対被覆は下流ゲッタ板と同様に固体の炭化ケイ素でも作られる。固体の炭化ケイ素は高温でのHClによるチャンバエッチングによりほとんど攻撃されない。固体炭化ケイ素の部品の寿命はウエハ数約20,000と推定され、炭化ケイ素被覆グラファイト部品(ウエハ数約3,000〜4,000)の約5倍である。これで光を吸収するサセプタおよびリングの寿命がリアクタチャンバの寿命と釣り合う。さらに、熱電対被覆も同程度またはより長持ちすることが予想される。したがって、リアクタ内部品および石英チャンバの寿命の改善によりリアクタのメンテナンスの頻度をウエハ数最大約1,500〜4,000から約20,000に改善することができる。
【0035】
リアクタ部品およびプロセスチャンバの寿命が長ければ消耗品コストはもちろん低減する。同様に、予防メンテナンスの間隔が長くなれば、休止時間が減少し、リアクタ調整が減少する。リアクタ調整が減少すればモニタ用のウエハの使用量も減少する。このように、この統合されたチャンバシステム非常に著しい利益を与えることがわかる。
【0036】
本発明をチャンバの1例および関連部品に関して記述したが、本発明は他のチャンバ形状および部品にも該当する。
【図面の簡単な説明】
【図1】 本発明の改良されたチャンバを改良された内部部品とともに示す分解透視図である。
【図2】 図1のチャンバの断面図である。
【図3】 図1に見られる熱電対の拡大断面図である。
【図4】 熱電対サポートおよびそのサセプタおよびリングの薄さを示す断面図である。
【図5】 別の形状のウエハサポートの部分断面図である。
【図6】 炭化ケイ素キャップを有する中央熱電対の断面図である。
【図7】 図7a〜図7bは、サセプタを取り囲むチャンバの近傍に吸熱材を有するほぼ円形のチャンバの内部の概略図である。

Claims (17)

  1. 石英壁を有し、また付着ガスを受け入れる入口を有するプロセスチャンバと、
    ウエハを受け入れるように前記チャンバ内に位置する固体炭化ケイ素サセプタと、
    前記サセプタを近傍で取り囲む炭化ケイ素リングと、
    未使用反応材ガスを受け取るように前記サセプタおよび前記リングから下流に位置する炭化ケイ素ゲッタ板とを含む、半導体などの基板を加工するための化学気相付着装置。
  2. 前記サセプタの近傍に延び、前記サセプタ温度を監視する炭化ケイ素被覆熱電対を含む、請求項1に記載化学気相付着装置。
  3. 前記熱電対が前記チャンバの側壁と平行に真直ぐに延びる請求項2に記載化学気相付着装置。
  4. 前記炭化ケイ素被覆熱電対が前記サセプタの両側に位置し、各々前記チャンバの下流端の方向に延びる、請求項3に記載化学気相付着装置。
  5. 前記サセプタを支持する管状シャフトおよび前記シャフトを通って上方に延び前記サセプタに十分に近くで終端し、その位置における前記サセプタの温度に関してインプットを提供する熱電対を含む、請求項1に記載化学気相付着装置。
  6. 前記リングおよび前記サセプタの近似平面内で前記リングから上流に延びる分割板と、
    前記サセプタおよび前記リングから下流にあり、前記チャンバを横切って延び、前記サセプタおよび前記リングのほぼ前記平面内にある分割板と、を含み、
    前記チャンバが前記分割板、前記リング、および前記サセプタによって上部と下部とに分割される請求項1に記載化学気相付着装置。
  7. 前記チャンバ開口部内の前記上部への反応材ガス入口と、前記下部へのパージ・ガス入口開口部とを含む、請求項6に記載化学気相付着装置。
  8. 前記上部からのガス出口および前記下部の下流端を塞いでパージ・ガスが部品間隙を通って強制的に上向きに上部に出されるようにする方法を含む、請求項7に記載化学気相付着装置。
  9. 前記サセプタの下に配置された中央熱電対が炭化ケイ素のキャップで覆われた石英被覆を含む、請求項1に記載化学気相付着装置。
  10. 前記チャンバ内のチャンバ壁の近傍に位置し、前記石英壁を通して前記チャンバ内に透過する加熱ランプからの放射エネルギーを吸収し、エネルギーを放射して前記の近傍の前記チャンバ壁を加熱し、前記の近傍の前記チャンバ壁上への前記付着ガスの被覆を最小化する1つ以上の部品を含む、請求項1に記載化学気相付着装置。
  11. 前記部品が前記ゲッタ板の両側の前記チャンバ壁の近傍に配置される、請求項10に記載化学気相付着装置。
  12. 前記部品が炭化ケイ素で作られる、請求項10に記載化学気相付着装置。
  13. 反応材ガス入口を有する反応チャンバと、
    半導体ウエハを支持するための前記チャンバ内のほぼ平坦なサセプタと、
    前記サセプタから下流に位置する炭化ケイ素ゲッタ板と、を含み、
    前記ゲッタ板が前記サセプタとほぼ平行で、前記サセプタと近傍の石英チャンバ壁の平面の間の平面に有り、したがって未使用反応材ガスが前記板の両側の近傍を流れて、前記の近傍のチャンバ壁でなく前記板上に未使用の反応物を付着させる化学気相付着装置。
  14. 前記チャンバがほぼ水平に配向され、
    前記サセプタから上流に前方板を、前記サセプタの下流にあり前記チャンバを上部および下部に分割する分割板を含み、
    前記ゲッタ板が前記分割板の上に間隔を有して配置されている、請求項13に記載化学気相付着装置。
  15. 前記チャンバ側壁の近傍に位置する1つ以上の部品を含み、前記部品が前記チャンバ側壁よりよい熱吸収体である、請求項13に記載化学気相付着装置。
  16. 前記部品が前記チャンバ壁近傍で前記ゲッタ板の両側に位置する、請求項15に記載化学気相付着装置。
  17. 前記部品が炭化ケイ素で作られる、請求項13に記載化学気相付着装置。
JP2000519127A 1997-11-03 1998-11-02 長寿命高温プロセスチャンバ Expired - Lifetime JP4475804B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US6456797P 1997-11-03 1997-11-03
US60/064,567 1997-11-03
US9513998P 1998-07-31 1998-07-31
US60/095,139 1998-07-31
PCT/US1998/023205 WO1999023276A1 (en) 1997-11-03 1998-11-02 Long life high temperature process chamber

Publications (3)

Publication Number Publication Date
JP2001522138A JP2001522138A (ja) 2001-11-13
JP2001522138A5 JP2001522138A5 (ja) 2009-07-09
JP4475804B2 true JP4475804B2 (ja) 2010-06-09

Family

ID=26744648

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000519127A Expired - Lifetime JP4475804B2 (ja) 1997-11-03 1998-11-02 長寿命高温プロセスチャンバ

Country Status (6)

Country Link
US (1) US6325858B1 (ja)
EP (1) EP1029109B1 (ja)
JP (1) JP4475804B2 (ja)
KR (1) KR20010031714A (ja)
DE (1) DE69838484T2 (ja)
WO (1) WO1999023276A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019207160A (ja) * 2018-05-29 2019-12-05 株式会社デンソー 温度センサ

Families Citing this family (372)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6093252A (en) * 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US6530994B1 (en) * 1997-08-15 2003-03-11 Micro C Technologies, Inc. Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing
NL1012004C2 (nl) * 1999-05-07 2000-11-13 Asm Int Werkwijze voor het verplaatsen van wafers alsmede ring.
US6169244B1 (en) 1999-05-21 2001-01-02 Moore Epitaxial, Inc. Thermocouple sheath cover
US6315833B1 (en) * 1999-07-01 2001-11-13 Applied Materials, Inc. Silicon carbide sleeve for substrate support assembly
KR100752682B1 (ko) * 2000-04-06 2007-08-29 에이에스엠 아메리카, 인코포레이티드 유리질 보호용 장벽코팅
US7163587B2 (en) * 2002-02-08 2007-01-16 Axcelis Technologies, Inc. Reactor assembly and processing method
US6796711B2 (en) * 2002-03-29 2004-09-28 Axcelis Technologies, Inc. Contact temperature probe and process
US7122844B2 (en) * 2002-05-13 2006-10-17 Cree, Inc. Susceptor for MOCVD reactor
US6825051B2 (en) * 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
JP4619116B2 (ja) 2002-06-21 2011-01-26 アプライド マテリアルズ インコーポレイテッド 真空処理システムのための搬送チャンバ
US8366830B2 (en) * 2003-03-04 2013-02-05 Cree, Inc. Susceptor apparatus for inverted type MOCVD reactor
US6974781B2 (en) * 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
JP2005229043A (ja) * 2004-02-16 2005-08-25 Sumitomo Electric Ind Ltd ヒータユニット及び該ヒータを搭載した装置
US9612215B2 (en) * 2004-07-22 2017-04-04 Toyo Tanso Co., Ltd. Susceptor
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US20060275933A1 (en) * 2005-06-02 2006-12-07 Applied Materials, Inc. Thermally conductive ceramic tipped contact thermocouple
US20070089836A1 (en) * 2005-10-24 2007-04-26 Applied Materials, Inc. Semiconductor process chamber
DE102006055038B4 (de) 2006-11-22 2012-12-27 Siltronic Ag Epitaxierte Halbleiterscheibe sowie Vorrichtung und Verfahren zur Herstellung einer epitaxierten Halbleiterscheibe
US7874726B2 (en) 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US7807222B2 (en) 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US20110114022A1 (en) * 2007-12-12 2011-05-19 Veeco Instruments Inc. Wafer carrier with hub
US7993057B2 (en) * 2007-12-20 2011-08-09 Asm America, Inc. Redundant temperature sensor for semiconductor processing chambers
KR101354140B1 (ko) * 2008-02-27 2014-01-22 소이텍 Cvd 반응기 내에서 가스 전구체들의 열화
US7946762B2 (en) * 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
DE102008034260B4 (de) * 2008-07-16 2014-06-26 Siltronic Ag Verfahren zum Abscheiden einer Schicht auf einer Halbleiterscheibe mittels CVD in einer Kammer und Kammer zum Abscheiden einer Schicht auf einer Halbleiterscheibe mittels CVD
US8394229B2 (en) * 2008-08-07 2013-03-12 Asm America, Inc. Susceptor ring
US8801857B2 (en) * 2008-10-31 2014-08-12 Asm America, Inc. Self-centering susceptor ring assembly
CN102203910B (zh) * 2008-11-07 2014-12-10 Asm美国公司 反应室
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8262287B2 (en) * 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8382370B2 (en) * 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US9297705B2 (en) 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8100583B2 (en) * 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011054870A (ja) * 2009-09-04 2011-03-17 Shin Etsu Handotai Co Ltd 気相成長装置及びシリコンエピタキシャルウェーハの製造方法
NL2008751A (en) * 2011-06-06 2012-12-10 Asml Netherlands Bv Temperature sensing probe, burl plate, lithographic apparatus and method.
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP5878813B2 (ja) * 2011-06-21 2016-03-08 東京エレクトロン株式会社 バッチ式処理装置
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9349620B2 (en) * 2014-07-09 2016-05-24 Asm Ip Holdings B.V. Apparatus and method for pre-baking substrate upstream of process chamber
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104818527A (zh) * 2015-04-08 2015-08-05 上海晶盟硅材料有限公司 外延片生产设备
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
EP3115766A1 (en) 2015-07-10 2017-01-11 3Scan Inc. Spatial multiplexing of histological stains
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
DE102016101003A1 (de) 2016-01-21 2017-07-27 Aixtron Se CVD-Vorrichtung mit einem als Baugruppe aus dem Reaktorgehäuse entnehmbaren Prozesskammergehäuse
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN111446185A (zh) 2019-01-17 2020-07-24 Asm Ip 控股有限公司 通风基座
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11404302B2 (en) 2019-05-22 2022-08-02 Asm Ip Holding B.V. Substrate susceptor using edge purging
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023107724A1 (en) * 2021-12-09 2023-06-15 Helios Technical Services, Llc Thermocouple leak detection

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3011006A (en) * 1958-11-10 1961-11-28 Carborundum Co Process and apparatus for measuring high temperatures
JPS5611329A (en) * 1979-07-09 1981-02-04 Nippon Kokan Kk <Nkk> Measuring method of melted metal temperature in vessel
US4592307A (en) * 1985-02-28 1986-06-03 Rca Corporation Vapor phase deposition apparatus
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
JPH0648217B2 (ja) * 1987-12-24 1994-06-22 川惣電機工業株式会社 溶融金属の連続測温装置
US4978567A (en) * 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
JP2859632B2 (ja) * 1988-04-14 1999-02-17 キヤノン株式会社 成膜装置及び成膜方法
US5027748A (en) * 1988-12-27 1991-07-02 Wolak Ronald G Pet litter box
US5360269A (en) * 1989-05-10 1994-11-01 Tokyo Kogyo Kabushiki Kaisha Immersion-type temperature measuring apparatus using thermocouple
US5098198A (en) * 1990-04-19 1992-03-24 Applied Materials, Inc. Wafer heating and monitor module and method of operation
JP2780866B2 (ja) * 1990-10-11 1998-07-30 大日本スクリーン製造 株式会社 光照射加熱基板の温度測定装置
US5248500A (en) * 1990-12-21 1993-09-28 Del Monte Corporation Slow-release biodegradable granules of pasteuria penetrans
JP2991830B2 (ja) * 1991-09-30 1999-12-20 三菱電機株式会社 化学気相成長装置およびそれを用いた化学気相成長方法
JP3326538B2 (ja) * 1991-10-24 2002-09-24 東京エレクトロン株式会社 コールドウォール形成膜処理装置
JPH05283339A (ja) * 1992-03-31 1993-10-29 Fuji Electric Co Ltd 気相成長装置
US5455069A (en) * 1992-06-01 1995-10-03 Motorola, Inc. Method of improving layer uniformity in a CVD reactor
US5456761A (en) * 1993-07-15 1995-10-10 Alcan International Limited High temperature and abrasion resistant temperature measuring device
US5421693A (en) * 1994-01-05 1995-06-06 Petersen; Philip L. Wheelchair tilting apparatus
US5474618A (en) * 1994-04-19 1995-12-12 Rdc Controle Ltee Protective ceramic device for immersion pyrometer
US5493987A (en) * 1994-05-16 1996-02-27 Ag Associates, Inc. Chemical vapor deposition reactor and method
JP3137164B2 (ja) * 1994-06-02 2001-02-19 信越半導体株式会社 熱処理炉
US5514439A (en) * 1994-10-14 1996-05-07 Sibley; Thomas Wafer support fixtures for rapid thermal processing
JPH08188876A (ja) * 1995-01-09 1996-07-23 Fujitsu Ltd 半導体製造装置及び半導体装置の製造方法
JPH0917742A (ja) * 1995-06-30 1997-01-17 Hitachi Ltd 熱処理装置
US6093252A (en) * 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US6086680A (en) * 1995-08-22 2000-07-11 Asm America, Inc. Low-mass susceptor
WO1997008288A1 (en) * 1995-08-30 1997-03-06 The Dow Chemical Company Succinic acid derivative degradable chelants, uses and compositions thereof
JPH1070083A (ja) * 1996-08-27 1998-03-10 Kokusai Electric Co Ltd 縦型炉

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019207160A (ja) * 2018-05-29 2019-12-05 株式会社デンソー 温度センサ
JP7205079B2 (ja) 2018-05-29 2023-01-17 株式会社デンソー 温度センサ

Also Published As

Publication number Publication date
DE69838484D1 (de) 2007-11-08
WO1999023276A1 (en) 1999-05-14
EP1029109B1 (en) 2007-09-26
DE69838484T2 (de) 2008-06-26
WO1999023276A8 (en) 1999-09-16
US6325858B1 (en) 2001-12-04
EP1029109A1 (en) 2000-08-23
JP2001522138A (ja) 2001-11-13
KR20010031714A (ko) 2001-04-16

Similar Documents

Publication Publication Date Title
JP4475804B2 (ja) 長寿命高温プロセスチャンバ
KR100752682B1 (ko) 유리질 보호용 장벽코팅
US6301434B1 (en) Apparatus and method for CVD and thermal processing of semiconductor substrates
US20190157125A1 (en) Wafer carrier having thermal cover for chemical vapor deposition systems
US5493987A (en) Chemical vapor deposition reactor and method
US7070660B2 (en) Wafer holder with stiffening rib
JP2001522138A5 (ja)
KR100883285B1 (ko) 열 분산 플레이트 및 에지 지지대를 구비하는 어셈블리
TWI805498B (zh) 用於半導體製程腔室的表面塗層的襯套組件
CN110622291A (zh) 基板支撑设备
KR20120118416A (ko) 공정 가스에서 발생된 재료 층을 기판 웨이퍼상에 증착하는 방법 및 장치
CN111211074A (zh) 具有空间分布的气体通道的气流控制衬垫
TWI663285B (zh) 用於半導體處理腔室的吸收反射體
JP7107949B2 (ja) 搬送リング
CN116190266A (zh) 灯头中的多分区灯控制和单独灯控制
KR100375396B1 (ko) 준고온벽을갖춘반응챔버
KR20230012665A (ko) 처리 챔버를 위한 라이너
JPS63116735A (ja) 気相成長装置

Legal Events

Date Code Title Description
RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7426

Effective date: 20000526

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20000526

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051013

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051013

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081107

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081119

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090219

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090226

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090319

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090327

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090420

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090427

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090519

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20090519

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20090519

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090807

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090807

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090825

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090915

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091207

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091207

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100216

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100309

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130319

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130319

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140319

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term