JP2780866B2 - 光照射加熱基板の温度測定装置 - Google Patents

光照射加熱基板の温度測定装置

Info

Publication number
JP2780866B2
JP2780866B2 JP2274989A JP27498990A JP2780866B2 JP 2780866 B2 JP2780866 B2 JP 2780866B2 JP 2274989 A JP2274989 A JP 2274989A JP 27498990 A JP27498990 A JP 27498990A JP 2780866 B2 JP2780866 B2 JP 2780866B2
Authority
JP
Japan
Prior art keywords
wafer
temperature
covering member
light irradiation
heating furnace
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2274989A
Other languages
English (en)
Other versions
JPH04148545A (ja
Inventor
光和 高橋
隆俊 千葉
清文 西井
Original Assignee
大日本スクリーン製造 株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 大日本スクリーン製造 株式会社 filed Critical 大日本スクリーン製造 株式会社
Priority to JP2274989A priority Critical patent/JP2780866B2/ja
Priority to US07/774,943 priority patent/US5315092A/en
Publication of JPH04148545A publication Critical patent/JPH04148545A/ja
Application granted granted Critical
Publication of JP2780866B2 publication Critical patent/JP2780866B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation

Description

【発明の詳細な説明】 〔産業上の利用分野〕 この発明は、熱処理装置、例えばランプアニール装置
により半導体基板等の各種基板(以下、「ウエハ」とい
う)に対して各種の熱処理を施す場合に、加熱炉内に収
容され熱処理中のウエハの温度を測定する温度測定装置
に関する。
〔従来の技術〕
近年、熱処理装置によりウエハに対し加熱炉内で各種
の熱処理を施して、様々な膜構造や不純物濃度などを有
する半導体装置が製造されており、その製造工程の複雑
化に伴い、熱処理中のウエハの表面温度を正確に測定す
ることが極めて重要になってきている。
ウエハの表面の温度を測定する方法としては、従来、
例えば特開昭63−148623号公報等に開示されているよう
に、ウエハの表面に直接に熱電対を接触させたり耐熱性
接着剤によって固定したりする方法がある。この方法に
よると、熱電対の測温部が被測温体であるウエハに直接
接触するので、ウエハの正確な温度を測定することがで
きる、といった長所を有している。
また、例えば実開昭60−179829号公報等に開示されて
いるように、加熱炉の炉体の内外を貫通して測温用筒体
を配設し、その一端を加熱炉内に収容されたウエハに対
向させ、他端側にレンズを介在させて赤外線放射温度計
を対向配置するなどして、ウエハの表面から放射される
エネルギーをレンズで集めて放射温度計で検知すること
により、ウエハ表面の温度を非接触式で測定する方法が
ある。
〔発明が解決しようとする課題〕
熱電対、例えばシース熱電対を用いて接触式でウエハ
の表面温度を測定する方法では、熱電対がウエハと接触
するためにウエハが金属汚染される。また、加熱炉内に
加熱雰囲気中にウエハと一緒に熱電対線やシース部(SU
S管、インコネル管等)が露出されることにより、それ
らの金属成分によっても、ウエハ表面が汚染されてしま
う。また、熱電対が細長い線状の品物であるため、ウエ
ハと不安定に接触することがないように、耐熱性の接着
剤等で熱電対をウエハへ固定することが必要であり、ウ
エハに接着剤が付着する。
これら不都合を避けるため、実際には、いわゆるダミ
ーウエハを別に用意し、そのダミーウエハの温度を測定
しながらその温度制御を行なって、そのときの制御信号
を経時的に一旦記憶手段に記憶させておき、熱処理すべ
きウエハを加熱する際には、その記憶された信号に基づ
いてオープンループでウエハの温度制御を行なうように
している。従って、熱処理すべきウエハ自体の温度を測
定しているのではないため、信頼性に欠ける。また、様
々な加熱特性をもつウエハの各々についてダミーウエハ
を用意しておく必要がある。また、耐熱性の接着剤等で
熱電対をウエハに固定するのは面倒であり、作業性にも
問題があるなど、様々な問題点があった。
一方、ウエハの表面温度を非接触式で放射温度計によ
り測定する方法にも、以下のような問題点がある。すな
わち、様々な膜構造や不純物濃度をもったウエハにおい
ては、ウエハごとに輻射率が異なり、正確な温度測定を
行なうためには、各ウエハごとの輻射率を予め求めてお
く必要があり、作業が非常に面倒である。また、輻射率
は温度によっても変化するので、広い温度範囲にわたっ
てウエハの正確な温度を測定することは非常に難しい。
さらに、処理温度によって加熱中においても組成変化や
粒径変化等に起因した輻射率の変化を生じるような膜を
有するウエハでは、常時正確な温度を測定することは殆
ど不可能である、といった問題点を有している。
この発明は、以上のような事情に鑑みてなされたもの
であり、接触式により測温する検知手段を使用して、光
照射加熱されるウエハの温度測定するのを、熱処理中に
ウエハを汚染したりする心配が無く、検知手段をウエハ
に接着する作業を要することなく、応答性も良好で、信
頼性も高く、また、様々な膜構造や不純物濃度などをも
つウエハに対しても、簡単に常時正確な温度測定をする
ことができ、しかも、必ずしもダミーウエハではなく測
定対象とするウエハそのものの温度を測定できるような
ウエハの温度測定装置を提供することを技術的課題とす
る。
〔課題を解決するための手段〕
この発明では、上記課題を解決するための手段とし
て、加熱炉内に収容されて、その加熱炉内で複数の支持
部によって裏面側から支持され、加熱手段からの光照射
によって加熱されるウエハの温度を、接触式に測温する
検出手段で測定する光照射加熱基板の温度測定装置にお
いて、前記複数の支持部のうちの少なくとも1つを、前
記検出手段を被覆部材に内挿しその被覆部材の少なくと
も一部を平坦面に形成して構成するともに、前記被覆部
材の平坦面で少なくとも基板の一部を支持することを要
旨とする。
〔作用〕
上記構成の温度測定装置においては、ウエハの温度を
接触式に測定する検出手段で測定するため、ウエハの温
度が正確に測定される。そして、この場合、検出手段は
被覆部材で被覆されているため、ウエハとの接触が被覆
部材を介在した状態となり、また、被覆部材によって加
熱炉内の加熱雰囲気が隔絶されるので、熱電対の金属成
分がウエハ表面の汚染源となる心配は無い。従って、従
来のようにダミーウエハを別に用意しそれを用いて予め
制御データを得ておいたりしなくても、熱処理すべきウ
エハ自体の表面温度を直接に測定しながらクローズドに
温度制御を行なうことも可能であるので、温度制御の信
頼性が保障され、またダミーウエハを用いる場合のよう
な面倒さもない。
さらにまた、この発明に係る装置は、被覆部材の少な
くとも一部が平坦面に形成され、その平坦面でウエハを
支持するので、被覆部材は、ウエハに対して面接触の状
態にあり、ウエハからの熱伝導は効率が良く、ウエハの
温度に極めて忠実に追随しており、ウエハと極めて高い
精度で近似した温度にある。一方、被覆部材と検出手段
との関係は、検出手段が被覆部材に挿入されていて、つ
まり全周が被覆部材に囲まれているから、被覆部材の温
度を極めて高い精度で検出する。このように、ウエハと
検出手段との間に被覆部材が介在することにより、直接
に検出しているのは被覆部材の温度であるが、高精度で
ウエハを測温することができる。
また、被覆部材の平坦面が、加熱炉内にて光照射加熱
される基板を支持するから、前記した従来技術のように
検出手段をウエハに接着する手作業をしなくても、加熱
炉内の光照射する所定位置へ基板を搬入することで、検
出手段は被覆部材を介してウエハと接触した状態とな
る。尚、かかる被覆部材を介在させての接触は前記した
ように高精度に温度測定できる状態にあるから、精度良
くウエハの温度測定ができる。
〔実 施 例〕
以下、この発明の好適な実施例について図面を参照し
ながら説明する。
最初に、第5図により、この発明に係る温度測定装置
が使用される光照射型熱処理装置の概略構成について説
明しておく。第5図は、光照射型熱処理装置の構成の1
例を示し、一部を縦断面で示した要部正面図である。図
において、10は、ウエハの挿入及び取出し用の開口12を
備えた加熱炉であり、この加熱炉10は、赤外線透過性を
有する石英ガラスによって形成されている。また、加熱
炉10の開口12に連接するように、筒状に形成された前室
14が設けられている。この前室14の前端開口面は、蓋体
16によって閉塞されるようになっており、前室14の前端
面と蓋体16との当接面には樹脂製のパッキン18が装着さ
れていて、蓋体16による加熱炉10の密閉時に加熱炉10内
を気密に保持できるような構造となっている。また、加
熱炉10の上下方向にはそれぞれ、加熱炉10の上壁面及び
下壁面に対向してハロゲンランプ、キセノンアークラン
プ等の光照射用光源20が複数個列設されている。また、
各光源20の背後には、反射板22がそれぞれ配設されてい
る。
蓋体16の内面側には、石英製のサセプタ(ウエハ支持
器)36が固設されており、サセプタ36のウエハ支持部28
にウエハ30が載置されて支持されている。また、蓋体16
の外面側は支持ブロック32に固着されており、図示しな
い駆動機構により支持ブロック32を矢印方向に直線移動
させることにより、蓋体16を開閉させるとともに、開口
12を通して加熱炉10内へウエハ30を搬入し、また加熱炉
10内からウエハ30を搬出する構成となっている。
第1図及び第2図は、この発明の1実施例を示し、そ
れぞれ、温度測定装置の測温部を光照射型熱処理装置の
ウエハ支持部の一部及びウエハと共に示した平面図及び
正面図である。
ウエハ30は、石英製のサセプタ36の先端の円環部38に
突出形成された2本の突出支持部40、40と、温度測定装
置の測温部42の先端部分との3点で水平に支持されてい
る。尚、サセプタ36及び測温部42は、図示しない部分で
固定されて水平に保持されている。測温部42は、第4図
に部分拡大縦断面図を示すように、シース熱電対44を細
管状の被覆部材46に内挿して、シース熱電対44の全身を
被覆部材46で被覆した構造を有している。
被覆部材46は、第3図に拡大斜視図を示すように、先
端が閉塞された細長い円管状に形成されており、例えば
外径が0.8mm、内径が0.4mm、長さが200mm程度のもので
ある。また、被覆部材46の先端部は、例えば幅が0.5m
m、長さが15mm程度の平坦面48に加工形成されており、
被覆部材46は、第2図に示すように、その先端部の平坦
面48でウエハ30の表面と面接触するように配置される。
この被覆部材46は、全体がCVD法(化学気相成長法)
によって製造された高純度SiC(シリコンカーバイド)
から形成されている。このCVD法によるSiCは、高耐熱
性、高熱伝導性を有し、またその製造法の特性から、上
記したような薄肉で中空の細管も比較的容易に製作する
ことができる、といったような種々の特徴を有してい
る。このようなCVD法による高純度SiCによって上記した
ような寸法の細管状に形成された被覆部材46は、ウエハ
30に比べ熱容量が極めて小さく、また、ウエハ30表面に
対する汚染源となるような不純物質を含有していないた
め、ウエハ30に対して汚染性を有しない。
一方、シース熱電対44は、例えばシース部の外径が0.
3mm、長さが200mm程度であり、細管状被覆部材46の先端
付近まで深く挿入されており、被覆部材46によって全身
が被覆されている。
上記したような構成を有する測温部42は、その被覆部
材46の先端部の平坦面48で先端から10mm程度にわたって
ウエハ30を支持していて、常にウエハ30の表面と面接触
している。そして、第5図に示したような光照射型熱処
理装置によりウエハが熱処理される過程で、ウエハ30が
加熱されてその表面温度が上昇すると、熱伝導により被
覆部材46も加熱されて温度が上昇する。このとき、被覆
部材46は、ウエハ30に比べて熱容量が極めて小さく、ま
たウエハ30の表面と面接触しているので、熱伝導効率が
極めて高いため、被覆部材46は、熱伝導により加熱され
て速やかにウエハ30の温度と同一温度になる。そして、
被覆部材46には、その先端付近までシース熱電対44のシ
ース部が内挿されているため、シース熱電対44によって
被覆部材46の先端部の温度が正確に測定される。また、
この場合、シース熱電対44のシース部は、被覆部材46に
よって加熱炉内の加熱雰囲気から隔絶されるため、シー
ス部の金属成分がウエハ30の表面を汚染する心配は全く
無く、また、被覆部材46は、上記した通りCVD法による
高純度SiCから成るので、被覆部材46がウエハ30の表面
を汚染する恐れも無い。
この発明の温度測定装置は上記したような構成を有し
ているが、この発明の範囲は、上記説明並びに図面の内
容によって限定されるものではなく、要旨を逸脱しない
範囲で種々の変形例を包含し得る。例えば、測温部の被
覆部材の形状は、円管状である必要は無く、断面が多角
形の筒状であってもよいし、また、内部が中空の、細長
い扁平板状に被覆部材を成形しても差し支えない。ま
た、被覆部材先端部の平坦面の形成態様も、図示例のも
のに限定されない。また、被覆部材の形成材料として
は、CVD法による高純度SiC以外に、ウエハの表面を汚染
する恐れの無い他の高純度セラミックス、例えばPBN(P
yrolytic Boron Nitride)を用いるようにしてもよい。
また、この発明は、前記実施例のようにサセプタを加熱
炉内へ出し入れするのではなくて、サセプタ及び温度測
定装置の測温部を加熱炉内に常時固定しておき、ウエハ
を搬送アームで加熱炉に出し入れして、ウエハを炉内に
設置されたサセプタと搬送アームとの間で移載する構成
の熱処理装置についても、もちろん同様に適用し得る。
さらにまた、上記実施例では、熱電対としてシース熱電
対を例示したが、一般的に使用されている通常の熱電対
を使用してもよいことは言うまでもない。
また、熱電対に限らず白金測温抵抗体やその他でもよ
く、本発明の構成にいう「検出手段」は、被覆部材と接
触して接触式に測温する手段であればよい。
〔発明の効果〕
この発明は以上説明したように構成されかつ作用する
ので、熱処理装置により半導体基板等の各種基板に対し
て各種の熱処理を施す場合に、加熱炉内に収容され熱処
理中の被熱処理基板の温度をこの発明に係る温度測定装
置を使用して測定すると、被覆部材は、その平坦面がウ
エハと面接触するため、ウエハからの熱伝導の効率が良
いので、ウエハの温度に極めて高い精度で同じ温度にあ
り、検出手段は被覆部材に挿入されて全周が被覆部材に
囲まれているから、被覆部材の温度を極めて高い精度で
検出する。このように、ウエハと検出手段との間に被覆
部材が介在することにより、直接検出するのは被覆部材
の温度であるが、高精度でウエハを測温することができ
る。
また、皮膚部材の平坦面が、加熱炉内にて光照射加熱
される基板を支持するから、前記した従来技術のように
検出手段をウエハに接着する手作業をしなくても、加熱
炉内の光照射する所定位置へ基板を搬入することで、検
出手段は被覆部材を介してウエハと接触した状態とな
り、かかる被覆部材を介在させての接触は前記したよう
に高精度に温度測定できる状態にあるから、従って、簡
単に測定できるにもかかわらず、精度良くウエハの温度
測定ができる。
また、検出手段は、被覆部材で覆われているため、被
覆部材を介してウエハと接触するので、ウエハを金属汚
染することがなく、加熱炉内の加熱雰囲気とも隔絶され
ているので、ウエハを汚染することがなく、従って、前
記従来技術のようにダミーウエハを別に用意しておい
て、それを測定するようなことは必要でなく、直接に熱
処理すべきウエハを対象に温度測定できる。このため、
この発明に係る装置を利用する熱処理の温度制御は信頼
性が高い。
【図面の簡単な説明】
第1図は、この発明の1実施例に係る温度測定装置の測
温部を光照射型熱処理装置のウエハ支持部の一部及びウ
エハと共に示した平面図、第2図はその正面図、第3図
は、この温度測定装置の測温部の外観形状の1例を示す
拡大斜視図、第4図は、その測温部の部分拡大縦断面
図、第5図は、この発明に係る温度測定装置が使用され
る光照射型熱処理装置の概略構成の1例を示す一部縦断
面要部正面図である。 10……加熱炉、16……蓋体、 20……光照射用光源、26、36……サセプタ、 28……ウエハ支持部、30……ウエハ、 40……突出支持部、 42……温度測定装置の測温部、 44……シース熱電対、46……被覆部材、 48……平坦面。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 千葉 隆俊 京都府京都市伏見区羽束師古川町322番 地 大日本スクリーン製造株式会社洛西 工場内 (72)発明者 西井 清文 京都府京都市伏見区羽束師古川町322番 地 大日本スクリーン製造株式会社洛西 工場内 (56)参考文献 特開 昭62−165325(JP,A) 特開 昭61−270840(JP,A) 実開 昭60−169547(JP,U) 実開 昭60−49154(JP,U) (58)調査した分野(Int.Cl.6,DB名) H01L 21/66

Claims (1)

    (57)【特許請求の範囲】
  1. 【請求項1】加熱炉内に収容されて、その加熱炉内で複
    数の支持部によって裏面側から支持され、加熱手段から
    の光照射によって加熱される基板の温度を、接触式に測
    温する検出手段で測定する光照射加熱基板の温度測定装
    置において、 前記複数の支持部のうちの少なくとも1つを、前記検出
    手段を被覆部材に内挿しその被覆部材の少なくとも一部
    を平坦面に形成して構成するともに、前記被覆部材の平
    坦面で少なくとも基板の一部を支持することを特徴とす
    る光照射加熱基板の温度測定装置。
JP2274989A 1990-10-11 1990-10-11 光照射加熱基板の温度測定装置 Expired - Fee Related JP2780866B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2274989A JP2780866B2 (ja) 1990-10-11 1990-10-11 光照射加熱基板の温度測定装置
US07/774,943 US5315092A (en) 1990-10-11 1991-10-11 Apparatus for heat-treating wafer by light-irradiation and device for measuring temperature of substrate used in such apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2274989A JP2780866B2 (ja) 1990-10-11 1990-10-11 光照射加熱基板の温度測定装置

Publications (2)

Publication Number Publication Date
JPH04148545A JPH04148545A (ja) 1992-05-21
JP2780866B2 true JP2780866B2 (ja) 1998-07-30

Family

ID=17549357

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2274989A Expired - Fee Related JP2780866B2 (ja) 1990-10-11 1990-10-11 光照射加熱基板の温度測定装置

Country Status (2)

Country Link
US (1) US5315092A (ja)
JP (1) JP2780866B2 (ja)

Families Citing this family (384)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5726423A (en) * 1988-05-19 1998-03-10 Quadlux, Inc. Apparatus and method for regulating cooking time in a radiant energy oven
US5883362A (en) * 1988-05-19 1999-03-16 Quadlux, Inc. Apparatus and method for regulating cooking time in a lightwave oven
JP2824003B2 (ja) * 1993-02-16 1998-11-11 大日本スクリーン製造株式会社 基板の温度測定装置
AU692212B2 (en) * 1993-12-17 1998-06-04 Roger S. Cubicciotti Nucleotide-directed assembly of bimolecular and multimolecular drugs and devices
US5534679A (en) * 1994-05-20 1996-07-09 Quadlux, Inc. Apparatus for automated food handling
US5618594A (en) * 1995-04-13 1997-04-08 Cvd, Incorporated Composite thermocouple protection tubes
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US5881208A (en) * 1995-12-20 1999-03-09 Sematech, Inc. Heater and temperature sensor array for rapid thermal processing thermal core
JP3224508B2 (ja) * 1996-05-23 2001-10-29 シャープ株式会社 加熱制御装置
US6031211A (en) * 1997-07-11 2000-02-29 Concept Systems Design, Inc. Zone heating system with feedback control
US6066836A (en) * 1996-09-23 2000-05-23 Applied Materials, Inc. High temperature resistive heater for a process chamber
US5934357A (en) * 1996-11-13 1999-08-10 Aluminum Company Of America System for manufacturing metal matrix composites
US6034356A (en) * 1996-11-26 2000-03-07 Texas Instruments Incorporated RTP lamp design for oxidation and annealing
KR100246964B1 (ko) * 1996-11-28 2000-03-15 윤종용 반도체 스피너장비
US6117692A (en) * 1997-01-14 2000-09-12 Kim; Young-Sun Calibrated methods of forming hemispherical grained silicon layers
JPH10239165A (ja) * 1997-02-27 1998-09-11 Sony Corp 基板の温度測定器、基板の温度を測定する方法および基板の加熱方法
JPH113868A (ja) * 1997-06-12 1999-01-06 Nec Yamagata Ltd ランプアニール装置およびランプアニール方法
US5944422A (en) * 1997-07-11 1999-08-31 A. G. Associates (Israel) Ltd. Apparatus for measuring the processing temperature of workpieces particularly semiconductor wafers
US5960158A (en) 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US6075922A (en) * 1997-08-07 2000-06-13 Steag Rtp Systems, Inc. Process for preventing gas leaks in an atmospheric thermal processing chamber
US5990454A (en) 1997-09-23 1999-11-23 Quadlux, Inc. Lightwave oven and method of cooking therewith having multiple cook modes and sequential lamp operation
US6013900A (en) 1997-09-23 2000-01-11 Quadlux, Inc. High efficiency lightwave oven
US5958271A (en) 1997-09-23 1999-09-28 Quadlux, Inc. Lightwave oven and method of cooking therewith with cookware reflectivity compensation
DE19748088A1 (de) * 1997-10-30 1999-05-12 Wacker Siltronic Halbleitermat Verfahren und Vorrichtung zum Erkennen einer Fehllage einer Halbleiterscheibe
DE19748041A1 (de) * 1997-10-30 1998-12-17 Wacker Siltronic Halbleitermat Vorrichtung und Verfahren zur Messung und Regelung der Temperatur einer Halbleiterscheibe
EP1029109B1 (en) * 1997-11-03 2007-09-26 ASM America, Inc. Long life high temperature process chamber
US6222990B1 (en) * 1997-12-03 2001-04-24 Steag Rtp Systems Heating element for heating the edges of wafers in thermal processing chambers
US6204484B1 (en) 1998-03-31 2001-03-20 Steag Rtp Systems, Inc. System for measuring the temperature of a semiconductor wafer during thermal processing
US5930456A (en) 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US5970214A (en) 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
IL125690A0 (en) * 1998-08-06 1999-04-11 Reiser Raphael Joshua Furnace for processing semiconductor wafers
US6210484B1 (en) 1998-09-09 2001-04-03 Steag Rtp Systems, Inc. Heating device containing a multi-lamp cone for heating semiconductor wafers
US6040518A (en) * 1998-12-22 2000-03-21 Eaton Corporation Wafer temperature monitoring device utilizing flexible thermocouple
US6771895B2 (en) 1999-01-06 2004-08-03 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
KR100752682B1 (ko) * 2000-04-06 2007-08-29 에이에스엠 아메리카, 인코포레이티드 유리질 보호용 장벽코팅
US6353210B1 (en) 2000-04-11 2002-03-05 Applied Materials Inc. Correction of wafer temperature drift in a plasma reactor based upon continuous wafer temperature measurements using and in-situ wafer temperature optical probe
DE10051125A1 (de) * 2000-10-16 2002-05-02 Steag Rtp Systems Gmbh Vorrichtung zum thermischen Behandeln von Substraten
WO2002078074A1 (en) * 2001-03-21 2002-10-03 Kornic Systems Corp. Apparatus and method for temperature control in rtp using an adaptative control
US6572265B1 (en) 2001-04-20 2003-06-03 Luxtron Corporation In situ optical surface temperature measuring techniques and devices
US7080940B2 (en) * 2001-04-20 2006-07-25 Luxtron Corporation In situ optical surface temperature measuring techniques and devices
JP3735052B2 (ja) * 2001-07-27 2006-01-11 大日本スクリーン製造株式会社 基板の接触式温度測定装置およびそれを備えた基板の熱処理装置
JP2003045818A (ja) * 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6515261B1 (en) * 2002-03-06 2003-02-04 Applied Materials, Inc. Enhanced lift pin
US6574525B1 (en) * 2002-03-25 2003-06-03 Lsi Logic Corporation In situ measurement
US6825051B2 (en) * 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
US6974781B2 (en) * 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
JP5607108B2 (ja) * 2006-07-06 2014-10-15 株式会社小松製作所 温度制御装置および温度制御方法
JP5027573B2 (ja) 2006-07-06 2012-09-19 株式会社小松製作所 温度センサおよび温調装置
US7874726B2 (en) * 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US20080314892A1 (en) * 2007-06-25 2008-12-25 Graham Robert G Radiant shield
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US7993057B2 (en) * 2007-12-20 2011-08-09 Asm America, Inc. Redundant temperature sensor for semiconductor processing chambers
US7946762B2 (en) * 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8262287B2 (en) * 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8382370B2 (en) * 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US9297705B2 (en) * 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8100583B2 (en) * 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20120181265A1 (en) * 2010-07-15 2012-07-19 Despatch Industries Limited Partnership Firing furnace configuration for thermal processing system
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
KR20170043936A (ko) * 2015-10-14 2017-04-24 현대자동차주식회사 블랭크 가열 장치
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58142426A (ja) * 1982-02-18 1983-08-24 Nec Corp ワ−ドプロセツサにおける表入力方式
JPS58175828A (ja) * 1982-04-08 1983-10-15 Agency Of Ind Science & Technol 横型炉金属溶液シ−ルによるキャップレス・アニ−ル法
JPS5955831A (ja) * 1982-09-25 1984-03-31 Ajinomoto Co Inc 粉末栄養組成物
JPS59101825A (ja) * 1982-12-02 1984-06-12 Matsushita Electric Ind Co Ltd 半導体装置の製造方法および熱処理装置
JPS60179829A (ja) * 1984-02-28 1985-09-13 Nec Corp プログラムロ−ド制御方式
JPS61270840A (ja) * 1985-05-25 1986-12-01 Koichiro Takaoka 半導体ウエハ−の温度測定方法
JPS6293926A (ja) * 1985-10-21 1987-04-30 Hitachi Ltd 半導体ウエハの熱処理装置
JPS62165325A (ja) * 1986-01-14 1987-07-21 Nec Corp ランプアニ−ル装置
JPS6318225A (ja) * 1986-07-09 1988-01-26 Fujitsu Ltd 放射温度計
JPS6386542A (ja) * 1986-09-30 1988-04-16 Nec Corp ウエハ表面温度測定方法
JPH0693438B2 (ja) * 1986-12-11 1994-11-16 大日本スクリ−ン製造株式会社 基板温度測定装置
JPS63160222A (ja) * 1986-12-23 1988-07-04 Mitsubishi Electric Corp ウエハホルダ
JPS63312630A (ja) * 1987-06-15 1988-12-21 Toshiba Ceramics Co Ltd 半導体ウェ−ハの熱処理装置
JPS63316428A (ja) * 1987-06-18 1988-12-23 Nec Corp 半導体ウェハ熱処理炉用ソフトランディングシステム
JPH01130526A (ja) * 1987-11-17 1989-05-23 Nec Corp レジスト剥離装置
US5061444A (en) * 1987-12-29 1991-10-29 California Institute Of Technology Systems for reducing deposition of fluid-borne particles
JPH0623935B2 (ja) * 1988-02-09 1994-03-30 大日本スクリーン製造株式会社 再現性を高めた熱処理制御方法
US4981815A (en) * 1988-05-09 1991-01-01 Siemens Aktiengesellschaft Method for rapidly thermally processing a semiconductor wafer by irradiation using semicircular or parabolic reflectors
US4945414A (en) * 1988-05-12 1990-07-31 Rca Licensing Corporation Compensator for temperature-induced black level drift for use in a television receiver

Also Published As

Publication number Publication date
US5315092A (en) 1994-05-24
JPH04148545A (ja) 1992-05-21

Similar Documents

Publication Publication Date Title
JP2780866B2 (ja) 光照射加熱基板の温度測定装置
US5098198A (en) Wafer heating and monitor module and method of operation
TW529059B (en) Method and apparatus for thermally processing wafers
TW493216B (en) Rapid thermal processing (RIP) system with rotating substrate
WO1998038673A1 (fr) Instrument et procede de mesure de la temperature d'un substrat, procede de chauffage d'un substrat et dispositif de traitement par la chaleur
JP2563440B2 (ja) 半導体ウェーハ処理装置
JPH06204143A (ja) Cvd装置
US4989991A (en) Emissivity calibration apparatus and method
JPH05299428A (ja) 半導体ウェーハの熱処理方法及び熱処理装置
JPH07151606A (ja) 基板の温度測定装置
KR100413646B1 (ko) 온도검출소자
JP2982026B2 (ja) 温度測定装置とこれを用いた被加熱体の温度測定装置
JPH02298829A (ja) 熱処理装置
JP3325384B2 (ja) 熱処理炉用温度測定装置
JP3604425B2 (ja) 気相成長装置
KR100190357B1 (ko) 웨이퍼가열 및 모니터링 시스템 및 작동방법
JPH10170343A (ja) 温度測定装置
JP2009124005A (ja) 均熱高速昇降炉
JP3874042B2 (ja) 温度センサの支持装置
JP2004179355A (ja) 真空装置及び加熱処理装置
JPS62163323A (ja) 赤外線加熱装置
KR970004424B1 (ko) 램프가열 및 회전식 기판을 이용한 박막제조방법 및 장치
JP3241040B2 (ja) 加熱処理装置
JPH06288837A (ja) シリコンウエハーの温度測定装置
JP2000058455A (ja) 基板加熱方法及び装置

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090515

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090515

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100515

Year of fee payment: 12

LAPS Cancellation because of no payment of annual fees