DE69404397T2 - Verbesserte Suszeptor Ausführung - Google Patents

Verbesserte Suszeptor Ausführung

Info

Publication number
DE69404397T2
DE69404397T2 DE1994604397 DE69404397T DE69404397T2 DE 69404397 T2 DE69404397 T2 DE 69404397T2 DE 1994604397 DE1994604397 DE 1994604397 DE 69404397 T DE69404397 T DE 69404397T DE 69404397 T2 DE69404397 T2 DE 69404397T2
Authority
DE
Germany
Prior art keywords
susceptor
substrate
pocket
gas
holder
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE1994604397
Other languages
English (en)
Other versions
DE69404397D1 (de
Inventor
Israel Beinglass
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of DE69404397D1 publication Critical patent/DE69404397D1/de
Application granted granted Critical
Publication of DE69404397T2 publication Critical patent/DE69404397T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

  • Diese Erfindung bezieht sich auf ein verbessertes Verfahren und eine verbesserte Vorrichtung zum Abscheiden von polykristallinem Silicium auf Siliciumsubstraten. Insbesondere betrifft diese Erfindung eine Einzelsubstrat-Behandlungskammer zum Abscheiden einer eine verbesserte Gleichförmigkeit aufweisenden polykristallinem Siliciumschicht auf Halbleitersubstraten sowie ein Verfahren dafür.
  • Auf Siliciumsubstraten, wie Siliciumwafern, hat man dotierte oder undotierte Siliciumschichten unter Verwendung eines chemischen Dampfabscheidungsprozesses (CVD) bei niedrigem Druck abgeschieden. Zum Abscheiden eines Siliciumfilms auf der Oberfläche des Substrats wird ein Reaktionsgasgemisch mit einer Siliciumquelle, wie Silan, Disilan, Siliciumtetrachlorid und dergleichen, sowie fakultativ mit einem Dotiergas, wie Phosphin, Arsin, Diboran und dergleichen, und fakultativ mit einem Trägergas (wie Wasserstoff, Argon, Stickstoff oder Helium) erhitzt und über ein Siliciumsubstrat geführt. Die genaue Kristalistruktur des abgeschiedenen Siliciums hängt von der Abscheidungstemperatur ab. Bei niedrigen Reaktionstemperaturen ist das abgeschiedene Silicium meist amorph. Wenn höhere Abscheidungstemperaturen verwendet werden, wird ein Gemisch aus amorphem Silicium und polykristallinem Silicium oder polykristallines Silicium allein abgeschieden.
  • Die Verfahren nach dem Stand der Technik verwenden für diesen Prozeß vergleichsweise niedrige Drucke von etwa 26,7 bis 53,3 Pa (200 bis 400 mTorr). Es können Filme guter Qualität ausgebildet werden, man erhält jedoch sehr niedrige Abscheidungsraten von etwa 100 Angström/min für undotiertes, und etwa Angström/min für dotiertes polykristallines Silicium. Diese niedrige Abscheidungsrate kann durch Behandeln einer Vielzahl von Wafern, beispielsweise bis zu 100, gleichzeitig in einer im Chargenbetrieb arbeitenden Behandlungskammer überwunden werden.
  • Die gegenwärtige Dünnfilmausrüstung für die Halbleiterindustrie hat sich jedoch zur Einzelsubstratbehandlung hin bewegt, da die Behandlungskammern kleiner gemacht werden können und der Prozeß besser gesteuert werden kann. Man hat außerdem moderne Halbleiter-Vakuumbehandlungssysteme entwickelt, um mehr als einen Behandlungsschritt an einem Substrat auszuführen, ohne das Substrat aus einer Vakuumumgebung zu entfernen. Die Verwendung solcher Vakuumsysteme ergibt eine verringerte Anzahl von Teilchen, welche die Oberfläche des Wafers während der Behandlung verunreinigen, wodurch die Vorrichtungsausbeute verbessert wird. Zu solchen Vakuumsystemen gehört eine zentrale robotische Überführungskammer, die mit verschiedenen Behandlungskammern verbunden ist, beispielsweise das Behandlungssystem von Applied Materials Baureihe 5000 und beschrieben im US-Patent 4,951,601 von Maydan et al..
  • Dadurch kommt die CVD-Vorrichtung für Einzelsubstratbehandlung zum Abscheiden von polykristallinem Silicium auf Halbleitersubstraten in kommerziellen Gebrauch. Eine CVD-Kammer für einen solchen Zweck wurde zuvor beschrieben und wird unter Benzugnahme auf Fig. 1 erläutert.
  • Gemäß Fig. 1 hat ein Einzelsubstratreaktor 31 eine obere Wand 32, Seitenwände 33 und eine untere Wand 34, die den Reaktor 13 begrenzen, in welchen ein Einzelsubstrat 35, wie ein Siliciumwafer, geladen werden kann. Das Substrat 35 wird auf einem Sockel oder Suszeptor 36 angeordnet, der durch einen Motor 37 gedreht wird, um eine zeitlich gemittelte Umgebung für das Substrat 35 zu bilden, die zylindersymmetrisch ist. In der Kammer 30 ist ein Vorheizring 40 gehalten, der den Wafer 35 umgibt. Der Wafer 35 und der Vorheizring 40 werden durch Licht aus einer Vielzahl von Lampen 38 und 39 hoher Intensität erhitzt, die außerhalb des Reaktors 31 angeordnet sind. Die obere Wand 32 und die untere Wand 34 der Kammer 30 sind im wesentlichen für Licht transparent, so daß das Licht von den externen Lampen 38 und 39 in den Reaktor 31 eintreten und den Suszeptor 36, das Substrat 35 und den Vorheizring 40 erhitzen kann. Ein zweckmäßiges Material für die obere Wand 32 und die untere Wand 34 ist Quarz, da es für Licht mit sichtbarer und Infrarotfrequenz transparent ist. Es ist ein relativ hochfestes Material, das eine große Durckdifferenz über diesen Wänden aushalten kann. Es ist auch deshalb günstig, weil es eine niedrige Ausgasrate hat.
  • Während des Abscheidens strömt ein Reaktionsteilnehmergasstrom von einer Gaseinlaßöffnung 310 quer über den Vorheizring 40, wo die Gase erhitzt werden, quer über die Oberfläche des Substrats 35 in Richtung der Pfeile 41, um Siliciumfilme darauf abzuscheiden, und in eine Auslaßöffnung 311. Die Gaseinlaßöffnung 310 ist mit einem Gasverteiler (nicht gezeigt) verbunden, der dafür sorgt, daß ein Gas oder ein Gemisch aus Gasen in den Reaktor 31 über eine Vielzahl von Rohren in diese Öffnung eintritt. Die Stellen der Einlaßenden dieser Rohre, die Gaskonzentrationen und/oder der Durchsatz durch jedes dieser Rohre werden so gewählt, daß Reaktionsteilnehmergasströme und Konzentrationsprofile erzeugt werden, welche die Behandlungsgleichförmigkeit optimieren. Obwohl die Drehung des Substrats und die thermischen Gradienten, die durch die Wärme von den Lampen 38 und 39 verursacht werden, das Strömungsprofil der Gase in dem Reaktor 31 beträchtlich beeinflussen können, ist die vorherrschende Form des Strömungsprofils eine laminare Strömung von der Gaseinlaßöffnung 310 und quer über den Vorheizring 40 und das Substrat 35 zur Auslaßöffnung 311.
  • Bei einem typischen Prozeß zur Erzeugung einer nicht-dotierten Siliciumschicht auf einem Siliciumwafer wird ein Druck zwischen 133 Pa bis 26,7 KPa (1 bis 200 Torr) in der Kammer dadurch aufrechterhalten, daß Wasserstoff mit etwa 4 bis 10 1/min in die Kammer eingeführt und etwa 200 bis 500 cm³ Silan bei einer Temperatur des Substrats von etwa 560 bis 750ºC zugegeben werden, was durch ein geeignetes Pyrometer festgestellt wird. Unter diesen Umständen kann ein polykristallines Silicium mit einer Rate von etwa 2000 Angström/min abgeschieden werden. Die bei dem oberen Verfahren verwendeten höheren Drucke verbessern die Abscheidungsrate von dotiertem oder undotiertem pllykristallinem Silicium.
  • Ein Nachteil bei dem obigen Behandlungsreaktor besteht darin, daß sich eine unerwünschte Abscheidung von Silicium auf der Rückseite sowohl des Wafers als auch des Suszeptors herausgestellt hat. Die Abscheidung auf der Rückseite des Wafers ist vom Gesichtspunkt der Qualitätskontrolle nicht akzeptabel, während die Abscheidung auf der Rückseite des Suszeptors, wie nachstehend beschrieben wird, die erforderlichen Wafertemperaturmessungen nachteilig beeinflussen kann.
  • Die EP-A-0467624 offenbart einen CVD-Reaktor, bei welchem ein inertes thermisches Gas, wie Argon, auf die Rückseite des in Behandlung befindlichen Wafers während der Abscheidung von Wolfram oder anderer Metalle und Siliciden geführt wird, um eine Materialabscheidung auf der Rückseite des in Behandlung befindlichen Wafers zu vermeiden. In jeder Behandlungsstation ist über einer Platte ein Gasdispersionskopf angeordnet. Die Platte hat eine kreisförmige Vertiefung für die Aufnahme eines Wafers und eine ringförmige Nut, die im Boden der Vertiefung in der Nähe ihrer Wand vorgesehen ist. In die Nut wird erhitztes und unter Druck gesetztes Rückseitengas eingeführt, so daß der Wafer in einer Position über dem Boden der Vertiefung, jedoch noch in ihr, gehalten ist. Auf diese Weise belüftet das Rückseitengas von unten den Rand des Wafers auf der Platte und verhindert, daß Behandlungsgase die Waferrückseite kontaktieren.
  • Die US-A-4817558 offenbart eine Dünnfilm-Abscheidungsvorrichtung mit einer Reaktionskammer, die ein Substratträgerelement für die Aufnahme eines Substrats enthält, auf dem ein Dünnfilm abgeschieden werden soll. Die ganze Oberfläche des Substratträgerelements mit Ausnahme einer Substratträgerfläche, auf der das Substrat anzuordnen ist, wird von einem Abdeckelement abgedeckt. Die Oberflächentemperatur der Abdeckung wird niedriger als die des Substrats gehalten, so daß verhindert wird, daß ein unerwünschter Film auf der Oberfläche des Abdeckelements abgeschieden wird.
  • Die Nut liegt im allgemeinen jenseits des Umfangs des Substrats.
  • Der Suszeptor kann weiterhin eine an seinem Durchmesser ausgebildete Abstufung aufweisen. Die Abstufung paßt mit einer komplementären Abstufung an einem den Suszeptor umschließenden Vorheizring zusammen, der in der Kammer angeordnet ist und dessen Funktion darin besteht, zu verhindern, daß Substratbehandlungsgas die Rückseite des Suszeptors erreicht.
  • Es folgt eine Beschreibung einiger spezieller Ausführungsformen der Erfindung, wobei auf die beiliegenden Zeichnungen Bezug genommen wird, in denen
  • Fig. 1 eine teilweise schematische Schnittansicht einer Einzelsubstrat-Abscheidungskammer nach dem Stand der Technik ist.
  • Dementsprechend möchte man eine Substratbehandlungsvorrichtung bereitstellen, die das Abscheiden auf der Rückseite des Wafers ausschließt oder auf ein Minimum reduziert, während die oben erläuterten Komplikationen des Standes der Technik vermieden werden.
  • Die Erfindung stellt eine Vorrichtung zur chemischen Behandlung eines Substrats bereit, das ein Gehäuse mit einer Substratbehandlungskammer, eine Gaseinlaßöffnung zum Einblasen von chemischem Behandlungsgas in die Kammer, eine Gasauslaßöffnung zum Abführen von Gasen aus der Kammer und einen Suszeptorhalter für ein zu behandelndes Substrat aufweist, der eine Substrattragfläche und eine der Tragfläche gegenüberliegende rückseitige Fläche aufweist, wobei in der Substrattragfläche eine Tasche für die Aufnahme des Substrats vorgesehen ist, die Tasche einen Boden und insgesamt hochstehende Seiten aufweist und für die Aufnahme des Substrats bemessen ist, und der Boden der Tasche eine umschreibende Nut hat, die so bemessen ist, daß sie, wenn das Substrat in der Tasche aufgenommen ist, am Umfang des Substrats liegt. Dabei ist angrenzend an den Suszeptorhalter und ihn umgebend ein Vorerhitzungsring vorgesehen, wobei der Suszeptorhalter zwischen der Tragfläche und der rückseitigen Fläche einen Fortsatz und der Vorerhitzungsring einen Fortsatz hat, der mit dem Suszeptorhalterfortsatz so zusammenpaßt, daß eine Sperre gebildet wird, die verhindert, daß Prozeßgas die rückseitige Fläche des Suszeptors erreicht, und die Nut im Boden der Tasche ein Behältnis für abgeschiedenes Material bildet, um die Menge des Materials zu verringern, das auf der Rückseite des Substrats abgeschieden wird.
  • Die Erfindung stellt auch einen Suszeptor zur Verwendung in einer Substratbehandlungsvorrichtung mit einer Substratbehandlungskammer zur Aufnahme des Suszeptors bereit, wobei der Suszeptor eine vordere Substrataufnahmefläche und eine hintere Fläche, eine Substrataufnahmetasche, die in der vorderen Fläche ausgebildet ist, und einen Boden und insgesamt hochstehende Seiten hat, die für die Aufnahme eines Substrats darin bemessen sind, und eine Nut um den Umfang aufweist, die im Boden der Tasche ausgebildet und so bemessen ist, daß sie, wenn das Substrat in der Tasche aufgenommen ist, auf dem Umfang des Substrats liegt. Dabei ist angrenzend an den Suszeptorhalter und ihn umschließend ein Vorerhitzungsring vorgesehen, wobei der Suszeptorhalter einen Fortsatz zwischen der Tragfläche und der rückseitigen Fläche hat, der Vorerhitzungsring einen Fortsatz hat, der mit dem Suszeptorhalterfortsatz so zusammenpaßt, daß eine Sperre gebildet wird, so daß sich kein Behandlungsgas auf der Rückseite des Suszeptors abscheiden kann.
  • Fig. 2 ist eine teilweise schematische Schnittansicht einer Einzelsubstrat-Abscheidungskammer, die eine Ausführungsform der vorliegenden Erfindung darstellt,
  • Fig. 3 ist eine Schnittansicht einer Ausführung einer Reaktionsteilnehmergassperre der Erfindung,
  • Fig. 4 ist eine teilweise geschnittene perspektivische Ansicht eines Suszeptors, der eine weitere Ausführung dieser Erfindung veranschaulicht, und
  • Fig. 5 ist eine Schnittansicht durch den Rand eines Suszeptors, die eine weitere Ausführung dieser Erfindung darstellt.
  • In Fig. 2 ist eine Ausführungsform des verbesserten Suszeptors der Erfindung dargestellt. Diese Ausführung veranschaulicht Merkmale, die die Menge der Abscheidung auf der Rückseite des Suszeptors verringern, und zeigt einen Einzelsubstratreaktor 131 mit einer oberen Wand 132, Seitenwänden 133 und einer unteren Wand 134. Die obere Wand 132 und die untere Wand 134 sind aus Quarz gefertigt. Außerhalb des Reaktors 131 ist eine Vielzahl von Lampen 138 und 139 hoher Intensität angeordnet, die den Vorerhitzungsring 140, einen Suszeptor 136 und ein Substrat 135 erhitzen, auf welchem ein polykristalliner Siliciumfilm abgeschieden werden soll. Der Suszeptor 136 ist eine flache Platte mit einer oberen Fläche 146 und einer rückseitigen Fläche 145. Den Suszeptor 136 trägt ein Suszeptorhalter 148. Eine Reaktionsteilnehmergas-Einlaßöffnung 111 und eine Auslaßöffnung 112, die in gegenüberliegenden Seitenwänden 133 angeordnet sind, gewährleisten einen laminaren Gasstrom über den Vorerhitzungsring 140 und das Substrat 135.
  • Wie im einzelnen in Fig. 3 näher gezeigt ist, hat der Suszeptor eine Abstufung 142, die an seinem Umfang ausgebildet ist. Die Abstufung kann entweder im Körper des Suszeptors 36 ausgebildet sein oder wird von einem an dem Suszeptor angebrachten Fortsatz gebildet. Der Vorerhitzungsring 140 hat eine komplementäre Abstufung 144, die mit der Abstufung des Suszeptors zusammenpaßt.
  • Diese Ausgestaltung bildet einen gewundenen Weg, längs dessen das Prozeßgas strömen muß, ehe es die rückseitige Fläche des Suszeptors 136 erreicht. Dieser gewundene Weg erhöht sowohl die Entfernung, über die sich das Gas bewegen muß, ehe es die Rückseite des Suszeptors erreicht, und verursacht auch eine Turbulenz in dem längs des Wegs strömenden Gas. Als Folge ergibt sich eine Abscheidung an beiden Hälften der Überlappungsformation, die von den komplementären Abstufungen in dem Suszeptor bzw. Vorerhitzungsring 140 gebildet wird. Dies reduziert die Menge des Gases, das zwischen dem Suszeptor und dem Vorerhitzungsring hindurchgehen kann und verringert, wie sich zeigt, die Größe der Abscheidung auf der Rückseite 145 des Suszeptors.
  • Man nimmt an, daß Abscheidungen von dotiertem oder undotiertem Silicium oder anderen Feststoffmaterialien auf der Rückseite des Suszeptors Temperaturänderungen quer über dem Suszeptor 136 verursachen. Diese Temperaturänderungen führen ihrerseits zu Veränderungen in der Filmdicke und den Eigenschaften der polykristallinen Siliciumfilme von Wafer zu Wafer.
  • Außerdem verursacht ein Materialaufbau auf der Rückseite 145 des Suszeptors 136 auch ungenaue Temperaturablesungen des Substrats 135 während der Abscheidung, wodurch auch die Art der Abscheidung und die Abscheidungsrate des sich abscheidenden Films beeinflußt werden. Die Temperatur des Suszeptors 136 wird mittels eines Pyrometers 150 bestimmt, das auf der Außenseite der Kammer 131 und über den Lampen 139 angeordnet ist. Das Pyrometer 150 ist für die Emissionsstärke der rückseitigen Fläche 145 des Suszeptors 136 empfindlich. Wenn sich die Emissionsstärke der rückseitigen Fläche. 145 des Suszeptors 136 aufgrund der Abscheidung von verschiedenen Materialien auf ihr ändert, erhält das Pyrometer 150 ein sich änderndes Signal und ergibt so eine fehlerhafte Temperaturablesung. Deshalb muß die Emissionsstärke der rückseitige Fläche 145 des Suszeptors 136 so konstant wie möglich gehalten werden.
  • Da sich der Aufbau des Materials auf der rückseitigen Fläche 145 während der sequentiellen Substratbehandlung fortsetzt, ergibt sich auch noch eine Änderung von Wafer zu Wafer bei den abgeschiedenen Filmen, die im Idealfilm so gering wie möglich gehalten werden sollte.
  • Dadurch, daß verhindert wird, daß Reaktionsteilnehmergase die Rückseite des Suszeptors erreichen, wird die Abscheidung auf ihm reduziert. Dadurch werden Temperaturänderungen quer über dem Substrat und auf dem Suszeptor reduziert, und die Temperaturablesungen des Substrats während der Abscheidung sind genauer als bei den Abscheidungskammern nach dem Stand der Technik.
  • Fig. 4 zeigt eine weitere Ausgestaltung dieser Erfindung. In dieser Figur ist der Suszeptor 236 mit einer Abstufung 242 dargestellt, die ähnlich der Abstufung 142 in Fig. 2 und 3 ist. Der Vorerhitzungsring 240 hat in gleicher Weise eine komplementäre Ausbildung 244, die die Abstufung 242 des Suszeptors 236 überlappt. Diese Ausbildung 244 ist ähnlich der Ausbildung 144 bei den vorherigen beiden Figuren.
  • Zusätzlich zu diesem Merkmal hat der Suszeptor 236 weiterhin eine versenkte Waferaufnahmetasche 250. In dem Boden der Tasche sind drei kreisförmige Löcher 252 gezeigt. Diese Löcher 252 erstrecken sich durch den Körper des Suszeptors 236 und wirken als Führungen für Waferhubfinger (nicht gezeigt), die während der Überführung des Wafers zu dem Suszeptor oder von ihm weg, sich relativ zum Suszeptor bewegen, um den Wafer aus der Tasche 250 anzuheben und von der oberen Fläche 243 des Suszeptors freizugeben.
  • Die Tasche 250 ist gewöhnlich bis zu einem Niveau von 1,02 mm (0,04 Zoll) unter der oberen Fläche 243 des Suszeptors 236 ausgebildet. Diese Tiefe ist jedoch variabel und wird durch die Dicke des Halbleiterwafers bestimmt, der in der Tasche gehalten wird. Im Idealfall sollte die Oberseite des Halbleiterwafers auf einer Höhe der oberen Fläche 243 des Suszeptors 236 sein.
  • In dieser Figur ist auch eine ringförmige Nut 254 gezeigt, die um den Umfang der Waferaufnahmetasche 250 herum ausgebildet ist. Die Nut 254 ist etwa 2,03 mm (0,08 Zoll) breit und hat eine Bodenfläche, die sich 4,45 mm (0,175 Zoll) unter dem Niveau der Tasche 250 befindet. Die Nut 254 ist so bemessen, daß, wenn ein Wafer in der Tasche 250 gehalten wird, die Nut den äußeren Rand des Wafers kreisförmig umschließt, d.h. der Durchmesser des Wafers ist kleiner als der Durchmesser der Innenwand 258 der Nut 254.
  • Es hat sich gezeigt, daß bei den Suszeptoren nach dem Stand der Technik, von denen keiner eine ringförmige Nut hat, eine bestimmte Mange von Behandlungsgas unter dem Halbleiterwafer einsickert, der auf einem solchen Suszeptor gehalten ist, und das Abscheiden auf der Rückseite des Wafers verursacht. Diese Abscheidung kann so stark sein, daß es sich zur Mitte des Wafers hin bis zu 25,4 mm (1 Zoll) vom Außenumfang des Wafers aus erstreckt. Aufgrund von nachfolgenden Behandlungsschritten ist diese rückseitige Abscheidung für bestimmte Anwendungszwecke vom Gesichtspunkt der Qualitätskontrolle nicht akzeptabel.
  • Die versenkte Tasche 250, und insbesondere die Nut 254 des Suszeptors 236, wie sie in dieser Figur dargestellt sind, überwinden dieses Problem.
  • Zunächst befindet sich, wenn der Wafer in der Tasche 250 angeordnet ist, seine obere Fläche insgesamt auf der gleichen Höhe wie die obere Fläche 243 des Suszeptors 236. Als Folge wird bis zu einem bestimmten Ausmaß verhindert, daß quer über die obere Fläche des Wafers strömende Reaktionsteilnehmergase unter den Wafer über die äußere Wand 256 der Nut 254 einsikkern.
  • Wenn sich das Reaktionsteilnehmergas in den Raum zwischen den Außenrand des Wafers und die äußere Wand 256 bewegt, bewegt sich weiterhin - und was von größerer Bedeutung ist - dieses Gas nach unten in den Boden der Nut und dann nach oben längs ihrer Innenwand 258, ehe es sich unter den Wafer bewegt, der auf der Tasche 250 ruht.
  • Dieser Effekt könnte das Ergebnis einer Anzahl von Faktoren sein. Die Reaktionsteilnehmergase haben eine laminare Strömung beim Eintritt in die Kammer, wenn diese Gase jedoch in die Nut strömen, wird ein bestimmtes Ausmaß an Turbulenz in dem Gas erzeugt. Als Folge hat das Gas die erforderliche Richtungsströmung, um unter den Wafer einzusickern. Bei den typischen Temperaturen, bei denen die Waferbehandlungsreaktoren arbeiten, reagieren weiterhin die Rekationsteilnehmergase nur, wenn sie in Kontakt mit der erhitzten Oberfläche kommen. Als Folge der obengezeigten Ausgestaltung ist die Weglänge (d.h. der erhitzte Oberflächenbereich), mit der das Gas in Kontakt kommt, bevor es den Wafer erreicht, wesentlich vergrößert.
  • Die Wirkung dieser beiden Faktoren und anderer Faktoren besteht darin, daß die Abscheidung des Reaktionsteilnehmerprodukts größtenteils längs der Innenwände der Nut erfolgt und nicht auf der Rückseite des Wafers. Es hat sich herausgestellt, daß dies derart erfolgreich ist, daß die Abscheidungsmenge auf der Waferrückseite von etwa 25,4 mm (1 Zoll) bis etwa im Bereich von 2-3 mm verringert wurde.
  • In Fig. 5 ist noch eine andere Verbesserung an dem Suszeptor gezeigt. In dieser Figur sind die Bauelemente, die denen in den vorherigen Figuren entsprechen, mit ähnlichen Bezugszeichen versehen. So ist beispielsweise der Suszeptor 236 (Fig. 4) in dieser Figur mit dem Bezugszeichen 336 versehen. Da die verschiedenen Elemente in dieser Figur, die der von Fig. 4 entsprechen, bereits beschrieben worden sind, ist eine weitere Beschreibung dieser Elemente nicht erforderlich. Was diese Figur jedoch zeigt, ist ein Wafer 335 (mit gestrichelten Linien gezeigt), der in der Tasche 350 angeordnet ist. Dieser Wafer 335 ist lediglich dargestellt, um in etwa zu veranschaulichen, wie er in der Tasche 350 aufgenommen ist.
  • Zusätzlich hat der in dieser Figur gezeigte Suszeptor 336 anstelle einer gleichförmigen, nicht unterbrochenen Unterseite 346 eine kreisförmige Tasche 360, die in ihr von unten ausgebildet ist. Diese Tasche 360 hat zur Wirkung, daß die thermische Masse des gesamten Suszeptors 336 reduziert ist. Als Folge ist die von dem Suszeptor 336 gehaltene Wärmemenge kleiner als sie es wäre, wenn die Tasche 360 nicht in der Unterseite 346 ausgebildet wäre.
  • Dies ist bei bestimmten Behandlungsvorgängen besonders vorteilhaft, bei denen die Temperatur sehr schnell erhöht und abgesenkt wird, und sich der Suszeptor schnell erwärmen oder abkühlen muß. Eine Verringerung der thermischen Masse des Suszeptors, wie sie bei dieser Ausführungsform vorgesehen ist, macht diese Erhöhung und Verringerung der Temperaturen leichter.
  • Die Behandlungskammer der Erfindung und die beschriebenen Verbesserungen an dem Suszeptor können für sich eingesetzt werden oder vorzugsweise einen Teil eines Mehrfachkammer-Vakuumbehandlungssystems bilden, wie es oben beschrieben ist. In diesem Fall hat die Behandlungskammer der Erfindung eine Öffnung in einer Seitenwand zum Übeführen von Substraten in die Kammer aus einer zentralen überführungskammer und aus ihr heraus.
  • Ein Vorteil der Erfindung besteht darin, daß eine Sperre für den Strom von Reaktionsteilnehmergasen zur Rückseite des Suszeptors vorgesehen wird. Dies verhindert Abscheidungen auf der Rückseite des Suszeptors und daraus resultierende Temperaturmeßungenauigkeiten. Dementsprechend werden Änderungen der Filmdicke von Wafer zu Wafer unterbunden oder auf ein Minimum reduziert.
  • Ein weiterer Vorteil dieser Erfindung besteht darin, daß Behandlungsgase daran gehindert werden, unter den Wafer einzusickern. Dies reduziert das Auftreten einer Abscheidung auf der Waferrückseite wesentlich und verbessert dadurch die Qualität des Wafers.

Claims (6)

1. Vorrichtung zum chemischen Behandeln eines Substrats mit a) einem Gehäuse, das eine Substratbehandlungskammer (131) aufweist,
b) einer Gaseinlaßöffnung (111) zum Einblasen von chemischem Behandlungsgas in die Kammer (131),
c) einer Gasauslaßöffnung (112) zum Abführen von Gasen aus der Kammer (131) und
d) einem Suszeptorhalter (136) für ein zu behandelndes Substrat (135), der eine Substrattragfläche (146) und eine der Tragfläche gegenüberliegende rückseitige Fläche (145) aufweist, wobei in der Substrattragfläche (146) eine Tasche (250) für die Aufnahme des Substrats (135) vorgesehen ist, die Tasche (250) einen Boden und insgesamt hochstehende Seiten aufweist und für die Aufnahme des Substrats (135) bemessen ist, und der Boden der Tasche eine umbeschreibende Nut (254) hat, die so bemessen ist, daß, wenn das Substrat (135) in der Tasche (250) aufgenommen ist, die Nut (254) am Umfang des Substrats (135) liegt, dadurch gekennzeichnet,
e) daß angrenzend an den Suszeptorhalter und ihn umgebend ein Vorerhitzungsring (140) vorgesehen ist, wobei der Suszeptorhalter (136) zwischen der Tragfläche (146) und der rückseitigen Fläche (145) einen Fortsatz (142) und der Vorerhitzungsring (140) einen Fortsatz (144) hat, der mit dem Suszeptorhalterfortsatz (142) so zusammengepaßt ist, daß eine Sperre gebildet wird, um zu verhindern, daß Prozeßgas die rückseitige Fläche des Suszeptors (145) erreicht, und die Nut (254) im Boden der Tasche (250) ein Behältnis für abgeschiedenes Material bereitstellt, um die Menge des Materials zu verringern, das auf der Rückseite des Substrats (135) abgeschieden wird.
2. Vorrichtung nach Anspruch 1, dadurch gekennzeichnet, daß eine Vielzahl von äußeren Heizlampen (138,139) den Vorerhitzungsring (140) und den Suszeptorhalter (136) erhitzen.
3. Vorrichtung nach Anspruch 1 oder 2, dadurch gekennzeichnet, daß die Gaseinlaßöffnung (111) eine laminare Strömung des Behandlungsgases nacheinander über den Vorerhitzungsring (140) und die Suszeptortragfläche (146) erzeugt.
4. Vorrichtung nach Anspruch 1, bei welcher der Suszeptorhalter (136) einen Hohlraum (360) hat, der in seiner Unterseite ausgebildet ist, um die thermische Masse des Suszeptors zu verringern.
5. Suszeptor zur Verwendung in einer Substratbehandlungsvorrichtung mit einer Substratbehandlungskammer (131) zur Aufnahme des Suszeptors, wobei der Suszeptor
a) eine vordere Substrataufnahmefläche (146) und eine hintere Fläche (145),
b) eine Substrataufnahmetasche (250), die in der vorderen Fläche (146) ausgebildet ist und einen Boden und insgesamt hochstehende Seiten hat, die für die Aufnahme eines Substrats (135) darin bemessen sind, und
c) eine Nut (254) um den Umfang aufweist, die im Boden der Tasche (250) ausgebildet und so bemessen ist, daß, wenn das Substrat (135) in der Tasche (250) aufgenommen ist, die Nut (254) auf dem Umfang des Substrats liegt, dadurch gekennzeichnet, daß angrenzend an den Suszeptorhalter und ihn umschließend ein Vorerhitzungsring (140) vorgesehen ist,
- wobei der Suszeptorhalter (136) einen Fortsatz (142) zwischen der Tragfläche (146) und der rückseitigen Fläche (145) hat, und
- der Vorerhitzungsring (140) einen Fortsatz (144) hat, der mit dem Suszeptorhalterfortsatz (143) so zusammenpaßt, daß eine Sperre gebildet wird, die verhindert, daß Behandlungsgas die rückseitige Fläche des Suszeptors (154) erreicht,
- wobei die Nut (254) in dem Boden der Tasche (250) ein Behältnis für abgeschiedenes Material bereitstellt, um die Menge des Materials zu verringern, welches auf der Rückseite des Substrats (135) abgeschieden wird.
6. Suszeptor nach Anspruch 5, bei welchem der Suszeptor einen Hohlraum (360) in seiner Rückseite hat, um die Masse des Suszeptors zu verringern.
DE1994604397 1993-07-13 1994-07-01 Verbesserte Suszeptor Ausführung Expired - Fee Related DE69404397T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US9059193A 1993-07-13 1993-07-13

Publications (2)

Publication Number Publication Date
DE69404397D1 DE69404397D1 (de) 1997-09-04
DE69404397T2 true DE69404397T2 (de) 1997-11-13

Family

ID=22223453

Family Applications (1)

Application Number Title Priority Date Filing Date
DE1994604397 Expired - Fee Related DE69404397T2 (de) 1993-07-13 1994-07-01 Verbesserte Suszeptor Ausführung

Country Status (3)

Country Link
EP (1) EP0634785B1 (de)
JP (1) JPH0778863A (de)
DE (1) DE69404397T2 (de)

Families Citing this family (219)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6086680A (en) * 1995-08-22 2000-07-11 Asm America, Inc. Low-mass susceptor
AT411304B (de) * 1997-06-18 2003-11-25 Sez Ag Träger für scheibenförmige gegenstände, insbesondere silizium-wafer
KR100551980B1 (ko) * 1997-11-03 2006-02-20 에이에스엠 아메리카, 인코포레이티드 저질량 지지체를 이용한 웨이퍼의 처리방법 및 장치
JP2001522142A (ja) * 1997-11-03 2001-11-13 エーエスエム アメリカ インコーポレイテッド 改良された低質量ウェハ支持システム
US6293749B1 (en) * 1997-11-21 2001-09-25 Asm America, Inc. Substrate transfer system for semiconductor processing equipment
KR100776515B1 (ko) * 2000-12-28 2007-11-16 엘지.필립스 엘시디 주식회사 진공증착장치
US6776849B2 (en) 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
JP4371260B2 (ja) 2003-12-01 2009-11-25 大日本スクリーン製造株式会社 熱処理装置
JP4348542B2 (ja) * 2004-08-24 2009-10-21 信越半導体株式会社 石英治具及び半導体製造装置
US7352440B2 (en) 2004-12-10 2008-04-01 Asml Netherlands B.V. Substrate placement in immersion lithography
US8801857B2 (en) 2008-10-31 2014-08-12 Asm America, Inc. Self-centering susceptor ring assembly
US9570328B2 (en) 2010-06-30 2017-02-14 Applied Materials, Inc. Substrate support for use with multi-zonal heating sources
JP5440589B2 (ja) * 2010-11-16 2014-03-12 信越半導体株式会社 気相成長装置及びエピタキシャルウェーハの製造方法
US9885123B2 (en) 2011-03-16 2018-02-06 Asm America, Inc. Rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US9443730B2 (en) 2014-07-18 2016-09-13 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
KR20170054447A (ko) * 2014-09-05 2017-05-17 어플라이드 머티어리얼스, 인코포레이티드 기판들의 열적 프로세싱을 위한 서셉터 및 예열 링
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6330941B1 (ja) 2017-03-07 2018-05-30 株式会社Sumco エピタキシャル成長装置およびプリヒートリングならびにそれらを用いたエピタキシャルウェーハの製造方法
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
US11961756B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Vented susceptor
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11404302B2 (en) 2019-05-22 2022-08-02 Asm Ip Holding B.V. Substrate susceptor using edge purging
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
USD1031676S1 (en) 2020-12-04 2024-06-18 Asm Ip Holding B.V. Combined susceptor, support, and lift system
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4473455A (en) * 1981-12-21 1984-09-25 At&T Bell Laboratories Wafer holding apparatus and method
JPH0830273B2 (ja) * 1986-07-10 1996-03-27 株式会社東芝 薄膜形成方法及び装置
JPH02148715A (ja) * 1988-11-29 1990-06-07 Canon Inc 半導体デバイスの連続形成装置
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
US5199483A (en) * 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers

Also Published As

Publication number Publication date
EP0634785A1 (de) 1995-01-18
DE69404397D1 (de) 1997-09-04
EP0634785B1 (de) 1997-07-23
JPH0778863A (ja) 1995-03-20

Similar Documents

Publication Publication Date Title
DE69404397T2 (de) Verbesserte Suszeptor Ausführung
DE3751756T2 (de) Verfahren zum Abscheiden aus der Gasphase
DE3722944C2 (de) Vorrichtung zur chemischen Dampfabscheidung und ihre Verwendung
DE3787689T2 (de) Verfahren zum Beschichten unter Anwendung einer CVD-Beschichtungstechnik.
DE69206808T2 (de) Verfahren zur herstellung von titannitridfilmen mit geringem spezifischem widerstand
DE60003892T2 (de) Wolfram-dotierter tiegel und verfahren zu seiner herstellung
DE3884682T2 (de) Verfahren zur Züchtung eines Halbleiterkristalles aus III-V-Gruppen-Verbindung auf einem Si-Substrat.
DE3789424T2 (de) Vorrichtung um dünne Schichten herzustellen.
DE3317349C2 (de)
DE3851627T2 (de) Reaktionskammer und chemischer dampfniederschlag.
DE60022221T2 (de) Apparat für die bearbeitung von halbleitern
DE60123813T2 (de) Sperrschicht für glasartige werkstoffe
DE69006839T2 (de) Flächenselektive chemische Dampfphasenausscheidung.
DE4013143C2 (de)
DE2110289C3 (de) Verfahren zum Niederschlagen von Halbleitermaterial und Vorrichtung zu seiner Durchführung
DE112014002916B4 (de) Vorrichtung zum Ausbilden eines Siliciumcarbidhalbleiterfilms und Filmbildungsverfahren, welches diese verwendet
DE60127252T2 (de) Epitaktischer siliziumwafer frei von selbstdotierung und rückseitenhalo
DE10296662T5 (de) Systeme und Verfahren zum epitaxialen Aufwachsen von Filmen auf ein Halbleitersubstrat
DE4220717C2 (de) Verfahren zum Bilden einer Siliziumcarbidschicht und deren Verwendung
DE3727264A1 (de) Chemisches dampf-ablagerungsverfahren und vorrichtung zur durchfuehrung derselben
DE112011100696T5 (de) Verfahren und Vorrichtung für Abscheidungsprozesse
DE3686570T2 (de) Verfahren und vorrichtung zum herstellen von einkristallen nach dem czochralski-verfahren.
DE60112372T2 (de) Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung
DE69110619T2 (de) Abscheidungsvorrichtung für das Aufwachsen von einem Material unter reduzierter Gefahr.
DE69401863T2 (de) Verbesserte Suszeptor Ausführung

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee