JPH0778863A - 改善されたサセプタデザイン - Google Patents

改善されたサセプタデザイン

Info

Publication number
JPH0778863A
JPH0778863A JP16123894A JP16123894A JPH0778863A JP H0778863 A JPH0778863 A JP H0778863A JP 16123894 A JP16123894 A JP 16123894A JP 16123894 A JP16123894 A JP 16123894A JP H0778863 A JPH0778863 A JP H0778863A
Authority
JP
Japan
Prior art keywords
susceptor
substrate
gas
pocket
groove
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP16123894A
Other languages
English (en)
Inventor
Israel Beinglass
ベイングラス イスラエル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH0778863A publication Critical patent/JPH0778863A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】 【目的】 第1にウエハ裏面、第2にサセプタ裏面の堆
積を克服あるいは最小限化する基板処理装置を提供する
ことを目的とする。 【構成】 基板処理チャンバ内での処理中の基板(13
5)を受領し支持するサセプタ(136)である。この
サセプタ(136)は、床および略直立側部を有する基
板受領ポケットを含む。外接溝は、ポケットの床部に形
設され、基板がポケット内に受領されるとき、溝が基板
の周辺部に位置するような寸法を有する。直立側部は、
溝と共働して、基板処理ガスが基板の裏側に到達するこ
とを制限するように作動する。サセプタ(136)は、
さらに、その周辺部に形成された階段形状(142)を
含む。階段形状(142)は、サセプタ(136)内に
形成された相補型階段形状(144)と接し、チャンバ
(131)内に配置された予加熱リング(140)の周
囲を囲み、基板処理ガスがサセプタ(136)の裏面
(145)に到達することを制限するように作動する。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、シリコン基板上に多結
晶シリコンを堆積する、改善された方法および装置に関
する。より詳細には、本発明は半導体基板上に改善され
た均一性の多結晶シリコン層を堆積する単一式あるいは
枚葉式基板処理チャンバおよびその方法に関する。
【0002】
【従来の技術】ドープあるいはドープされていない(dop
ed or undoped)シリコン層は、低圧の化学蒸着(CV
D)処理を用いて、シリコン基板上に堆積される。シラ
ン、ジシラン、四塩化ケイ素等のシリコン源を含み、さ
らに選択的に、ホスフィン、アルシン、ジボラン等のド
ーパントガスを含む反応ガス混合体、さらに選択的に、
(水素、アルゴン、窒素またはヘリウム等の)キャリア
ガスは、加熱されてシリコン基板の上方を横切り、上記
基板の表面上にシリコン膜を堆積させる。低い反応温度
では、堆積されたシリコンはほとんどが非結晶質になる
が、高い堆積温度が用いられたとき、非結晶質シリコン
及び多結晶シリコンの混合または多結晶シリコンのみが
堆積される。
【0003】従来の方法は、この処理に対し、26.7
から53.3Pa(200−400ミリトール)という
比較的に低い圧力を用いる。良質膜は形成できるが、ド
ープされていない多結晶シリコンに対しては毎分約10
0オングストローム、ドープされた多結晶シリコンに対
しては毎分約30オングストロームという低い蒸着速度
になる。この低い堆積速度は多数の(すなわち100枚
までの)ウエハを一括処理型処理チャンバの中で一度に
処理することにより克服することができる。
【0004】しかし、今日では半導体産業にとって薄膜
装置は、処理チャンバがより小さく作られ、処理が良好
に制御できるということから、単一或いは枚葉式基板に
移行してきている。さらに、最近の半導体真空処理シス
テムは真空雰囲気内から基板を移動することなく、基板
上に一処理ステップを越えるステップを実行するように
開発されてきている。そのような真空システムを使用す
ると、処理中にウエハの表面を汚染する粒子の数を低減
することができ、もって、装置の歩留りが改善される。
そのような真空システムには、Maydan等に対する米国特
許第4951601号に記載されたアプライドマテリア
ルズの5000シリーズ処理システムのような、種々の
処理チャンバに接続された中央自動伝達チャンバ(centr
al roboticv transfer chamber) を具備するシステムが
含まれる。
【0005】そのため、半導体基板上に多結晶シリコン
を堆積させる為の単一或いは枚葉式基板処理に対するC
VD装置は、商業的使用に入ってきている。そのような
目的のCVDチャンバは、これまで記述されてきたが、
図1を参照して説明する。
【0006】図1を参照して、単一或いは枚葉式基板用
反応炉31は頂壁(top wall)32、側壁(side walls)3
3および底壁34を有し、シリコンウエハのような単一
式あるいは枚葉式基板35が充填され得る反応炉31を
画成している。基板35は、モータ37により回転され
るペデスタルまたはサセプタ36上に取り付けられ、円
筒状に対称となる基板35の時間平均環境(time averag
ed environment) を提供する。予加熱リング40はチャ
ンバ30内で支持され、反応炉31の外部に取り付けら
れた複数の高強度ランプ38、39からの光により加熱
される。チャンバ30の頂壁32および底壁34は透光
性であり、外部ランプからの光を反応炉31に入射さ
せ、サセプタ36、基板35および予加熱リング40を
加熱することができる。石英は、可視およびIR周波数
の光に対し透過性であり、これらの壁を横切る大きな圧
力差を支持し得る比較的高強度の材料であるという点、
さらに低い脱ガス率を有するという点から、頂壁32お
よび底壁34としては有用な材料である。
【0007】堆積処理中、反応ガス流は、ガス入口31
0から流れ、ガスが加熱される予加熱リング40を横切
り、シリコンを堆積するため、基板35の表面を矢印4
1の方向に横切り、そして、排気口311に向かう。ガ
ス入口310はガス用多岐管(manifold)(図示せず)に
接続されており、複数のパイプを通じて当該入口に、
(ガス用多岐管は)単一あるいは混合ガスを反応炉31
に提供する。これらのパイプの入口端の配置、これらの
パイプの各々を通るガス濃度および/または流量は、処
理均一性を適性化する反応ガス流および濃度プロファイ
ルを生成するように選定される。基板の回転およびラン
プ38、39からの熱による熱勾配は、反応炉31内の
ガスの流量プロファイルに著しい影響を与え得るもので
あるが、流量プロファイルの優勢を占める(dominant)形
状はガス入口310から予加熱リング40及び基板を横
切り、排気口311へと流れる層流である。
【0008】シリコンウエハ上のドープされていないシ
リコン層を作成する典型的な方法において、133Pa
から26.7kPa(1−200トール)の間の圧力
が、約毎分4−10リットルの水素をチャンバに供給す
ること、および適当な高温計(pyrometer) で測定される
ように、約560−750℃という基板温度にて約20
0−500cm3 のシランを付加することにより維持さ
れる。多結晶膜は、これらの条件下で、約毎分2000
オングストロームの速度で堆積され得る。上記方法で使
われている高圧は、ドープされた或いはドープされてい
ない多結晶シリコンの速度を改善する。
【0009】
【発明が解決しようとする課題】上記処理反応炉に伴う
欠点は、シリコンの不要な堆積がウエハおよびサセプタ
の両方の裏面に生じることが発見されてきている点であ
る。サセプタ裏面上の堆積は許容できるが、ウエハ裏面
の堆積は品質管理という観点から許容することはできな
いし、以下に述べるように、逆に必要なウエハ温度測定
に影響を与える。
【0010】したがって、第1にウエハ裏面、第2にサ
セプタ裏面の堆積を克服あるいは最小限化する基板処理
装置を提供することが望まれる。
【0011】
【課題を解決するための手段および作用】要約すると、
本発明は、基板処理チャンバ内での処理中に基板を受領
して支持するための改善されたサセプタを提供するもの
である。このサセプタは、床部および略直立側部を有す
る基板受領用ポケットを含む。外接溝(circumscribing
groove) は、当該ポケットの床部に形設され、基板が当
該ポケット内に受領されるとき、当該溝が基板の周辺部
に位置するような寸法を有する。直立側部は当該溝と共
働して作動し、基板処理ガスが当該基板の裏面(undersi
de) に到達しないように制限する。
【0012】通常、溝は基板の周辺部を越えて位置す
る。
【0013】サセプタは、その周辺部に形成された、階
段形状(stepped formation) をさらに含んでもよい。そ
の階段形状は、チャンバ内に配置された予加熱リングの
周囲を囲む、サセプタ内に形成された相補型階段形状と
接し、基板処理ガスがサセプタの裏面(rear surface)に
到達することを制限するように作動する。
【0014】
【実施例】図2において、本発明の改善されたサセプタ
の一実施例が示されている。この実施例は、サセプタ裏
側への堆積量を減少させる特徴を例示し(illustrates)
、頂壁132、側壁133および底壁134を有する
単一或いは枚葉式基板用反応炉131を示す。頂壁13
2および底壁134は石英から成る。反応炉131の外
側には複数の高強度ランプ138、139があり、予加
熱リング140、サセプタ136および、多結晶膜が堆
積される基板135を加熱する。サセプタ136は平板
になっており、上面146および裏面(backside surfac
e)145を有する。サセプタサポート148は、サセプ
タ136を支持する。反応ガス入口111と、その反対
側の側壁133に位置する排気口112は、予加熱リン
グ140および基板135を横切る層流のガス流を確実
にする。
【0015】より詳細に図3に示されるように、サセプ
タはその周辺部に形成された階段形状(stepped formati
on) 142を有する。この階段形状は、サセプタ136
の本体内に形成されるか、サセプタ上に取り付けられる
拡張部により画成されるか、のいずれかによって形成す
ることができる。予加熱リング140は、サセプタの階
段形状と係合する(mates with)相補型階段形状144を
有する。
【0016】この形状(configuration) は、処理ガスが
サセプタ136の裏面に到達する前に処理ガスが流れな
ければならない曲がりくねった通路を画成する。この曲
りくねった通路は、当該ガスがサセプタの裏面に到達す
る前に移動しなければならない距離を増加させると共
に、当該通路に沿って流れるガス内に乱流を起こす。そ
の結果、サセプタおよび予加熱リング140の各々にお
ける相補型階段形状により画成された重畳構成の両方の
片側部分(both halves of the overlapping formation)
に堆積が生じる。これが、サセプタおよび予加熱リング
の間を通過し得るガスの量を減少させ、その結果、サセ
プタの裏側145の堆積量を減少することが見い出され
てきた。
【0017】サセプタの裏側の、ドープされた或いはド
ープされていないシリコンまたは他の固体材料の堆積
は、サセプタ136を横切る温度変動(variations)を生
じるものと思われている。これらの温度変動は、堆積さ
れた多結晶膜の膜厚および特性において、ウエハ間の変
動の原因となる。
【0018】さらに、サセプタ136の裏側145への
材料の蓄積は、堆積中の基板135における不正確な温
度表示の原因になり、また、堆積膜の性質および堆積速
度に影響を与える。サセプタ136の温度は、チャンバ
131の外側にあってランプ139の上部に取り付けら
れている高温計150により計測される。この高温計1
50はサセプタ136の裏面145の放射率を検知可能
である。もし、サセプタ136の裏面の放射率が、その
上の多様な材料の堆積のために変化する場合、高温計1
50は可変信号を得て、誤った温度表示を示す。そのた
め、サセプタ136の裏面145の放射率は可能な限
り、一定に保たなければならない。
【0019】また更に、裏面145上の材料の蓄積が、
連続した基板処理の間、続く場合、堆積膜におけるウエ
ハ間の変動は、やはり、理想的には可能な限り小さく保
たれるべき変動が生じる。
【0020】反応ガスがサセプタの裏側に到達すること
を制限することにより、その上の堆積は減少される。そ
のため、基板を横切る温度変動、およびサセプタ上の温
度変動は少なくなり、堆積中の基板における温度表示
は、従来の堆積チャンバより正確になる。
【0021】図4は、本発明の他の実施例を例示する。
この図において、サセプタ236は図2、3に例示され
た階段形状142と類似する階段形状242を含むこと
が示されている。同様に、予加熱リング240はサセプ
タ236の階段形状242に重畳する相補型階段形状を
有する。この形状244は、前述した2つの図の形状と
類似するものである。
【0022】この特徴に加えて、サセプタ236はま
た、埋没型ウエハ受領用ポケット(sunken wafer receiv
ing pocket) 250を含む。このポケットの床部には、
3つの円形穴252が示されている。これらの穴252
は、サセプタ236の本体を貫通して延びており、ウエ
ハをサセプタに或いはサセプタから運ぶ際にサセプタに
対し移動し当該ウエハをポケット250から上昇させて
当該サセプタの上面243から取り除くウエハリフト用
指状部材(図示せず)に対するガイドとして動作する。
【0023】通常、ポケット250は、サセプタ236
の上面243の下方、およそ1.02mm(0.04イ
ンチ)の高さまで形成される。しかし、この深さは可変
であり、ポケット内で支持される半導体ウエハの厚さに
より決定される。理想的には、半導体ウエハの頂部がサ
セプタ236の上面243の高さであるべきである。
【0024】また、この図には、ウエハ受領ポケット2
50の周辺部の周りに形成されている環状溝254が示
されている。溝254はおよそ2.03mm(0.08
インチ)の幅を有しポケット250の高さより下方4.
45mm(0.175インチ)に底面を有する。溝25
4は、ウエハがポケット250の中に支持されるとき、
当該溝がウエハの外縁の周囲を囲む、すなわち、ウエハ
の直径が溝254の内壁の直径より小さい、寸法になっ
ている。
【0025】従来のサセプタにおいては、円周溝を有す
るものはなく、一定量の処理ガスはそのようなサセプタ
に支持された半導体ウエハの下方に染み出し、ウエハの
裏側の堆積の原因になっていることが見い出されてい
る。この堆積は非常に厳しいもので、ウエハの中心に向
かって、ウエハの外周辺から25.4mm(1インチ)
にまで延びる。後の処理ステップのため、この裏側堆積
は、一定の応用例について、品質管理の観点から許容す
ることはできない。
【0026】この埋没型ポケット250、より詳細に
は、この図で例示されたサセプタ236の溝254はこ
の問題を克服する。
【0027】第1に、ウエハはポケット250内に配置
されるとき、その上面はサセプタ236の上面243と
ほぼ同じ高さにある。その結果、ウエハの上面を横切っ
て流れる反応ガスは、ある程度、溝254の外壁256
によりウエハの下方に染み出すことから妨げられる。
【0028】第2に、より重要であるが、もし反応ガス
がウエハの外端部と外壁との間の間隙に移動するとき、
このガスは最初に溝の底にと下方に移動し、それから、
ポケット250内に置かれるウエハの下方に移動する前
に当該内壁258に沿って上方に移動する。
【0029】この効果は、多くの事実の結果として、存
在し得るものである。反応ガスはチャンバ内に入るとき
は層流であるが、これらのガスが溝に流れ込むときには
一定量の乱流がガス内に生成される。その結果、ガスは
ウエハの下方に染み出す為に必要な方向流(directional
flow)を持たない。さらに、ウエハ処理反応炉が作動す
る典型的な温度では、反応ガスは、それらが加熱表面と
接触するようになるとき、単に反応するだけである。上
記の例示した形状の結果、ガスがウエハに到達する前に
接触するようになる経路長(すなわち、加熱面積)は、
実質的に増加する。
【0030】これら、および他の事実の双方の効果は、
反応生成物の堆積のほとんどが溝の内側壁に沿って生
じ、ウエハの裏側には生じない点である。これは、あま
り好結果なので、ウエハの裏側の堆積量は約25.4m
m(1インチ)から2−3mmの領域内の数値まで減少
されてきた。
【0031】図5には、サセプタに対する他の改善例が
示されている。この図において、以前の図のものと同一
要素には、同一符号が用いられている。よって、例えば
サセプタ236(図4)は、この図では336として引
用されている。この図における、図4と共通な多様な要
素は、既に説明されており、これらの要素の説明は必要
がないであろう。しかし、この図は、ポケット350内
に配置されたウエハ335(点線にて図示)を示す。こ
のウエハ335は、単に、それがポケット350内に如
何に受領されるかという点を例示する為に示される。
【0032】さらに、この図に示されるサセプタ336
は、一様に連続した裏側346の代りに、下方よりその
中に形成された環状ポケット360を有する。このポケ
ット360は、全体のサセプタ336の熱容量が減少す
るという効果を有する。その結果、サセプタ336によ
り保持される熱量は、もしポケット360が裏側346
に持たなかった場合の熱量よりも少ない。
【0033】これは、温度が急速に上下に傾斜し、サセ
プタが加熱あるいは急速に冷却させる必要がある場合の
一定の処理操作に特に有用である。この実施例にて提供
されているようなサセプタにおける熱容量の減少は、温
度における増減を簡単に行なう。
【0034】本発明の処理チャンバおよびサセプタに対
する説明された改良は、単独で実施され得るか、好まし
くは、上述したマルチチャンバ真空処理システムの部分
で実施され得る。その場合、本発明の処理チャンバは側
壁に基板を中央移動チャンバ(central transfer chambe
r)からチャンバに出し入れするポートを有する。
【0035】
【発明の効果】この発明の一つの利点は、サセプタの裏
側に対する反応ガス流に対する障壁が提供される点であ
る。これが、サセプタの裏側への堆積、および、その結
果の温度測定の不正確を妨げる。したがって、ウエハ間
の膜厚の変動は妨げられるか最小限になる。
【0036】本発明の他の利点は、処理ガスがウエハの
下方に染み出すことが妨げられる点である。これは、実
質的にウエハ裏側の堆積の発生を減少させ、もって、ウ
エハの質を改善するものである。
【図面の簡単な説明】
【図1】従来の単一あるいは枚葉式基板堆積チャンバの
部分的な概略断面図。
【図2】本発明の一実施例を示す単一あるいは枚葉式基
板堆積チャンバの部分的な概略断面図。
【図3】本発明の反応ガス障壁の一実施例の概略断面
図。
【図4】本発明の他の実施例を示すサセプタの部分的に
切断した図。
【図5】本発明の別の実施例を示すサセプタ端部を通る
断面図。
【符号の説明】
31、131…反応炉、32、132…頂壁、33、1
33…側壁、34、134…底壁、35、135…基
板、36、136、236、336…サセプタ、37、
137…モータ、38、39、138、139…ラン
プ、40、140、240…予加熱リング、142、2
42…階段形状、144、244、344…相補型階段
形状、145…裏面、146、246…上面、148…
サセプタサポート、150…高温計、250、350、
360…ポケット、252…円形穴、254…環状溝、
256…外壁、258…内壁、346…裏側。

Claims (16)

    【特許請求の範囲】
  1. 【請求項1】 基板を化学的に処理する装置であって、 (a)基板処理チャンバを含むハウジングと、 (b)上記チャンバに上記処理ガスが注入されるガス入
    口と、 (c)上記チャンバから上記処理ガスが排出されるガス
    出口と、 (d)処理中に上記基板を受領して支持し、内部には、
    床部及び略直立側部を有すると共に上記基板を受領する
    大きさに形成された基板受領用ポケットおよび後面とを
    有するサセプタと、 (e)上記ポケットの上記床部に形設された円周溝であ
    って、上記基板が上記ポケットに受領されるとき、上記
    溝が上記基板の周辺に位置するような寸法になっている
    もの、とを備え、 上記直立側部は上記溝と共働して上記ガスが上記基板の
    裏面に到達することを制限するように動作する装置。
  2. 【請求項2】 上記溝は、上記基板が上記ポケット内に
    受領されるとき上記基板の周辺部を越えて位置する大き
    さに形成されている請求項1記載の装置。
  3. 【請求項3】 上記ガス入口は、上記チャンバに処理ガ
    スが注入されるとき上記ガス内で層流を起こさせる請求
    項2記載の装置。
  4. 【請求項4】 上記ガスが上記サセプタの後面に到達す
    ることを妨げる手段をさらに備える請求項3記載の装
    置。
  5. 【請求項5】 上記サセプタの周囲を囲み、上記ガスが
    上記入口および出口間を移動する際に上記ガスを加熱す
    るように作動する予加熱リングをさらに備える請求項4
    記載の装置。
  6. 【請求項6】 上記ガスが上記サセプタの後面に到達す
    ることを妨げる手段は、上記予加熱リングおよび上記サ
    セプタ間の界面に形成されている請求項5記載の装置。
  7. 【請求項7】 上記ガスが上記サセプタの後面に到達す
    ることを妨げる手段は、上記サセプタの上記周辺部にて
    階段形状に画成され、上記予加熱リング内で相補型階段
    形状をもって接する(interface) 請求項6記載の装置。
  8. 【請求項8】 上記サセプタは、その裏面に形成された
    空洞部を含み、もって、上記サセプタの熱容量を減少さ
    せる請求項1記載の装置。
  9. 【請求項9】 上記基板はシリコンウエハである請求項
    8記載の装置。
  10. 【請求項10】 サセプタを収容する基板処理チャンバ
    を含む基板処理装置内で用いるサセプタであって、 (a) 前部、基板受領面および後面と、 (b) 上記前面に形成された基板受領用ポケットであ
    って、床部と基板を受領する大きさに形成された略直立
    側部とを有するもの、 (c)上記ポケットの上記床部に形設された円周溝であ
    って、上記溝は上記基板が上記ポケットに受領されると
    き上記基板の周辺に位置するような寸法になっているも
    の、とを備えるサセプタ。
  11. 【請求項11】 上記溝は、上記基板が上記ポケット内
    に受領されるとき上記基板の上記周辺部を越えて位置す
    る大きさに形成されている請求項10記載のサセプタ。
  12. 【請求項12】 上記ガスが上記サセプタの後面に到達
    することを妨げる手段をさらに備える請求項11記載の
    サセプタ。
  13. 【請求項13】 上記ガスが上記サセプタの後面に到達
    することを妨げる手段は、上記サセプタの上記周辺部に
    て階段形状に画成され、上記サセプタが上記チャンバ内
    に配置されるとき、上記チャンバ内に配置されたサセプ
    タの周囲を囲むリング内で相補形階段形状をもって接す
    る請求項12記載のサセプタ。
  14. 【請求項14】 裏面に形成された空洞部をさらに含
    み、もって、熱容量を減少させる請求項10記載のサセ
    プタ。
  15. 【請求項15】 上記基板はシリコンウエハである請求
    項14記載のサセプタ。
  16. 【請求項16】 上記直立側部は、上記溝と共働して上
    記基板処理ガスが上記基板の裏面に到達することを制限
    するように作動する請求項10記載のサセプタ。
JP16123894A 1993-07-13 1994-07-13 改善されたサセプタデザイン Pending JPH0778863A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US9059193A 1993-07-13 1993-07-13
US08/090591 1993-07-13

Publications (1)

Publication Number Publication Date
JPH0778863A true JPH0778863A (ja) 1995-03-20

Family

ID=22223453

Family Applications (1)

Application Number Title Priority Date Filing Date
JP16123894A Pending JPH0778863A (ja) 1993-07-13 1994-07-13 改善されたサセプタデザイン

Country Status (3)

Country Link
EP (1) EP0634785B1 (ja)
JP (1) JPH0778863A (ja)
DE (1) DE69404397T2 (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001524751A (ja) * 1997-11-21 2001-12-04 エーエスエム アメリカ インコーポレイテッド 半導体処理装置用基板移動システム
WO2006022128A1 (ja) * 2004-08-24 2006-03-02 Shin-Etsu Handotai Co., Ltd. 石英治具及び半導体製造装置
US7041939B2 (en) 2003-12-01 2006-05-09 Dainippon Screen Mfg. Co., Ltd. Thermal processing apparatus and thermal processing method
KR100776515B1 (ko) * 2000-12-28 2007-11-16 엘지.필립스 엘시디 주식회사 진공증착장치
JP2012124476A (ja) * 2010-11-16 2012-06-28 Shin Etsu Handotai Co Ltd 気相成長装置及びエピタキシャルウェーハの製造方法
US9443730B2 (en) 2014-07-18 2016-09-13 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
KR20190100365A (ko) 2017-03-07 2019-08-28 가부시키가이샤 사무코 에피택셜 성장 장치 및 프리히트 링 그리고 그들을 이용한 에피택셜 웨이퍼의 제조 방법
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming

Families Citing this family (203)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6086680A (en) * 1995-08-22 2000-07-11 Asm America, Inc. Low-mass susceptor
AT411304B (de) * 1997-06-18 2003-11-25 Sez Ag Träger für scheibenförmige gegenstände, insbesondere silizium-wafer
WO1999023690A1 (en) 1997-11-03 1999-05-14 Asm America, Inc. Method of processing wafers with low mass support
KR100660416B1 (ko) * 1997-11-03 2006-12-22 에이에스엠 아메리카, 인코포레이티드 개량된 저질량 웨이퍼 지지 시스템
US6776849B2 (en) 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US7352440B2 (en) * 2004-12-10 2008-04-01 Asml Netherlands B.V. Substrate placement in immersion lithography
US8801857B2 (en) 2008-10-31 2014-08-12 Asm America, Inc. Self-centering susceptor ring assembly
US9570328B2 (en) 2010-06-30 2017-02-14 Applied Materials, Inc. Substrate support for use with multi-zonal heating sources
US9885123B2 (en) 2011-03-16 2018-02-06 Asm America, Inc. Rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20160068996A1 (en) * 2014-09-05 2016-03-10 Applied Materials, Inc. Susceptor and pre-heat ring for thermal processing of substrates
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
US11961756B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Vented susceptor
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
TW202110587A (zh) 2019-05-22 2021-03-16 荷蘭商Asm Ip 控股公司 工件基座主體及用於沖洗工件基座的方法
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4473455A (en) * 1981-12-21 1984-09-25 At&T Bell Laboratories Wafer holding apparatus and method
JPH0830273B2 (ja) * 1986-07-10 1996-03-27 株式会社東芝 薄膜形成方法及び装置
JPH02148715A (ja) * 1988-11-29 1990-06-07 Canon Inc 半導体デバイスの連続形成装置
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
US5199483A (en) * 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001524751A (ja) * 1997-11-21 2001-12-04 エーエスエム アメリカ インコーポレイテッド 半導体処理装置用基板移動システム
KR100776515B1 (ko) * 2000-12-28 2007-11-16 엘지.필립스 엘시디 주식회사 진공증착장치
US7041939B2 (en) 2003-12-01 2006-05-09 Dainippon Screen Mfg. Co., Ltd. Thermal processing apparatus and thermal processing method
WO2006022128A1 (ja) * 2004-08-24 2006-03-02 Shin-Etsu Handotai Co., Ltd. 石英治具及び半導体製造装置
JP2012124476A (ja) * 2010-11-16 2012-06-28 Shin Etsu Handotai Co Ltd 気相成長装置及びエピタキシャルウェーハの製造方法
US9443730B2 (en) 2014-07-18 2016-09-13 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
KR20190100365A (ko) 2017-03-07 2019-08-28 가부시키가이샤 사무코 에피택셜 성장 장치 및 프리히트 링 그리고 그들을 이용한 에피택셜 웨이퍼의 제조 방법
US10975495B2 (en) 2017-03-07 2021-04-13 Sumco Corporation Epitaxial growth apparatus, preheat ring, and method of manufacturing epitaxial wafer using these
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming

Also Published As

Publication number Publication date
DE69404397D1 (de) 1997-09-04
EP0634785A1 (en) 1995-01-18
EP0634785B1 (en) 1997-07-23
DE69404397T2 (de) 1997-11-13

Similar Documents

Publication Publication Date Title
JPH0778863A (ja) 改善されたサセプタデザイン
KR100307256B1 (ko) 균일성이개선된폴리실리콘막을증착하는방법및장치
US5565382A (en) Process for forming tungsten silicide on semiconductor wafer using dichlorosilane gas
US5932286A (en) Deposition of silicon nitride thin films
EP1061155B1 (en) Vacuum processing apparatus
US6113984A (en) Gas injection system for CVD reactors
US6645884B1 (en) Method of forming a silicon nitride layer on a substrate
US6652650B2 (en) Modified susceptor for use in chemical vapor deposition process
US5695819A (en) Method of enhancing step coverage of polysilicon deposits
US20080220150A1 (en) Microbatch deposition chamber with radiant heating
US20030049372A1 (en) High rate deposition at low pressures in a small batch reactor
JPH03287770A (ja) 枚葉式常圧cvd装置
US5164012A (en) Heat treatment apparatus and method of forming a thin film using the apparatus
US20100107974A1 (en) Substrate holder with varying density
JP2004533722A (ja) 抵抗加熱された単一ウエハチャンバ内のドープ処理済みシリコン堆積処理
US4632058A (en) Apparatus for uniform chemical vapor deposition
EP0823491A2 (en) Gas injection system for CVD reactors
EP0634786B1 (en) Improved susceptor
EP0728850A2 (en) Quasi hot wall reaction chamber
JP3131855B2 (ja) 成膜処理方法及びその装置
JP2004134625A (ja) 半導体装置の製造方法と製造装置
JP2002141290A (ja) 半導体製造装置
JPH062951B2 (ja) 気相反応装置
JPH09153485A (ja) 気相成長装置
JPS5961120A (ja) 気相成長装置

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040223

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20040521

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20040526

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20041019