KR100660416B1 - 개량된 저질량 웨이퍼 지지 시스템 - Google Patents

개량된 저질량 웨이퍼 지지 시스템 Download PDF

Info

Publication number
KR100660416B1
KR100660416B1 KR1020007004778A KR20007004778A KR100660416B1 KR 100660416 B1 KR100660416 B1 KR 100660416B1 KR 1020007004778 A KR1020007004778 A KR 1020007004778A KR 20007004778 A KR20007004778 A KR 20007004778A KR 100660416 B1 KR100660416 B1 KR 100660416B1
Authority
KR
South Korea
Prior art keywords
delete delete
wafer
wafer holder
substrate
base plate
Prior art date
Application number
KR1020007004778A
Other languages
English (en)
Other versions
KR20010031715A (ko
Inventor
매튜 지 굿맨
아이보 라아이즈마커스
로렌 알. 야콥스
프랑소아 비. 엠. 반빌센
마이클 제이. 메이어
에릭 알란 바렛
Original Assignee
에이에스엠 아메리카, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아메리카, 인코포레이티드 filed Critical 에이에스엠 아메리카, 인코포레이티드
Publication of KR20010031715A publication Critical patent/KR20010031715A/ko
Application granted granted Critical
Publication of KR100660416B1 publication Critical patent/KR100660416B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Abstract

개량된 저질량 웨이퍼 홀더가 개시된다. 상기 개량 홀더는 웨이퍼와 기타 기판을 상기 웨이퍼 홀더의 베이스 플레이트 위에서 이격 위치시키기 위하여 주변에 위치한 일체형의 리프를 포함한다. 그러므로 상기 웨이퍼와 상기 베이스 플레이트 사이에는 균일한 갭이 제공되며, 그리하여 급격한 열 변동을 완화시키고, 웨이퍼 픽업 중에 가스가 웨이퍼와 웨이퍼 홀더 사이로 유입하게 하며, 웨이퍼 홀더와 웨이퍼와의 열 결합을 유지시킨다. 동시에 상기 웨이퍼와 접촉한 리프로부터의 열 장애가 줄어든다. 픽업 중에는 웨이퍼 홀더 상판에 있는 방사상 채널을 통해 또는 배면의 가스 통로를 통해서 가스가 공급된다. 웨이퍼 홀더 돌출부에는 더 두꺼운 링이 제공되고, 일부 실시예에서는 상기 링이 열 구배를 수반하는 스트레스를 수용하기 위해 별개의 부품으로 제공된다. 웨이퍼 홀더가 서로 다른 열 팽창을 하는 스파이더에 대해 중심을 유지하도록 자동조심 메커니즘이 제공된다.

Description

개량된 저질량 웨이퍼 지지 시스템{Improved low mass wafer support system}
본 발명은 반도체 공정 챔버 내 기판용 지지 구조체에 관한 것으로, 보다 자세하게는 단일 웨이퍼 공정 챔버 내에서 웨이퍼를 지지하기 위한 저질량 지지 구조체에 관한 것이다.
[관련기술]
반도체 웨이퍼나 기타 기판 상의 집적회로 같은 매우 정밀한 치수의 구조체를 생성하기 위해 리액터로 불리는 고온 오븐이 사용된다. 원형 기판, 대표로 실리콘 웨이퍼를 웨이퍼 지지체 위에 놓는다. 웨이퍼와 지지체는 모두 일반적으로 석영 챔버 주위에 위치한 복수의 복사 램프에 의해 가열된다. 일반적인 공정에서 반응가스가 가열된 웨이퍼를 지나가게 되므로 반응 재료의 박막이 웨이퍼 상에 화학증착(CVD)하게 된다. 이어 연속 공정을 통해 이들 박막층은 집적회로가 되며, 웨이퍼의 크기 및 회로의 복잡도에 따라 한 개의 층이 수십 개 내지 수천 개의 직접회로를 생성한다. 다른 공정으로는 스퍼터 증착, 사진 공정(photolithography), 드라이 식각, 플라즈마 공정 및 고온 어닐링이 포함된다. 이들 공정의 대부분은 고온 단계를 필요로 하며, 비슷한 석영 반응 챔버에서 이행될 수 있다.
증착층은 그 밑의 실리콘 웨이퍼와 동일한 결정학적 구조를 가질 때 "에피택 셜층"으로 불린다. 이 증착층은 단일한 결정 구조만을 갖기 때문에 때로는 단결정층으로 불리기도 한다.
생성된 층의 고품질을 보장하기 위해서는 다양한 공정 변수를 신중하게 제어해야 한다. 그러한 중요한 변수 중 하나는, 공정을 진행하는 동안의 웨이퍼 온도이다. 예를 들면 CVD 공정시 증착가스는 특정 온도에서 반응하여 웨이퍼에 증착한다. 온도가 웨이퍼의 표면에 걸쳐 크게 변동하면 반응가스의 증착이 불균일해진다.
특정의 복엽식 공정 장비(즉, 한번에 하나 이상의 웨이퍼를 처리하는 리액터)에서, 웨이퍼는 웨이퍼의 온도를 균일하게 유지하기 위해서 그라파이트나 기타 다른 열 흡수 물질로 만들어지는 비교적 고질량의 서셉터 위에 올려져 있다. 여기에서 "고질량" 서셉터는 웨이퍼와 비교하여 열 질량이 큰 서셉터를 가리킨다. 고체의 열 질량 또는 총 열 커패시턴스(heat capacitance)는 다음 방정식으로 구한다.
Figure 112000008839204-pct00001
여기서, ρ= 고체의 밀도, Ⅴ= 고체의 부피, 및 c= 고체의 비열 (열 용량)이다.
그러므로 열 질량은 고체의 질량과 직접 관련이 있고, 밀도 ×부피 및 고체의 비열과 같다.
고질량 서셉터의 일례가 맥네일리(McNeilly) 특허(미국 특허 제4,496,609호) 에 개시되어있다. 미국 특허 제4,496,609호는 웨이퍼가 비교적 큰 질량의 슬라브형 서셉터 바로 위에 올려져 있어서 양자 간에 열 전달이 가능하도록 밀접하게 접촉, 유지되고 있는 CVD 공정을 개시하고 있다. 그라파이트 서셉터는 온도를 균일하게 유지하기 위해서 열을 웨이퍼로 전달하는 열 "플라이휠"로서 작용하는 것으로 추정된다. 그 목적은 서셉터의 "플라이휠" 효과가 없으면 일어날 수 있는 웨이퍼 주변의 일시적인 온도 변화를 줄이려는 것이다.
이론적으로는 고질량 서셉터는 시스템이 안정 상태에 있을 때 웨이퍼에 걸쳐 균일한 온도를 유지하는데 도움이 되지만, 서셉터의 열 질량이 크면 (예를 들면 시스템을 가열 또는 냉각시키는 동안) 온도 천이 현상에 대응하여 서셉터-웨이퍼 결합이 느슨해진다. 따라서, 고질량 서셉터로 웨이퍼를 처리할 때는 긴 열 주기를 포함시켜, 소정 기간 동안에 처리될 수 있는 웨이퍼의 수를 제한한다(즉, 공정 능력을 제한한다). 매엽식 웨이퍼 반도체 공정에서 최우선의 관심사는 여전히 높은 처리 능력이다.
최근 수년간 복엽식 공정과 비교하여 보다 정밀한 공정 제어를 비롯한 다양한 이유로 직경이 더 큰 웨이퍼에 대한 매엽식 웨이퍼 공정이 발달하였다. 일반적으로 웨이퍼는 실리콘으로 만들어지는데, 통상적인 크기는 지름이 200 ㎜이고 두께가 0.725 ㎜이다. 최근에 300 ㎜의 지름과 0.775 ㎜의 두께를 갖는 큰 실리콘 웨이퍼가 소개되었는데, 보다 큰 매엽식 웨이퍼 공정의 장점들을 훨씬 더 효율적으로 계발하였다. 장래에는 보다 더 큰 웨이퍼가 예상된다.
매엽식 공정 자체가 복엽식 공정보다 장점을 제공하지만, 공정 변수의 제어 가 여전히 중요하며, 아마도 웨이퍼가 커지면 비용도 늘어나는 이유로 더 중요할 것이다. 매엽식 공정 장비의 일례는 미국 특허 제4,721,674호에 개시되어 있는데, 웨이퍼보다 약간 큰 직경을 갖는 원형의 회전 서셉터를 채용한다. 이러한 서셉터는 바람직하게는 그라파이트로 만들어지고 전술한 슬라브형 복엽식 공정 서셉토보다 적은 열 질량을 갖는다. 그럼에도 불구하고 미국 특허 제4,721,674호에 개시된 서셉터를 제작하게 되면 열 질량이 단일 웨이퍼의 열 질량보다 더 커져서, 시스템에 대한 열 주기가 제한된다.
미국 특허 제4,978,567호는 종래의 서셉터보다 적은 질량을 갖는 웨이퍼 홀더 고정구(fixture)를 개시한다. 질량이 작아서 급속 열처리(RTP) 시스템을 위한 웨이퍼의 급속 가열 및 냉각이 용이해진다. 처리해야 할 기판의 가열 및 냉각을 비롯한 다른 공정과 관련하여 처리 능력도 증가된다.
그러나 그러한 저질량 웨이퍼 홀더를 갖고 웨이퍼를 처리하면 새로운 문제가 발생한다. 예를 들면 웨이퍼와 홀더 사이의 작은 틈과 결합하여 저질량 웨이퍼 홀더는 웨이퍼 홀더를 들어올리지 않으면서 홀더에서 웨이퍼를 들어올리는 것을 어렵게 한다. 진공 효과가 웨이퍼와 홀더가 함께 붙어 있도록 한다. 가스가 작은 틈을 채우기 시작하면 그 틈이 늘어나서 가스가 더 빨리 유입된다. 따라서 픽업 후에 곧 바로 홀더가 떨어진다. 제어할 수 없는 그러한 낙하는 분명히 웨이퍼 홀더 및 공정 챔버 내의 주변 장비를 파손시킬 수 있다. 이 외에도 그러한 파손으로 생기는 분진 물질이 처리된 웨이퍼를 오염시킬 수 있다.
저질량 웨이퍼가 목적하고 있는 매우 빠른 열 반응도 웨이퍼와 리액터 부품을 손상시킬 수 있다. 예를 들면, 공정 챔버에 처음 들어올 때 웨이퍼는 차가운(예를 들면 200℃) 반면에, 앞의 웨이퍼를 처리한 웨이퍼 홀더는 여전히 뜨겁다(예를 들면 900℃). 차가운 웨이퍼가 뜨거운 웨이퍼 홀더에 접촉하게 되면 홀더의 열이 웨이퍼로 급속히 전달된다. 웨이퍼와 웨이퍼 홀더가 열 평형을 이룰 때까지, 고질량 서셉터의 온도가 떨어지는 속도와 비교하면 저질량 웨이퍼 홀더의 온도가 급격히 떨어진다. 그 와중에 웨이퍼는 급속한 열 유입을 겪게 된다. 급속한 온도 변동은 웨이퍼와 홀더 모두에게 열 충격을 일으킨다. 웨이퍼와 홀더 양자는 온도 변화 중에 수직 및 방사상 방향의 온도 구배의 스트레인을 받아 아래로 휘어지는 경향이 있다. 스트레스가 때로는 웨이퍼 홀더, 심지어는 웨이퍼의 파손을 초래할 수 있다.
저질량 웨이퍼 홀더도 가열 중의 열 팽창에 민감하다. 장비 재료가 다르기 때문에 웨이퍼 홀더는 주변 장비와 비교하여 다른 속도로 팽창하는 경향이 있다. 특히 공정 중에 웨이퍼 홀더를 지지 및 회전시키는 구조체는 흔히 석영으로 만들어지는데, 밑으로부터의 복사열이 대부분 이 구조체를 통과한다. 일반적인 그라파이트나 실리콘 카바이드(SiC)로 이루어진 웨이퍼 홀더는 석영 구조체보다 상당히 빠르게 팽창한다.
열 팽창의 차이로 인한 석영 지지체 및 웨이퍼 홀더 사이의 상대 이동이 웨이퍼 홀더와 그 위의 웨이퍼를 중심에서 벗어나게 한다. 중심 일탈은 계속하여 웨이퍼 홀더를 기울게 하거나 아니면 온도의 균일성을 달성하기 위해 구성되어 신중하게 균형잡힌 리액터 구성요소와 웨이퍼 간의 관계를 뒤집어 놓는다. 더욱이 편 심이 중심 일탈을 악화시켜서 웨이퍼 홀더가 슬립 링이나 다른 인접 구조체와 접촉하여 회전하는 동안에 이들 구조체에 부딪치거나 마찰되고, 분진 물질을 리액터 내로 유입할 가능성이 있다. 그러므로 중심 일탈은 예를 들면 증착층의 품질과 두께를 균일하지 못하게 한다.
결론적으로 웨이퍼 표면 전체에 걸쳐서 온도의 균일성을 보장하면서 반도체 공정 장치의 처리 능력을 늘리기 위해서는 개량된 저질량 웨이퍼 지지 구조체를 필요로 한다. 바람직하게는, 그러한 지지 구조체가 웨이퍼 픽업, 열 충격 및 열 팽창과 관계되는 전술한 문제를 피해야 한다.
[발명의 요약]
본 발명의 일 측면에 따라서, 공정 리액터 내에서 기판을 지지하기 위한 저질량 웨이퍼 홀더가 제공된다. 홀더에는 상면과 하면이 있으며, 복수의 리프(lip)가 상면과 일체형으로 형성되어 있다. 리프가 일체형이기 때문에 리프는 상면 위에서 균일한 높이로 가공될 수 있고, 기판과 상면 사이에 균일한 틈을 유지하면서 기판을 지지한다. 일 실시예에서, 상면은 불연속적으로 구성되어 중앙 베이스 플레이트의 상면은 물론 주변 지지 링의 상면을 포함한다.
본 발명의 다른 측면에 따라서, 공정 리액터 내의 기판을 지지하기 위한 웨이퍼 홀더가 제공된다. 웨이퍼 홀더는 상면이 있는 중앙 부분과 상면 위에서 균일한 높이로 돌출하는 복수의 스페이서를 포함한다. 스페이서는 원주 방향으로 배치되어 상면 위의 기판을 지지한다. 홀더는 또 상면에서 상향 연장하고 상면을 둘러싼 링 내벽이 있는 프린지부(fringe)를 포함한다. 그러므로 링 내벽과 중앙 부분 의 상면은 함께 기판을 수용하기 위한 기판 포켓을 형성하게 된다. 스페이서가 주변에 위치하면, 공정이 진행되고 있는 기판과 접하고 있는 스페이서로 인한 열 장애(thermal disturbance)의 위험을 최소화시킨다.
본 발명의 또 다른 측면에 따라서, 기판을 처리하기 위한 반도체 리액터가 제공된다. 리액터는 반응 챔버, 복수의 열원 및 자동조심형(self-centering) 매엽식 웨이퍼 지지 구조체를 포함한다. 지지 구조체는 달라진 제2 온도에서는 물론 제1 온도에서 중심을 잡아 균형을 이룬다는 의미에서 자동조심형이라고 할 수 있다. 지지 구조체는 기판을 직접 지지하기 위한 웨이퍼 홀더를 포함하는데, 그 홀더의 특징을 제1 열 팽창 계수로 나타낼 수 있다. 적어도 하나의 홈이 웨이퍼 홀더의 바닥면에 형성된다. 기판 지지체는 또한 웨이퍼 홀더를 지지하기 위한 지지 스파이더를 포함하는데, 스파이더는 제1 계수와는 다른 제2 열 팽창 계수로 특징지어진다. 스파이더는 웨이퍼 홀더의 홈과 결합하는 적어도 3개의 지지 포스트를 포함한다.
일 실시예에서, 지지 포스트는 웨이퍼 홀더의 밑바닥에 형성되어 120°간격으로 배치된 3개의 방사상 그루브와 결합한다. 이 실시예에서, 웨이퍼 홀더는 스파이더에 대해 상대적인 홀더의 열 팽창이 다른데도 불구하고 소정 온도에 있는 스파이더에 대해 중심을 유지한다. 다른 실시예에서, 지지 포스트는 각각 고온 센터링면과 저온 센터링면을 가진다. 고온에서는 적어도 3개의 지지 포스트의 고온 센터링면이 웨이퍼 홀더 베이스 플레이트의 원주 방향 에지 외부에 제한적 원(restrictive circle)을 형성한다. 저온에서는 적어도 3개 지지 포스트의 저온 센터링면이 웨이퍼 홀더 원주 방향 링의 내측 벽 내부에 제한적 원을 형성한다.
본 발명의 또 다른 측면에 따라서, 공정 챔버에서 단일 기판을 지지하기 위한 저질량 웨이퍼 홀더가 제공된다. 웨이퍼 홀더는 원반형 베이스 플레이트와 베이스 플레이트와는 독립적인 환상 고리를 포함한다. 홀더는 링 아니면 베이스 플레이트와 일체형이며 내경에 의해 특징지어지는 환상 걸림부와, 걸림부의 내경보다 작은 외경에 의해 특징지어지는, 링과 베이스 플레이트 중 홀더와 일체형이 아닌 것과 일체형인 환상 지지부를 포함한다. 지지부는 걸림부를 받쳐서 지지한다. 이러한 투피스 웨이퍼 홀더 디자인이 저질량 웨이퍼 홀더에 대한 열 전달과 관련된 스트레스를 완화시키는 것으로 판명되었다.
본 발명의 또 다른 측면에 따라서, 반도체 리액터는 공정 챔버와, 복수의 열원과, 웨이퍼를 지지하기 위한 웨이퍼 지지 구조체를 포함한다. 웨이퍼 지지 구조체는 웨이퍼를 직접 지지하는 저질량 웨이퍼 홀더를 포함한다. 적어도 하나의 열원과 연결된 온도 감지기는 웨이퍼 홀더와 수직 이격된 지점에서 온도를 감지한다. 일 실시예에서, 열전쌍은 검출된 온도가 간격 변화에 비교적 민감하지 않은 간격 범위 내에서 웨이퍼 홀더 밑에 이격 위치한다. 그리하여 웨이퍼 온도는 열 팽창 및 다른 일반적 요인에 의해 발생되는 간격 변화와 상관없이 적절한 온도에서 간접적으로 제어될 수 있다.
본 발명의 또 다른 측면에 따라서, 공정 챔버에서 단일 기판을 지지하기 위한 저질량 웨이퍼 홀더가 제공된다. 웨이퍼 홀더는 개방된 복수의 방사상 채널을 갖는 지지 상면을 포함한다. 채널 각각의 폭은 기판에서의 열 확산 길이보다 적다(즉, 실리콘 기판에 대해 약 5 ㎜보다 작다). 채널의 전체 부피는 웨이퍼 홀더와 독립적으로 기판을 들어올릴 수 있게 할 정도로 충분히 크다. 웨이퍼가 들려질 때 가스가 웨이퍼 아래로 유입되어 진공 효과가 생기지 않으며, 웨이퍼가 웨이퍼 홀더에서 쉽게 분리된다.
본 발명의 또 다른 측면에 따라서, 기판을 지지하기 위한 웨이퍼 홀더가 제공된다. 웨이퍼 홀더는 기판 열 질량의 5배 미만의 열 질량을 갖는다. 홀더는 전체적으로 기판과 평행하고 기판 밑에서 이격 위치한 베이스 플레이트를 포함한다. 환상 리프는 베이스 플레이트 위의 기판을 주변에서 지지하고 기판과 베이스 플레이트 사이의 틈을 한정하는 내면을 포함한다. 가스 통로는 웨이퍼 홀더의 밑바닥에서 기판과 베이스 플레이트 사이의 틈까지 연통한다.
본 발명의 장점 및 특징은, 첨부도면과 함께 후술하는 바람직한 실시예의 상세한 설명으로 명확해지며, 실시예는 본 발명을 제한하려는 것이 아니라 단지 예시하려는 것이다.
도1은 본 발명의 바람직한 제1 실시예에 따라서 구성되며, 일체형 웨이퍼 홀더와 스파이더를 포함하는 지지 구조체에 의해 지지되는 웨이퍼가 있는 반응 챔버의 개략 단면도,
도2A는 도1에 도시된 웨이퍼와 지지 구조체의 개략 부분 단면도,
도2B는 도2A의 2B-2B 선을 따라 절단된 모습을 나타낸 부분 단면도,
도3은 뜨거운 웨이퍼 홀더 위에 위치한 차가운 웨이퍼로 인해 웨이퍼 홀더와 웨이퍼가 휘어지는 모습을 예시하는 그래프,
도4는 도1에 도시된 웨이퍼 홀더의 평면도,
도5는 도4에 도시된 웨이퍼 홀더에 위치한 리프의 부분 확대도,
도6은 도4의 6-6 선에 따른 부분 단면도,
도7은 도6의 7-7 선에 따른 부분 단면도,
도8은 도1에 도시된 웨이퍼 홀더의 저면도,
도9는 도8의 9-9 선에 따른 부분 횡단면도,
도10은 도9의 10-10 선에 따른 부분 단면도,
도11은 도1에 도시된 스파이더의 평면도,
도12는 도11의 12-12 선에 따른 단면도,
도13은 도11에 도시된 스파이더가 가진 끝이 둥그런 포스트의 확대 정면도,
도14는 웨이퍼 홀더 아래에 이격 위치한 중앙 열전쌍을 도시하는, 도1에 도시된 웨이퍼와 지지 구조체의 중앙 부분의 부분 확대도,
도15는 도1에 도시된 웨이퍼 홀더 아래의 열전쌍 위치에 대한 열전쌍의 온도를 좌표로 표시한 그래프,
도16은 본 발명의 바람직한 제2 실시예에 따른 웨이퍼와 지지 구조체의 부분 개략도,
도17은 도16에 도시된 지지 구조체의 지지 링에 대한 평면도,
도18은 도17에 도시된 지지 링에 위치한 리프의 부분 확대도,
도19는 도17의 19-19 선에 따른 부분 단면도,
도20은 도19의 20-20 선에 따른 부분 단면도,
도21은 도16에 도시된 지지 링의 저면도,
도22는 도21의 22-22 선에 따른 부분 단면도,
도23은 도22의 23-23 선에 따른 부분 횡단면도,
도24는 도16에 도시된 지지 구조체의 베이스 플레이트에 대한 저면도,
도25는 도24의 25-25 선에 따른 부분 단면도,
도26은 본 발명의 바람직한 제3 실시예에 따른 웨이퍼와 지지 구조체의 부분 개략도,
도27은 도26에 도시된 웨이퍼와 지지 구조체의 부분 평면도,
도28은 본 발명의 바람직한 제4 실시예에 따라 구성된 웨이퍼 홀더의 평면도,
도29는 도28의 29-29 선에 따른 부분 단면도,
도30은 도28의 30-30 선에 따른 부분 단면도,
도31은 도28의 31-31 선에 따른 부분 단면도,
도32는 도28에 도시된 웨이퍼 홀더의 저면도,
도33은 본 발명의 바람직한 제5 실시예에 따라 구성된 웨이퍼 홀더의지지 링에 대한 평면도,
도34는 도33에 도시된 지지 링에 위치한 범프(bump)의 부분 확대도,
도35는 도33에 도시된 지지 링에서 지지되는 웨이퍼와 베이스 플레이트의 부분 개략도,
도36은 본 발명의 바람직한 제6 실시예에 따라 구성된 웨이퍼 홀더의 부분 개략도,
도37은 본 발명의 바람직한 제7 실시예에 따라 구성된 웨이퍼 홀더의 부분 개략도,
도38은 본 발명의 바람직한 제8 실시예에 따라 구성된 웨이퍼 홀더의 부분 개략도, 및
도39는 본 발명의 바람직한 제9 실시예에 따라 구성된 웨이퍼 홀더의 부분 개략도이다.
[바람직한 실시예의 상세한 설명]
시스템의 예
도1은 석영 반응 챔버(12)를 포함하는 화학증착(CVD) 리액터(10)의 예를 도시한다. 복사 가열 엘리먼트(14)는 챔버(12) 외부에서 지지되며, 석영 챔버(12) 벽에 의한 눈에 띄는 흡수없이 챔버(12)에 열 에너지를 제공한다. 바람직한 실시예들이 "냉벽" CVD 리액터와 관련하여 제시되었지만 본 명세서에서 제시된 웨이퍼 지지 시스템들이 다른 유형의 리액터와 관련해서도 유용하다는 것이 이해될 것이다. 특히, 당해 기술의 숙련자들은 본 명세서에 기재된 웨이퍼 지지 시스템들이, 웨이퍼가 균일하게 가열 또는 냉각되는 동안에 지지되어야 하는 다른 반도체 공정 장비에도 적용될 수 있음을 알 수 있을 것이다. 더욱이, 본 명세서에서 제시된 "웨이퍼" 지지 시스템은 반응 챔버에서, 예를 들면 화학증착(CVD), 물리증착("PVD"), 식각, 어닐링, 불순물(dopant) 확산, 사진 공정(photolithographic) 등과 같은 공정을 처리해야 하는 유리를 비롯한 수많은 기판을 지지할 수 있다. 웨이퍼 지지체는 온도 상승시의 처리 공정 중에 기판을 지지하는데 특히 유용하다.
전체적으로 환상의 에지(17)가 있는 웨이퍼(16)는 본 발명의 바람직한 제1 실시예에 따라 구성된 웨이퍼 지지 구조체(18) 위의 반응 챔버(12) 내에서 지지되는 것으로 도시된다. 예시된 지지 구조체(18)는 웨이퍼(16)가 놓이는 웨이퍼 홀더(20)와 지지 스파이더(22)를 포함한다. 스파이더(22)는 샤프트(24)에 장착되고, 챔버(12) 하부벽에서 늘어지는 관(26)을 통해 하향 연장된다.
중앙의 온도 감지기 또는 열전쌍(28)은 스파이더(22)에 장착되고 웨이퍼 홀더(20) 가까이 위치한다. 주변 열전쌍(30)이 추가로 도시되어 있는데, 웨이퍼 홀더(20)와 웨이퍼(16)를 둘러싸는 슬립 링(32) 내에 수용되어있다. 열전쌍(28, 30)은 열전쌍(28, 30)이 검출한 온도에 대응하여 여러 가열 엘리먼트(14)의 전력을 설정하는 온도 제어기(미도시)에 연결된다.
열전쌍(30)을 수용하는 외에도, 슬립 링(32)은 고온 공정 중에 반응 열을 흡수한다. 이로써 웨이퍼 에지(17)에서 열 손실이 더 커지는 경향에 대하여 보상하는데, 이러한 경향은 그러한 에지 근처의 소정 부피에 대하여 표면적의 집중이 더 커지기 때문에 생기는 것으로 알려진 현상이다. 에지 손실 및 그에 의해 수반되는 웨이퍼(16)에 걸친 방사상의 온도 불균일을 최소화하기 때문에 슬립 링(32)은 웨이퍼의 결정학적 슬립을 막을 수 있다. 슬립 링(32)은 적당한 수단에 의해 현가 지지될 수 있다. 예를 들면 도시된 슬립 링(32)은 전방 챔버 분할판(36)과 후방 챔버 분할판(38)에서 늘어지는 엘보우(34)에 얹혀있다. 이들 분할판(36,38)은 석영으로 만들어지는 것이 바람직하다.
예시된 반응 챔버(12)는 반응가스와 운반가스를 유입하기 위한 유입구(40)를 포함한다. 유출구(42)는 챔버(12)의 반대쪽에 위치하는데, 웨이퍼 지지 구조체(18)가 유입구(40)와 유출구(42) 사이에 위치한다.
저질량 웨이퍼 홀더
본 명세서에서 개시되는 웨이퍼 홀더는 "배경기술"에서 전술한 것처럼, 지지해야 할 기판의 열 질량에 필적할 만한 열 질량을 가진다는 의미에서 "저질량" 웨이퍼 홀더이며, 웨이퍼와 웨이퍼 홀더의 온도가 가열 및 냉각 공정 중에 상당히 빠르게 변동할 수 있다. 바람직하게는 웨이퍼 홀더의 열 질량이 기판 열 질량의 5배 미만이고, 보다 바람직하게는 3배 미만이고, 특히 0.5배와 2배 사이에 있다. 예시된 웨이퍼 홀더(20)는 도시된 200 ㎜ 웨이퍼(16) 열 질량의 약 1.7배의 열 질량을 갖는다. 그러한 열 질량비가 다른 크기의 웨이퍼를 지지하는 웨이퍼 홀더에 대해서 대체적으로 유지된다는 것이 이해될 것이다.
웨이퍼 홀더(20)는 과거에 서셉터에 이용되었던 것처럼 균일한 밀도를 갖는 많은 적당한 재료 중 어떠한 것으로도 구성될 수 있다. 그러나 저질량 홀더(20)는 일반적으로 얇기 때문에 높은 강도를 보여주는 재료가 바람직하다. 특히, 실리콘 카바이드(SiC)는 고온 주기 및 일반적인 CVD 공정가스에 견딜 수 있는 높은 강도와 능력을 보여주는 바람직한 재료이며, 균일한 열 특성을 위한 균일한 밀도를 갖고 있다. 얇은 SiC 웨이퍼 홀더가 가질 수 있는 순도도 정상적인 사용을 통해서 반응 챔버(12)의 오염을 방지하는데 유리하다.
일체형 웨이퍼 홀더
도2A와 도2B는 웨이퍼(16)와 웨이퍼 지지 구조체(18)의 주변부를 개략적으로 도시한다. 바람직한 제1 실시예의 웨이퍼 홀더(20)는 주변 링(52)과 일체형으로 연결된 중심 베이스 플레이트(50)를 포함한다. 링(52)은 베이스 플레이트(50)의 상면 위에서 수직으로 연장하는 내벽(54), 외벽(58) 및 내벽과 외벽 사이로 연장하는 상면(60)에 의해 한정된다. 링(52)의 바닥면은 복수의 홈(62)(미도시), 바람직하게는 3개의 홈을 포함하는데, 홈 각각은 웨이퍼 홀더(20)와 스파이더(22)를 연결시키기 위해 스파이더 포스트(64)와 결합한다.
웨이퍼 홀더(20)는 웨이퍼 홀더(20)에서 웨이퍼를 직접 지지하는 적어도 하나, 바람직하게는 복수의 스페이서 또는 리프(66)를 더 포함한다. 후술될 도33 내지 도37의 실시예에서 도시된 하나의 연속 리프와 같이 적당한 폭을 갖는 하나 또는 2개의 리프가 적당한 지지를 제공할 수 있지만, 웨이퍼 홀더(20)가 3개 내지 12개의 리프를 포함하는 것이 바람직하다. 200 ㎜ 웨이퍼에 대해서 보다 바람직한 것은 6개 내지 9개의 리프(66)이고 특히 6개가 바람직하다. 300 ㎜ 웨이퍼 지지체에 대해서는 9개 이상의 리프(66)가 바람직하고, 더 큰 웨이퍼에 대한 중력 영향에 대응하기 위해서 부가 지지체(미도시)가 적어도 하나 더 중심에 위치해야 한다(예를 들면, 웨이퍼 중심의 약 50 ㎜ 이내). 리프(66)의 높이가 웨이퍼(16)와 베이스 플레이트(50) 사이의 틈을 결정한다.
앞의 "배경기술"에서 전술한 것처럼, 저질량 웨이퍼 홀더는 공정 단계 후에 웨이퍼를 픽업하는 중에 웨이퍼와 붙는 경향이 있다. 이는 웨이퍼를 들어올리는 픽업 장치에 있어서 문제가 될 수 있다. 예를 들면 미국 특허 제4,846,102호는 고속으로 가스 스트림을 비스듬히 분사하는 픽업 원드(wand)를 개시한다. 웨이퍼 표면의 정상에 가까워질 때 가스 스트림이 웨이퍼 위에 저압 지대를 만들어 웨이퍼가 들어올려지게 한다. 본 명세서에서는 미국 특허 제4,846,102호의 기재 내용을 반영한다. 웨이퍼 바닥면 전체가 저질량 웨이퍼 홀더에 접하게 되면 진공 효과로 인해 웨이퍼 홀더는 우선 웨이퍼와 함께 들어올려지고, 그리고 나서는 통제할 수 없는 방식으로 떨어진다.
바람직한 스페이서나 리프(66)에 의해 생긴 틈에 의해 웨이퍼(16)와 홀더(20) 사이로 가스가 유입되어, 저질량 웨이퍼 홀더(20)의 의도하지 않는상승을 초래하는 진공 효과를 줄여주도록 함으로써 이러한 문제를 완화시킬 수 있다. 발명의 명칭이 "저질량 서셉터"로 1996. 3. 26.자 출원되어 계류 중인 미국 특허출원 제08/621,627호는 웨이퍼 픽업이 용이하도록 틈을 포함하고 있는 저질량 웨이퍼 홀더를 개시한다. 본 명세서에서는 이 출원의 기재 내용을 반영한다. 이 출원에서는 스페이서가 웨이퍼 아래의 각각의 지점에 배치된, 웨이퍼 홀더의 상면에 있는 홈에 끼워지는 별도의 핀들을 포함한다.
"배경기술"에서 전술한 것처럼, 차가운 웨이퍼를 미리 가열된 서셉터나 웨이퍼 홀더에 도입하면 웨이퍼 홀더 및/또는 웨이퍼에 열 충격을 줄 수 있다. 틈이 뜨거운 웨이퍼 홀더에서 차가운 웨이퍼로의 열 전달을 완화시키므로 이러한 문제를 해결할 수 있다.
그러나 도3은 틈이 있다고 해도 휘어지는(bowing) 문제를 모두 완화하지는 못한다는 것을 보여준다. 도3은 웨이퍼와 웨이퍼 홀더 휨을, 250 미크론 (250 × 10-6 m) 갭을 가지고 900℃에서 웨이퍼와 같은 직경의 원반형 웨이퍼 홀더에 놓여진 200℃ 웨이퍼에 대하여, 웨이퍼 온도의 함수로서 도시하고 있다.
차가운 웨이퍼가 뜨거운 웨이퍼 홀더에 떨어진 직후에 온도차가 가장 큰데, 열 교환 속도도 이때 가장 크다. 수직 열 구배가 서셉터와 웨이퍼에 걸쳐 생기며, 웨이퍼와 웨이퍼 홀더는 중심에서 다른 각도로 휘어지게 된다. 그러므로 도3의 그래프에서 나타난 것처럼 갭의 크기가 변한다. 더욱이 갭 차는 웨이퍼의 중심에서 최대이고 웨이퍼 가장자리(17)에서 최소이다. 따라서 휨이 다른 것도 측방 열 구배를 초래할 수 있다. 측방 열 구배는 또 웨이퍼 홀더가 웨이퍼보다 직경이 클 때 유발된다.
표1은 웨이퍼와 웨이퍼 홀더가 여러 인자들의 함수로서 휘어지는 것을 보여준다. 가로 칸은 순서대로, 웨이퍼 홀더의 "장착 온도(load temperature)"로 알려진, 차가운 웨이퍼가 장착될 때의 웨이퍼 홀더의 온도, 웨이퍼 밑의 웨이퍼 홀더 부분의 두께, 여러 실험용 구조를 위한 최초 갭의 크기, 웨이퍼와 웨이퍼 홀더 사이의 열 교환 속도를 나타나는 시간 상수 (특히, 차가운 웨이퍼가 놓인 후에 생기는 전체 열 변화의 63%에 도달하는데 필요한 시간), 열 교환 중에 웨이퍼 홀더가 겪는 스트레스, 웨이퍼 홀더가 겪는 휨의 정도, 및 최초 갭의 백분율로 제시되는 웨이퍼와 웨이퍼 홀더의 휨 차를 나열한다.
표1
장착 온도 플레이트 두께 시간 상수 스트레스 플레이트 휨 휨 차
(℃) (㎛) (㎛) (s) (107 N/m2) (㎜) (갭에 대한 % )
700 725 500 1.38 0.27 0.09 5
700 725 250 0.69 0.55 0.17 20
500 725 250 0.77 0.23 0.07 2
900 400 250 0.49 0.56 0.32 53
900 200 250 0.32 0.28 0.32 53
900 725 250 0.63 1.00 0.32 53
900 725 500 1.26 0.51 0.16 13
900 725 100 0.25 2.60 0.80 331
900 725 50 0.13 5.10 1.60 1324
표1에서 나타난 것처럼, 장착 온도가 높을수록 휨 차가 더 크다. 마찬가지로 웨이퍼 홀더의 두께와 갭의 크기도 겪게 되는 스트레스와 휨의 정도에 영향을 미친다. 갭 크기가 일정한 경우에, 웨이퍼 홀더의 두께가 늘어나면 열 평형에 도달하는 것이 늦어지지만 수직 열 구배는 더 커지기 때문에 웨이퍼 홀더에 대한 스트레스가 증가한다. 웨이퍼와 웨이퍼 홀더 사이의 갭의 크기가 늘어나면 시간 상수에 의해 제시된 것처럼 열 교환이 느려진다. 이러한 열 교환 억제는 열 구배를 줄여주므로 웨이퍼 홀더에 대한 스트레스를 줄여준다. 갭의 백분율로 나타나는 휨 차도 최초 갭이 늘어남에 따라 줄어든다.
바람직한 웨이퍼 홀더의 휨은 비평면형인 측방 온도 구배 때문에 표1에서 제시된 것과는 다를 것이라고 이해된다. 그러나 휨과 갭의 일반적인 관계는 바람직한 웨이퍼 홀더(20)에 대하여 여전히 사실이다.
표1에서는 큰 갭이 차가운 웨이퍼의 하강 중에는 스트레스를 줄여주는데 유리하다는 것을 나타내지만, 너무 큰 갭은 웨이퍼와 웨이퍼 홀더를 함께 가열 및 냉각하는데는 불리하다. 이것을 고려하면 웨이퍼와 웨이퍼 홀더를 열적 결합시키는 데는 작은 갭이 유리하다. 갭이 너무 크고 웨이퍼와 웨이퍼 홀더가 결합되어 있지 않으면 웨이퍼 온도가 반드시 서셉터 온도에 가까워지지는 않으므로 균일한 온도로 제어하는 것이 어렵다. 웨이퍼 하강과 더불어 열적 전이현상(thermal transients)이 스트레스를 유발하여 웨이퍼에서 스트레스가 유발되는 결함을 유발하는데, 이는 보통 결정학적 "슬립"이라 불린다.
스페이싱 리프
도4 내지 도10은 전술한 고려사항 및 기타 사항을 수용하기 위하여 구성된 바람직한 제1 실시예에 따른 웨이퍼 홀더(20)의 표본을 예시한다. 그러나 도4 내지 도10의 웨이퍼 홀더(20)는 그저 본 발명의 범위 내에 있는 웨이퍼 홀더의 한 예일 뿐이라는 것에 유의한다.
베이스 플레이트(50)와 더불어 링(52)의 내벽(54)은 처리해야 할 웨이퍼(16)(도 1과 도2)를 수용하기 위한 웨이퍼 포켓을 한정한다. 그러므로 내벽(54)의 직경은 웨이퍼(16) 직경보다 약간 더 크거나 아니면 200 ㎜ 웨이퍼(16)에 대해서 약 7.970 인치(202.44 ㎜)이다. 링 상면(60)과 내벽(54) 각각에 대하여 약 45°기울어진 챔버(70)는 웨이퍼(16)를 취급할 때 기술자들에게 있을 수 있는 부상의 위험을 줄여준다.
저질량 웨이퍼 홀더의 장점을 제공하기 위해서, 베이스 플레이트(50)의 두께가 처리해야 할 웨이퍼(16)의 두께와 비슷한 것이 바람직하다. 따라서 베이스 플레이트(50)의 두께가 0.005 인치와 0.070 인치 사이인 것이 바람직하고, 0.010 인치와 0.040 인치 사이인 것이 더욱 바람직하다. 일반적인 200 ㎜ 웨이퍼는 약 0.029 인치의 두께를 갖는다. 그러므로 200 ㎜ 웨이퍼를 지지하도록 설계된 웨이퍼 홀더(20)의 베이스 플레이트(50)는 약 0.025 인치와 0.032 인치 사이의 두께를 갖는다. 예시된 베이스 플레이트(50)는 약 0.0285 인치의 두께를 갖는다. 반대로, 300 ㎜ 웨이퍼를 지지하도록 설계된 웨이퍼 홀더(20)는 바람직하게 약 0.0305 인치의 두께를 갖는 베이스 플레이트(50)를 갖는다. 두께는 웨이퍼의 크기에 따라 원하는 열 질량비를 유지하기 위해 달라진다는 것이 이해될 것이다.
외벽(58)의 길이로 측정되는 주변 링(52)의 두께는 도시된대로 대체적으로 베이스 플레이트(50)의 두께와 같거나 또는 크다. 바람직하게는, 웨이퍼 홀더(20)의 주변부가 베이스 플레이트(50)의 두께보다 약 1.2배 및 3.0배 사이에 있고, 더 바람직하게는 링(52)의 두께가 베이스 플레이트 두께의 약 2배이다. 예시된 실시예에서, 링(52)은 약 0.077 인치의 두께를 갖는 반면에 베이스 플레이트(50)는 약 0.0285의 두께를 갖는다. 질량이 더 큰 주변 링(52)은 웨이퍼 홀더(20) 가장자리에서의 온도가 온도 변화 중에 베이스 플레이트(50)의 중앙부를 리드하는 일반적인 경향에 대한 균형을 잡아준다. 그러므로 링(52)은 슬립 링(32)(도1)에 의해 이행되는 가장자리 손실 보상 기능을 적어도 어느 정도까지는 보완한다.
리프 높이
도2의 개략도와 관련하여 전술한 것처럼, 웨이퍼 홀더(20)는 웨이퍼(16)를 베이스 플레이트(50) 위에서 이격시키기 위한 복수의 리프(66)를 포함한다. 리프(66)의 높이는 웨이퍼(16)와 웨이퍼 홀더(20) 사이의 가열 결합을 최적 촉진하는 한편 웨이퍼 하강시의 열 충격을 최소화하기 위한 적정 거리를 여전히 유지할 수 있도록 선택된다. 리프(66)의 높이는 차가운 웨이퍼를 뜨거운 웨이퍼 홀더에 도입할 때 갭 높이의 약 20%를 넘지않는 휨 차를 허용할 정도여야 하는 것으로 측정되었다. 열 결합을 촉진하고 열 충격을 최소화하는 외에도, 갭은 웨이퍼(16)를 가장자리(17) 위에서 또는 가장자리로부터 들어올리는 픽업 장치와 함께 들려질 때 홀더(20)가 웨이퍼에 달라붙지 않아서 웨이퍼를 따로 픽업하는데 도움이 된다.
이들 가이드라인에 따르면 그리고 바람직한 웨이퍼 홀더(20)의 재료 및 치수를 고려하면, 리프(66)는 베이스 플레이트(50) 위로 연장되고, 그 높이가 약 0.005 인치와 0.080 인치 사이인 것이 바람직하고, 200 ㎜ 웨이퍼를 처리하기 위해서는 0.010 인치와 0.030 인치 사이인 것이 보다 바람직하다. 300 ㎜ 웨이퍼에 대해서는, 0.015 인치 내지 0.050 인치의 리프(66) 높이가 바람직하고, 약 0.025 인치 내지 0.035 인치가 보다 바람직하다. 예시된 실시예의 리프(66)의 높이는 약 0.020 인치(약 500 미크론)이다. 그러므로 웨이퍼(16)(도1과 도2)가 리프(66) 위에 놓일 때는 웨이퍼(16)와 베이스 플레이트(50) 사이에 0.020 인치의 갭이 생긴다.
일체형 리프
바람직하게 리프(66)는 리프가 연장되는 웨이퍼 홀더(20)의 표면과 일체형으로 형성된다. 예시된 실시예에서, 링(52), 베이스 플레이트(50)와 리프(66)는 모두 일체형의 실리콘 카바이드 재질로부터 가공된다. 웨이퍼 홀더의 구멍이나 홈에 끼워지는 분리형 지지 구조체와 비교하여, 일반 사용자는 웨이퍼 홀더(20)를 정상 취급하는 동안에 부품들을 덜 잃어버리게 된다. 또한 처리 후에 웨이퍼를 홀더에 서 분리할 때 분리형 지지 구조체와는 달리 일체형 스페이서는 웨이퍼 홀더로부터 들려 올라오지 않는다.
아마도 보다 중요한 것은, 리프(66)가 정밀하게 균일한 높이로 가공될 수 있다는 점이다. 그러므로 웨이퍼(16)는 전체 웨이퍼 표면에 걸쳐 베이스 플레이트(50)에 대해 일정한 갭으로 수평 지지된다. 당해 기술의 숙련자에 의해 이해되는 것처럼 일정한 갭은 온도 전이 중에는 물론 일정한 온도에서 처리하는 중에 균일한 열 특성을 도와준다.
웨이퍼(16)를 지지하기 위해 적정 수의 리프(66)가 제공되어 배치된다. 그러므로 적어도 3개의 리프(66)가 제공된다. 200 ㎜ 웨이퍼(16)를 지지하도록 설계된 예시된 실시예에서, 6개의 리프(66)가 60°간격으로 원주 방향으로 배치된 것이 보인다. 그러한 배치에서, 정렬의 목적으로 평탄 구간을 갖는 유형의 웨이퍼는, 평탄부가 한 개의 리프(66)와 정렬하더라도, 적어도 3개의 리프(66)에 의해서 보다 많게는 5개의 리프(66)에 의해 지지된다.
주변 리프
도시된 것처럼 바람직하게는 웨이퍼(16)를 주변에서 지지하기 위해서 리프(66)가 위치해 있다. 이에 따라서, 리프(66)는 웨이퍼가 웨이퍼 포켓 안에서 정확히 중심에 있지 않더라도 리프(66)가 각각 웨이퍼(16) 밑으로 확실히 연장되도록 하기에 적정한 거리만큼 링 내벽(54)에서 안쪽으로 방사상 방향으로 연장한다.
리프(66)를 설명하기 위해 사용된대로 용어 "주변"은 웨이퍼(16)를 지지할 때 리프가 웨이퍼 가장자리(17) 내부로 5 ㎜ 이상 연장하지 않고, 바람직하게 웨이퍼 가장자리(17)를 넘어서 웨이퍼 에지(17) 내부로 3 ㎜ 미만 연장한다는 것을 가리킨다. 예시된 리프(66)는 각각 내벽(54)에서 리프 내면(72)까지 약 0.125 인치(3.21 ㎜) 연장한다. 그러므로 예시된 리프(66)의 리프면(72)에 의해 한정되는 원(74)(도1)은 약 196 ㎜(7.720 인치)의 직경을 가지거나 또는 지지해야 할 200 ㎜ 웨이퍼(16)의 직경보다 약간 작다. 웨이퍼(16)가 웨이퍼 홀더(20)에서 제대로 중심에 위치하면 리프 각각은 웨이퍼(16) 밑에서 단지 2.0 ㎜ 연장한다.
주변에서 지지하면 제조회사 대부분의 웨이퍼 주변의 배제 영역(소자가 조립되지 않는 곳) 내에 지지체를 위치시키는 유리한 경향이 있다. 200 ㎜ 웨이퍼의 배제 영역이 일반적으로 3 ㎜ (0.12 인치)이기 때문에 리프(66)는 웨이퍼를 전형적으로 홀더 중심에 위치시키키 위해 웨이퍼(16) 아래로 이 길이 이하로 연장하는 것이 바람직하다. 그러므로 리프(66)와 접촉함으로써 발생하는 웨이퍼(16)에 대한 결함이 웨이퍼(16)의 덜 중요한 영역에 있게 된다. 둘째, 지지를 주변으로 한정하면 불균일한 온도에 의해 생길 수 있는 결정학적 슬립이나 기타 손상에 대하여 웨이퍼를 스캔하는 제한된 주변 영역을 제공한다.
더욱이 전술한 것처럼 보통의 공정 중에서의 수직 열 구배 및 그 결과의 방사상 열 구배는 웨이퍼(16)에 휨을 발생시키는 경향이 있다. 중심에서 지지되는 웨이퍼는 가장자리에서 위로 휜다. 그러한 상향 휨은 픽업 장치나 웨이퍼를 긁거나 손상시킬 수 있다. 한편 주변에서 지지되는 웨이퍼(16)는 웨이퍼 휨을 베이스 플레이트(50) 위의 중앙 갭에서 수용한다.
리프(55)가 매끄러운 상면의 마무리를 가지게 하여 웨이퍼(16)에 대한 배면 손상을 막는 것이 바람직하다. 리프(66)의 상면은 약 18 Ra 미만의 거칠기(roughness)를 갖는 것이 바람직하고, 약 8 Ra 미만인 것이 보다 바람직하다.
리프의 폭
주변에 위치하지만, 바람직하게는 웨이퍼(16)(도2)의 배제 영역 아래에서, 리프(66)는 또한 처리 중에 웨이퍼(16)에 대한 열 효과가 무시해도 좋을 만큼 충분히 작아야 한다. 그러므로 웨이퍼(16)에 접촉하는 적어도 하나의 치수는 처리해야 할 기판 재료의 열 확산 길이보다 작은 것이 바람직하다. 열 확산 길이는 열이 소정 기간 내에 재료에 얼마나 확산되는 가에 대한 측정치이다. 보다 구체적으로는 열 확산 길이는 다음 식으로 구해진다.
Figure 112000008839204-pct00042
여기서, λ= 재료의 열전도도, τ= 특징적인 시간 상수, Cp = 고체의 비열 (열 용량), 및 ρ= 고체의 밀도이다.
τ를 0.55라고 하고 홀더-웨이퍼 열 교환을 위한 63% 평형 시간이라고 하면 실리콘에 대한 확산 길이가 약 5 ㎜로 계산될 수 있다.
그러므로 각 리프(66)의 접촉면 중 적어도 하나의 치수는 약 5 ㎜ 미만인 것이 바람직하고, 약 3 ㎜ 미만인 것이 보다 바람직하고, 약 1.5 ㎜인 것이 가장 바람직하다.
보다 바람직하게는 지지 위치(도2 참조)에서 웨이퍼(16)와 접하는 리프 표면 의 2개 치수가 실리콘에서의 열 확산 길이보다 작다. 그러므로 그러한 작은 접촉면의 열 효과는 재빨리 평균화되어, 웨이퍼 홀더(20)가 웨이퍼(16)보다 약간 다른 온도를 갖는 경우에 눈에 띄는 열 구배가 생기지 않는다.
그러므로 리프(66) 각각은 내면(72) (도5 참조) 근처에서 약 5 ㎜ 미만의 폭을 갖는다. 바람직하게는, 웨이퍼의 열 특성에 대한 장애를 최소로 하면서 웨이퍼를 지지할 수 있는 안정성을 제공하기 위하여 리프(66) 각각의 폭을 약 0.25 ㎜와 2.5 ㎜ 사이에 있고, 보다 바람직하게는 약 0.5 ㎜와 1.5 ㎜ 사이에 있다. 예시된 실시예는 리프 내면(72)에서 약 0.0285 인치(0.73 ㎜)의 리프 폭을 제공한다. 전술한 것처럼 리프(66) 각각의 길이(방사상 방향에서 측정됨)는 약 0.125 인치(3.2 ㎜)이며, 그 중 약 2.0 ㎜만 중심에 적절히 위치한 웨이퍼(16) 밑으로 연장된다. 이에 따라서, 리프(66) 각각의 지지면의 양 치수는 실리콘의 열 확산 길이보다 작다.
곡면 특징
도5 내지 도7의 도면에서 보이는 것처럼, 리프(66)는 일체형 리프(66)에서 웨이퍼 홀더(20)의 나머지로의 전이에 있어서 여러 곡면을 포함한다. 이들 곡면은 리프(66)의 강도를 늘려주므로 취급, 웨이퍼 장착 또는 웨이퍼 픽업 중에 파손되는 것을 막아준다. 예를 들면 도5에서 가장 잘 도시되어 있는바, 예시된 리프(66)는 링 내벽(54) 근처에서 바깥 쪽을 향하여 벌어진다(flare). 이러한 벌어짐은 약 0.05 인치와 0.09 인치 사이의 곡률 반지름을 갖는데, 약 0.07 인치가 바람직하다. 이 곡률은 특히 바람직한 실리콘 카바이드 재료의 가공을 쉽게 해 준다. 그러므로 벽과의 접합부에서 리프(66)의 폭은 약 0.11 인치(2.92 ㎜)이다. 이 베이스 리프 폭이 실리콘 열 확산 길이보다 작기 때문에 리프(66)는 홀더(20) 내에서 완전한 중심에 있지 않은 웨이퍼에 대해서조차도 열 장애를 최소화시킨다. 마찬가지로 도6은 링 내벽(54)과 리프(66)의 상면 사이, 리프 내면(72)과 베이스 플레이트(50) 상면(56) 사이에서의 전이 곡면을 도시한다. 이들 둥근 모서리는 약 0.010 인치 곡률 반지름을 갖고 웨이퍼 홀더(20)의 나머지와 예시된 리프(66)가 결합하는 모서리 주위로 연장된다. 웨이퍼 홀더(20)의 소형 구조체에 강도를 제공하는 외에도 예시된 만곡부는 열 스트레스와 구조적 스트레스를 일으킬 수 있는 날카로운 모서리를 피한다.
바람직한 웨이퍼 홀더(20)가 200 ㎜ 웨이퍼를 지지하도록 설계되었지만 당해 기술의 숙련자는 본 발명에서 교시하는 내용을 미래 세대의 더 큰 웨이퍼를 지지하기 위한 적절한 치수를 판단하는데 쉽게 적용할 수 있을 것이다. 300 ㎜ 웨이퍼를 지지하기 위해서는, 예를 들면 200 ㎜ 웨이퍼를 위한 갭 보다 더 큰 갭을 제공하는 것이 바람직하다. 바람직하게는, 300 ㎜ 웨이퍼에 대한 갭은 약 0.024 인치와 0.035 인치 사이에 있다. 나아가 대형 웨이퍼를 위해서, 중앙에서 기울지 않으면서 대형 웨이퍼를 지지하기 위해 더 많은 수의 리프가 필요하다는 것이 이해될 것이다. 대형 웨이퍼를 지지하고 웨이퍼와 웨이퍼 홀더 사이에 일정한 거리를 유지하기 위해 웨이퍼 홀더의 중심 가까운 위치에 범프 또는 균등한 구조체가 제공될 수 있다.
자동조심형 지지 시스템
도8 내지 도10은 웨이퍼 홀더(20)의 저면(80) 주변에 배치된 복수의 홈(62)을 예시한다. 도2와 관련하여 간단히 언급한 것처럼, 홈(62) 각각은 웨이퍼 홀더(20)를 스파이더(22)(도11 내지 도13)와 연결시키기 위해 스파이더 포스트(64)와 결합한다. 이에 따라서 웨이퍼 홀더(20)에 형성된 홈(62)의 수가 스파이더(22)(도11 내지 도13) 포스트(64)의 숫자와 같은 것이 바람직하다. 예시된 실시예에서, 3개의 홈(62)이 웨이퍼 홀더(20)의 저면(80)에 형성되고, 바람직하게는 120°간격으로 이격 위치하는데 웨이퍼 홀더(20)를 스파이더(22)상에서 중심을 잡도록 스파이더 포스트(64)(도11 내지 도13)의 간격과 일치시킨다.
홈(62) 각각이 웨이퍼 홀더(20)의 상면에 있는 6개의 리프(62)의 하나와 정렬된 것으로 도시되었지만(도9 참조) 이 정렬이 중요한 것이 아니라는 것이 이해될 것이다. 마찬가지로 홈(62) 각각이 외벽(58) 주변으로 연장하는 것으로 예시되었지만 이 위치는 중요하지 않고, 단지 홈(62)을 가공하는 관점에서 편의를 위한 것이라는 것이 이해될 것이다. 그러나 홈(62)이 각각 바람직한 원형의 웨이퍼 홀더(20)의 중심에서 동일한 방사상 거리에 위치하는 것이 바람직하다.
바람직하게는, 홈(62) 각각이 웨이퍼 홀더(20) 주변에서 소정의 방사상 거리에 대하여 일정 깊이로 가공되어 주 베이스 플레이트 표면(상면과 저면)과 대체로 평행한 평탄 구간(82)을 포함하는 것이다. 소정 거리는 차동 열 팽창에 의해 야기되는 웨이퍼 홀더(20)와 스파이더(22) (도11 내지 도13) 간의 상대 이동의 정도보다 더 커야 하는데, 후술하는 홈의 중심 정렬 기능에 대한 설명을 보면 이해될 것이다. 예시된 재료 및 크기에 대하여 그러한 상대 운동은 대체로 약 0.025 인치 미만이다. 평탄 구간(82)의 방사상 길이는 그러므로 약 0.025 인치보다 크고 예시된 평탄 구간(82)에 대한 방사상 길이는 약 0.145 인치이다.
평탄 구간(82) 내부의 방사상 방향으로 테이퍼 구간(84)이 위치하는데, 웨이퍼 홀더(20)의 중심 방향으로 깊이가 더 얕아진다. 예시된 실시예에서 테이퍼 구간(84)은 방사상 1.40 인치 내지 1.50 인치의 만곡부와 일치한다. 테이퍼는 예리한 모서리 및 그로 인한 열 스트레스를 피하고 제작을 용이하게 한다는 점에서 유리하다.
도10은 예시된 홈(62)의 측면을 도시한다. 바람직하게는 도시된 것처럼 홈(62)이 원주 방향에서 플랫(88)으로 연장되는 둥근 골부(trough portion)(86)를 포함하는 것이다. 그러므로 도10을 보면 홈(62)이 V자 형태를 닮았다. 각 플랫(88)은 웨이퍼 홀더(20)의 저면(80)에 대해 각 α를 이룬다. 예시된 실시예에서, 골부(86)는 0.050 인치 내지 0.070 인치의 곡률 반지름을 가지며 각 α는 약 29° 내지 31°이다. 평탄 구간(82) 내에서 골부(86)의 가장 깊은 부분은 예시된 실시예에서 약 0.0285 인치이다.
예시된 플랫(88)이 대략 평면이지만 후술하는 상호작용에 비추어 플랫이 만곡부를 가질 수 있다는 것이 이해된다. 바람직하게는, 만곡부는 홈(62)과 상호작용하는 단부가 볼형인(ball-end) 포스트(64) (후술됨)와 정확히 일치하지 않는다. 보다 바람직하게는, 플랫(88)의 만곡부가 볼엔드 포스트(64)의 만곡부보다 더 평평하여 더 큰 곡률 반지름을 갖는 것이다.
스파이더
도11 내지 도13은 바람직한 스파이더(22)를 예시한다. 도시된 것처럼, 웨이퍼 홀더(20)의 저면(80)의 홈(62)은 스파이더(22) 포스트(64)와 상호작용하도록 디자인되어 있다. 스파이더(22)는 중심 허브(90)와 허브(90)로부터 방사상 방향으로 연장하는 복수의 아암(92)을 포함한다. 바람직하게는 스파이더(22)가 등간격(즉, 120°간격)의 같은 길이를 갖는 3개의 아암(92)을 포함하는 것이다. 아암(92)은 포스트(64)에서 종료하는데, 포스트(64) 중의 하나가 도2에 개략적으로 도시되어 있다. 바람직하게는, 포스트(64)가 도11의 가상선으로 표시된 것처럼 지지해야 할 웨이퍼(16)보다 반지름이 약간 더 큰 실린더 형태를 갖는 것이다. 스파이더(22)가 가열 엘리먼트(14)(도1)의 복사를 투과하는 재료로 이루어지는 것이 바람직하다. 예시된 스파이더(22)는 석영을 포함하는데, 석영은 복사 투과성 재료이며, 반복되는 극단적인 가열 주기를 견딜 수 있다.
도12를 참조하면, 허브(90)는 중공형이고, 상부 플렌지(94)와 플렌지(94)에서 하향 연장하는 원통부(96)와 원통부(96) 아래에서 허브(90)를 넓히는 원추부(98)를 포함한다. 원추부(98)는 중공형 샤프트(24)(도1)와 맞물리는데, 허브가 다른 구조로 샤프트와 일체형이 될 수 있다는 것도 이해된다. 중앙 열전쌍(28)과 그에 대한 배선은 샤프트(24), 허브(90)를 통해 그리고 플렌지(94)에 의해 한정되는 개구부를 통해 상향 연장된다. 중앙 열전쌍(28)은 도14, 도15와 관련하여 보다 상세히 설명될 것이다.
아암(92)은 허브(90)의 원통부(96)의 외부로 방사상 방향으로 연장하고 적절히 장착된 위치에서 (도1 참조) 웨이퍼(16)에 대해 전체적으로 수평으로 평행하다. 바람직하게는 아암 각각의 두께는 방사상 방향 외측을 향해 기울기를 갖는다. 예를 들면, 예시된 아암(92)은 허브 근처에서 약 0.40 인치의 두께를 가지며 포스트에서는 약 0.25 인치로 테이퍼진다. 포스트(64)는 각 아암(92)의 방사상 말단부에서 수직으로 상향 연장하고, 예시된 실시예에서 수직 길이는 0.44 인치이다.
다른 구조로 스파이더의 아암이 비스듬하게 상향 연장해도 수평 아암과 수직 포스트와 같은 지점에 도달할 수 있다는 것이 이해될 것이다. 그러나 심지어 그러한 구조에서도 아암의 말단부에 짧은 수직 포스트를 적어도 하나 갖는 것이 유리한데, 바람직한 포스트(64)의 볼엔드와 그 기능을 설명한 부분에서 이해될 것이다.
도13에서 가장 잘 도시된 것처럼, 포스트(64) 각각은 볼엔드나 둥근 표면(100)에서 종료한다. 볼엔드 표면(100)은 크기 및 웨이퍼 홀더(20)의 홈(62) 중 하나에 맞도록 선택된 만곡부에 의해 특징지어진다(도8 내지 도10 참조). 예를 들면 예시된 볼엔드 표면(100)은 약 0.09 인치 내지 0.11 인치의 곡률 반지름을 갖는 중심 구형부를 포함하는데, 포스트(62)의 폭은 약 0.157 인치이다. 중심 구형부 외부의 방사상 방향에 있는 볼엔드 표면(100)은 또 수평으로 각 β를 형성하는 환상 원추부(101)를 포함한다. 각 β는 바람직하게는 웨이퍼 홀더 홈(62) 내의 플랫(88)(도10)에 의해 형성되는 각 α보다 더 크다.
다른 구조에서 볼엔드 표면이 구형이 아닌 (예를 들면, 곡선 원추형, 타원형, 완전한 원뿔형, 피라미드형 등) 만곡부를 가질 수 있다는 것이 이해된다. 그러나 바람직하게는 만곡부가 중심 정렬을 위해 적어도 한 치수에서는 대칭인 것이다. 그러한 대칭을 용이하게 하는데는 수직 포스트 구간이 유리하지만, 스파이더 가 단지 허브로부터 위로 기울어진 아암을 가진 구조에 그러한 대칭이 제공될 수 있다는 것도 이해된다.
도10과 도13, 도2A와 도2B를 참조하면 바람직한 볼엔드 표면(100)(도13)은 홈(62)의 골부(86)(약 0.060 인치)보다 크지만, 각 홈(62)(도10)을 따라 있는 플랫(88)에 의해 한정되는 홈(62)의 넓어진 범위 내에 여전히 있다. 따라서 결합될 때(도2A와 도2B) 스파이더 포스트(64) 각각의 구형의 볼엔드 표면(100)은 웨이퍼 홀더(20)의 홈(62) 중 하나의 플랫면(88)과 접촉한다.
조립 중에, 홈(62)의 방사상 방향 안쪽으로 테이퍼진 구간(84)(도9)은 스파이더(22)에 웨이퍼 홀더(20)의 중심을 맞출 때 일반 사용자에게 도움이 될 수 있다. 그러나 일단 결합되면 포스트(64)의 볼엔드(100)는 홈(62)의 평탄부(82) 내에 자리한다. 스파이더 허브(90)와 상대적으로 비슷하게 위치하는 3개의 스파이더 포스트(64)에 대응하여, 웨이퍼 홀더(20)의 밑바닥 주위에는 3개의 홈(62)이 자리한다(바람직하게는 원주 방향으로 120°간격으로 - 도8과 도 11 참조).
3개의 볼엔드 포스트(64)가 3개의 V자형 홈(62) 내에 각각 위치할 때 스파이더(22)와 웨이퍼 홀더(20)의 상대 위치는 하나의 자유도에 한정된다(constrained to one degree of freedom). 예를 들면, 대응하는 홈(62)의 따라가는 방향으로 하나의 포스트(64)를 잡아당기는 경향을 갖는 외부 힘이 가해지면 나머지 두 개 홈(62)의 외부 플랫(88)이 둥근 표면(100)을 통해 나머지 두 개의 대응 볼엔드 포스트(64)에 대해 동일한 힘을 반대로 가할 것이다. 외부 힘 방향으로의 운동이 저항을 받으면서 시스템이 중심을 유지한다. 확보할 수 있는 하나의 안정된 위치가 (여러 엘리먼트를 방사상 대칭되게 자리 잡아줌으로써) 웨이퍼 홀더(20)의 중심과 수평을 잡아주므로 볼엔드 표면(100)과 V자형 홈(62)이 결합한 것을 자동조심형 시스템으로 부른다. 웨이퍼 홀더(20)는 스파이더(22) 및 주변의 리액터 부품(예를 들면, 슬립 링(32))과 상대적으로 중심을 잡는다.
3개 이상의 볼엔드 포스트와 V자형 홈이 비슷하게 채용되는 경우에도 유일한 안정적 위치에서 자동조심이 일어나는 것이 이해된다. 더구나 당해 기술의 숙련자는 본 발명에 개시된 교시 내용을 적용하여 곡면과 V자형 홈의 위치를 쉽게 바꿀 수 있다. 그러한 배치에서, 3개 이상의 포스트는 각각 웨이퍼 홀더의 바닥면에 제공된 3개 이상의 곡면 중 하나와 결합하도록 하나의 V자형 홈을 포함한다.
작동 중에, 예시된 구조는 열 팽창이나 수축으로 웨이퍼 홀더(20)의 크기가 달라질 때도, 그리고 웨이퍼 홀더(20)가 스파이더(22)보다 크게 팽창하거나 또는 작게 수축할 때도 수평과 자기 중심을 잡은 웨이퍼 홀더(20)를 유지할 수 있다. 바람직한 재료, 즉 석영 스파이더(22)와 SiC 웨이퍼 홀더(20)에 대하여 일반적으로 차동 팽창이 일어날 수 있는데, 다양한 다른 적당한 재료의 부문에 대해서도 그러한 팽창이 생길 수 있다는 것이 이해된다.
예를 들면, 고온에서 웨이퍼 홀더(20)는 팽창하고 홈(62)은 웨이퍼 홀더(20)의 중심에서 벗어나 더 이동한다 (도8 참조). 바람직한 웨이퍼 홀더 치수와 재료에 대하여, 0.025 인치 정도의 높이만큼 이동될 수 있다. 반면에 바람직한 석영 스파이더(22)의 포스트(64)는 허브(90)에 대해 상대적으로 비교적 적게 이동한다(도11 참조). 방사상 대칭 때문에 조정된 웨이퍼 지지 구조체(18)(도1 내지 도2B)의 홈(62)은 개별 포스트(64)에 대하여 동일 거리만큼 방사상 방향 외측으로 이동할 것이다. 각각의 온도마다 오로지 유일한 안정적 위치가 있으며, 그 위치는 웨이퍼 홀더(20)가 중심에 있는 곳이다.
도2A와 도2B를 참조하면, 포스트(64)와 홈(62) 간의 결합은 샤프트(24)로부터 웨이퍼 홀더(20)로 회전을 전달할 수 있다. 스파이더(22)는 고정적이지만, 일반적으로 웨이퍼에 걸쳐서 증착 균일성을 높이기 위해 웨이퍼 홀더로 회전 운동을 전달하도록 회전축에 장착된다.
중앙 열전쌍의 간격
도14를 참조하면, 베이스 플레이트(50)와 이격 위치한 팁(110)이 있는 중앙 온도 감지기나 열전쌍(28)이 스파이더 허브(90)를 통해 연장하는 것으로 도시되어 있다. 열전쌍(28)은 그것을 통과하여 연장하고 팁(110) 바로 아래에 접합부를 형성하는 한쌍의 열전쌍 와이어를 갖는 세라믹 지지체를 둘러싼 외부 보호 덮개를 포함한다.
바람직하게는 보호 덮개가 CVD 공정 조건 하에서 투명성을 상실하지 않는 열 전도 재료를 포함하는 것이다. 보호 덮개가 실리콘 카바이드로 만들어지는 것이 바람직하지만, 다른 만족스러운 재료로는 질화보론, 질화규소, 이산화규소, 질화알미늄, 산화알미늄 및 이들의 다양한 결합물이 있다. 덮개가 열전쌍 와이어와 화학적으로 조화되지 않는 경우에는 예시된 열전쌍(28)은 접합부로부터 보호 덮개를 덮는 석영 슬리브나 캡을 더 포함한다. 대안으로 와이어를 질화보론으로 코팅할 수 있다.
종래 기술의 리액터는, 공정 중에 웨이퍼 온도를 직접 측정하는 방법이 여러 가지 이유로 불만족스럽게 판명되었기 때문에 웨이퍼 홀더의 온도를 간접 측정하기 위해 일반적으로 중앙 열전쌍을 포함한다. 종래의 웨이퍼 홀더는 열전쌍이 삽입될 홈을 포함할 만큼 충분히 두꺼워서 웨이퍼 홀더 온도의 정확한 측정을 정확히 얻을 수 있다. 따라서 웨이퍼 홀더가 실제 웨이퍼 온도에 대한 최선의 표시기였다. 실험을 통해 웨이퍼 온도와 웨이퍼 홀더 온도의 관계를 알기 때문에 온도 제어기는 웨이퍼 온도를 간접 제어하기 위해 눈금 보정될 수 있다.
한편, 바람직한 베이스 플레이트(50)는 너무 얇아서 그러한 홈을 마련할 수 없다. 관통홀은 CVD 중에 배면 증착을 유발하는 경향이 있기 때문에 예시된 실시예에서는 바람직하지 못하다. 웨이퍼 홀더(20)의 실제 온도에 가까운 온도 측정을 얻기 위해 열전쌍 팁(110)을 베이스 플레이트(50)에 접촉하도록 배치하는 것도 바람직하지 못하다. 웨이퍼 홀더(20)의 회전, 진동 및/또는 열 팽창이 공정 진행 중에 웨이퍼 홀더와 열전쌍의 접촉을 떨어지게 하여 열전쌍 검출 온도와 웨이퍼 온도의 관계를 급격하게 변경시킬 수 있다. 더욱이, 열전쌍(28)은 일반적으로 반응 챔버(12)의 밑에서 팁(110) 아래 30 ㎝ 정도의 지점에 고정된다. 열 전도성이 있는 SiC 보호 덮개를 포함하는 열전쌍(28)의 길이는 열 처리 중에 고정 지점에서 위로 팽창한다. 이러한 팽창은 또 열전쌍 팁(110)이 웨이퍼 홀더(20)와 접촉했다 떨어지게 하여 열전쌍 눈금을 변경시키고, 공정 중에 저질량 웨이퍼 홀더(20)를 이동시킬 가능성이 있다.
따라서, 열전쌍(28)은 팁(110)을 도시된 것처럼 웨이퍼 홀더(20)와 소정 거 리만큼 이격시키기 위해 스파이더 허브(90) 내에 장착된다. 최소한 팁(110)은 웨이퍼 홀더(20)와 이격되는데, 공정 중에, 심지어는 열전쌍의 상향 열 팽창이 최대가 되는 고온 공정 중에도 양자가 전혀 접할 수 없는 정도의 간격이다. 바람직하게 최대 간격은, 열전쌍이 웨이퍼 홀더와 접촉하고 있으면 갖게 될 검출 온도에서 약 ±5℃ 미만, 보다 바람직하게는 약 ±2℃ 미만, 가장 바람직하게는 약 ±1℃ 미만만큼 웨이퍼 온도가 벗어나는 정도이다. 바람직하게는, 팁(110)과 웨이퍼 홀더(20) 사이의 소정 간격이 열전쌍/웨이퍼 온도 관계가 간격에 그리 민감하지 않은 범위 내에서 선택되는데, 후술되는 도15에 대한 설명을 보면 이해될 것이다.
도15를 참조하면, 세로축은 웨이퍼 온도를, 가로축은 열전쌍(28)과 베이스 플레이트(50) 사이의 간격을 나타내는 그래프가 도시되어 있다. 실제 리액터 작동시처럼, 이번 실험에서 중앙 열전쌍(28) 온도를 1000℃로 유지하기 위해 반응 챔버를 위한 복사 열원(램프)에 연결된 온도 제어기가 사용되었다. 웨이퍼 홀더(20)의 지지를 받는, 장착된 웨이퍼도 가열 램프에 의해 가열되고, 웨이퍼 온도는 웨이퍼에 있는 계기에 의해 직접 측정된다. 중앙 열전쌍(28)이 움직이면 웨이퍼 온도도 변화하는데, 열전쌍 온도와 웨이퍼 온도 간의 관계가 웨이퍼 홀더(20)와 열전쌍(28) 사이의 거리에 따라 변화한다는 것을 가리킨다.
그러나 약 0.030 인치와 0.070 인치 사이의 간격에서, 곡선이 인접 구간과 비교하여 상당히 평평하다. 이 범위 내에서 웨이퍼와 열전쌍 온도의 관계는 간격에 그리 민감하지 않다. 따라서 공정 중에 전술한 인자(웨이퍼 홀더(20)의 회전, 진동 및/또는 열 팽창)로 인해 간격이 약간 변동하면 웨이퍼 온도 및 열전쌍 온도 의 관계에 있어서 허용될 수 있는 변동이 생긴다. 그러므로 바람직한 웨이퍼 지지 구조체(18)는 중앙 열전쌍(28)의 팁(110)이 바람직한 웨이퍼 홀더(20)의 베이스 플레이트(50)로부터 약 0.030 인치와 0.070 인치의 사이의 간격을 갖도록 배치하는 것이다. 바람직하게는 최초 간격이 이 범위의 중간으로, 약 0.040 인치와 0.060 인치 사이이며, 작동시 변동이 0.030 인치 내지 0.070 인치의 범위 내에 있는 정도이다. 가장 바람직하게는 최초 간격이 약 0.050 인치로 설정되는 것이다.
당해 기술의 숙련자는 열전쌍과 웨이퍼 지지체 간격의 최적 범위가 열전쌍의 종류에 따라 그리고 웨이퍼 지지 구조체에 따라 달라질 있다는 것을 이해할 것이다. 예를 들면 최적 간격은 웨이퍼 홀더에 노출된 열전쌍의 표면적, 웨이퍼 홀더의 표면적, 램프 전력 및/또는 열전쌍의 치수 및 재료의 차이에 따라 달라질 수 있다. 그러나 숙련된 기술자는 본 발명의 교시 내용을 전술한 실험 기술을 활용하여 간격 변동에 대한 민감성을 최소화하기 위한 특정 시스템에 대한 간격을 최적화하는데 적용할 수 있다.
특히, 최초 간격은 안정 상태에서 웨이퍼 온도가 0.010 인치의 간격 변동에 대하여 약 10℃ 미만으로 변화하도록 하는 것이 바람직하다. 달리 말하면, 열전쌍의 최초 위치에서 웨이퍼 온도 대 열전쌍 간격의 기울기(도 15처럼)가 약 ±10℃/0.010˝내에 있다. 보다 바람직한 것은 기울기가 약 ±5℃/0.010˝내에 있는 것이다
지지 링이 있는 투피스 웨이퍼 홀더
도16 내지 도25를 참조하면 본 발명의 바람직한 제2 실시예가 예시되어있다. 웨이퍼 홀더(20a)는 일체형과는 달리 링(52a)과 별도의 베이스 플레이트(50a)로 이루어진다. 예시된 웨이퍼 홀더(20a)의 재료는 전술한 실시예와 같을 수 있으며, 바람직하게는 실리콘 카바이드로 이루어지며, 리프(66)는 링(52a) 또는 베이스 플레이트(50a)와 여전히 일체형이다. 웨이퍼 홀더(20a)는 또 전술한 것처럼 작은 열 질량을 갖는 것이 바람직하다. 웨이퍼 홀더(20a)가 전술한 도1 내지 도15에서 예시된 바람직한 제1 실시예의 웨이퍼 홀더(20)와 실질적으로 비슷하기 때문에 같은 특징을 기술할 때는 같은 번호가 사용될 것이다. 같지만 의미있게 변경된 특징은 같은 번호를 사용하지만 "a"를 덧붙일 것이다. 이 외에도 제1 실시예와 유사하지 않은 새로운 특징들에도 "a"를 덧붙일 것이다.
도16을 참조하면, 웨이퍼 홀더(20a)는 전술한 대로 포스트(64)와 더불어 개략적으로 도시되어있다. 예시된대로, 베이스 플레이트(50a)는 링(52a)으로부터 현가 지지되어 있다. 베이스 플레이트(50a)의 걸림부를 링(52a)의 지지부보다 폭 또는 (원형 실시예에 대하여) 직경이 더 크도록 배치함으로써 현가 지지할 수 있다. 그러므로 중첩부는 링(52a)의 지지부 위에서 베이스 플레이트의 걸림부와 상호작용하여 베이스 플레이트(50a)에 대한 지지를 제공한다.
바람직한 제1 실시예에서처럼, 링(52a)은 상면(60) 밑에 그리고 내벽(54)과 외벽(58) 사이에 비교적 두꺼운 밴드(200a)를 포함한다. 링(52a)은 밴드(200a)로부터 방사상 방향 내측으로 그리고 밴드(200a)와 일체형인 비교적 얇은 두께를 갖는 제1 환상 선반(202a)을 더 포함하고, 선반(202a)에는 복수의 리프(66)가 위치해 있다. 더욱이 링(52a)은 제1 선반(202a)으로부터 방사상 방향 내측으로 그리고 제1 선반(202a)과 일체형인 비교적 더 얇은 두께를 갖는 제2 또는 보조 환상 선반(204a)를 포함한다. 명확한 설명을 위해 앞으로 제2 선반(204a)은 "계단"(204a)으로 언급하고 제1 선반(202a)은 "선반"(202a)으로 언급할 것이다. 베이스 플레이트(50a)는 환상 돌출부(205a)를 포함한다.
예시된 실시예에서 베이스 플레이트(50a)의 걸림부가 돌출부(205a)로 이루어지고 반면에 링(52a)의 지지부는 계단(204a)으로 이루어진 것을 이해할 것이다. 그러므로 예시된 실시예에서, 이들 상호작용부에 의한 지지는 환상으로 제공되지만 지지가 불연속적으로 제공될 수도 있다. 숙련된 기술자는 링으로부터 베이스 플레이트를 현가 지지하기 위한 많은 방법을 알 것이다. 그러나 바람직하게는 베이스 플레이트(50a)와 링(52a)이 서로 독립적이고 서로 영구적으로 장착되지는 않는 것이다.
도17 내지 도23을 참조하면 바람직한 제2 실시예의 링(52a)이 예시되어 있다. 선반(202a)은 수직 선반 내벽(208a)과 밴드 내벽(54) 사이로 연장된 수평의 선반 상면(206a)에 의해 부분적으로 한정된다. 계단(204a)도 미찬가지로 수직의 계단 내벽(212a)과 선반 내벽(208a) 사이로 연장된 수평 계단 상면(210a)에 의해 부분적으로 한정된다. 바람직하게는 밴드(200a), 선반(202a)과 계단(204a)이 전부 공동으로 링 저면(214a)을 공유하는 것이다. 저면(214a)은 바람직한 제1 실시예의 홈과 실질적으로 동일한 홈(62)을 포함한다.
구체적으로 개시되지 않은 치수는 바람직한 제1 실시예의 비슷한 내용에 대해 언급한 것과 같다. 그러므로 예를 들면 예시된 밴드(200a)는 바람직한 제1 실 시예의 링(52)의 두께와 마찬가지로 (예를 들면 도6 참조) 약 0.077 인치의 두께를 갖고 내벽(54)의 직경은 전술한 바와 같이 200 ㎜ 웨이퍼에 대하여 약 7.970 인치이다.
바람직한 제2 실시예의 선반(202a)은 바람직하게 (전술한) 베이스 플레이트(50a)와 같은 두께를 가지며 예시된 실시예에서는 약 0.0285 인치이다. 선반 정면(206a)을 따라 측정된 이 선반(202a)의 폭은 바람직하게는 리프(66)와 동일한데, 즉 약 0.125 인치이다. 리프 내면(72)이 선반 내벽(208a)과 동시에 같은 면으로 가공될 수 있기 때문에 기계 가공 편의상 이 폭이 선택된 것이다. 도22에서 가장 잘 도시된 것처럼 선반 내벽(208a)의 높이는 계단(204a)이 시작되는 선반(202a) 밑의 거리를 한정하며, 예시된 실시예에서는 약 0.0105 인치이다.
계단(204a)은 베이스 플레이트(50a)(도24, 도25)의 무게를 지탱할 정도로 두껍고, 베이스 플레이트(50a)가 링(52a)에 의해 한정되는 중심 개구부를 통해서 떨어지지 않고 지지된 채로 있도록 할만큼 충분한 폭을 가져야 한다. 예시된 실시예에서, 계단 내벽(212a)을 따라 측정된 계단(204a)의 두께는 약 0.018 인치이다. 계단 상면 (210a)을 따라 측정된 예시된 계단(204a)의 넓이는 약 0.0130 인치이다. 내벽(212a)에 의해 한정되는 링(52a)의 내경은 약 7.660인치이다.
바람직한 제1 실시예와 마찬가지로, 수직면과 수평면 사이의 모서리는 둥그렇고, 강도를 늘리기 위해 각각 약 0.010 인치의 반지름을 갖는다.
도24, 25를 참조하면 바람직한 제2 실시예의 베이스 플레이트(50a)가 예시되어 있다. 돌출부(205a)는 베이스 플레이트 정면(56a)에서 하향 연장되는 수직 돌출벽(220a)에 의해 한정된다. 수평의 돌출부 하면(222a)은 돌출벽(220a)으로부터 방사상 방향 내측으로 연장한다. 수직의 하부 베이스 플레이트벽(224a)은 돌출부 하면(222a)에서 하향 연장한다.
베이스 플레이트(50a)의 전체 두께는 웨이퍼(16) 밑의 웨이퍼 홀더 재료의 일정한 두께를 위해 링(52a)(도17 내지 도23)의 선반(202a)과 같은 것이 바람직한데, 예시된 실시예에서는 약 0.0285 인치이다.
돌출부(205a)의 두께는 링(52a)의 지지부(계단 204a) 상에서의 현가 지지로 자체 베이스 플레이트(50a)의 무게를 지탱하기에 충분하다. 돌출부(205a)의 두께가 선반 내벽(208a)(특히 도22 참조)의 높이와 같은 것이 바람직하며, 예시된 실시예에서는 약 0.0105 인치이다. 돌출부 두께가 선반 내벽 높이와 같으므로 (불연속) 웨이퍼 홀더 상면은 웨이퍼(16)로부터 일정 거리로 제공된다는 장점이 있다. 불연속 웨이퍼 홀더 상면은 링(52a)의 선반 상면(206a)(도17과 도22에서 가장 잘 도시됨)과 더불어 베이스 플레이트 상면(56a)을 포함한다.
돌출부(205a)의 폭은 바람직하게는 결합 구조에서(도16 참조) 돌출부(205a)가 올려지는 계단(204a)의 폭과 거의 동일하다. 그러므로 예시된 돌출부는 돌출부 하면(222a)을 따라 측정된 약 0.030 인치의 폭을 갖는다. 돌출벽(222a)에 의해 한정되는 플레이트(50a)의 외경은 약 7.770 인치이며, 링(52a)의 내경보다 약 0.040 인치 크다. 링(52a)의 계단(204a)과 베이스 플레이트(50a)의 하면(222a)은 그러므로 중첩하지만 어느 쪽에서든 약 0.010 인치의 여유를 갖는다. 이에 따라 이 여유가 제작상 허용 오차를 수용하여 일반 사용자가 조립 중에 플레이트(50a)를 링(52a)에 맞출 수 있으므로 플레이트(50a)는 계단(204a)에 의해 적당히 지탱될 수 있다.
바람직한 실시예에서 도시된 대부분의 다른 모서리와 마찬가지로 돌출부 하면(222a)과 베이스 하부벽(224a) 사이의 모서리도 둥그렇고 약 0.010의 반지름을 갖는다. 이 만곡부는 바람직하게 계단 내벽(212)과 계단 상면(210a) 사이의 링에 있는 상응하는 링의 만곡부와 일치한다.
유리하게도, 바람직한 제2 실시예의 투피스 웨이퍼 홀더(20a)가, 차가운 웨이퍼 낙하와 관련하여 전술한 수직 구배와 마찬가지로, 열 구배와 관련된 스트레스를 견딜 수 있는 것으로 판명되었다. 따라서 투피스 웨이퍼 홀더(20a)는 바람직한 제1 실시예의 일체형 웨이퍼 홀더(20)보다 정상 공정 중에 접하게 되는 더 많은 수의 열 주기에도 견딘다.
구체적으로 개시되지 않은 많은 특징과 장점들은 바람직한 제1 실시예에서 개시된 바와 같다. 그러므로 예를 들면 리프(66)와 홈(62)은 다시 기술하지 않았다. 그러나 바람직한 제2 실시예의 홈(62)이 바람직한 제1 실시예의 대응 홈(62)과는 달리 리프(66)와 정렬되지 않는다는 것에 유의한다. 홈(62)이 있는 동일 구간에서의 리프(66)를 도시하는 도9와 홈(62)의 구간에 리프를 도시하지 않는 도22를 비교하면 이러한 차이가 명확해진다. 그러나 그 차이는 전술한 장점에 비하여 중요한 것은 아니다.
지지 베이스가 있는 투피스 웨이퍼 홀더
도26, 도27을 참조하면, 웨이퍼 지지 구조체(18b)가 본 발명의 바람직한 제3 실시예에 따라 구성된 베이스 지지 링(52b)으로 개략적으로 도시되어 있다. 웨이퍼 지지 구조체(18b)는 또 후술될 자동조심 메커니즘을 포함한다. 바람직하게 웨이퍼 지지 구조체(18b)는 앞의 실시예와 같은 바람직한 재료, 즉 웨이퍼 홀더(20b)에 대해서는 SiC, 스파이더(22b)에 대해서는 석영을 포함한다. 웨이퍼 홀더(20b)는 또 바람직하게 전술한 것처럼 작은 열 질량을 갖는다. 다양한 특징들이 전술한 실시예의 대응 특징과 실질적으로 동일하여 같은 특징을 개시하기 위해 같은 번호가 사용되었다. 비슷하지만 의미적으로 변경된 내용은 같은 번호에 "b"를 추가하였다. 이 외에도 제1 실시예와 유사하지 않은 새로운 내용에도 "b"를 추가하였다.
베이스 플레이트(50b)가 링(52a)(도16)으로부터 현가 지지되는 바람직한 제2 실시예와는 달리, 본 실시예의 링(52b)은 바람직하게 베이스 플레이트(50b)로부터 현가 지지된다. 그러므로 링(52b)의 걸림부가 베이스 플레이트(50b)의 지지부보다 폭 또는 (원형 실시예에 대하여) 직경이 더 작도록 배치된다. 그러므로 중첩부는 링(52b)을 지지하기 위해 베이스 플레이트(50b)의 지지부 위의 링(52b)의 걸림부와 상호작용한다. 베이스 플레이트(50b)는 스파이더(22b)에 의해 독자적으로 지지된다.
본 실시예의 베이스 플레이트(50b)는 도시된대로 간단한 원반형 플레이트일 수 있다. 그러므로 베이스 플레이트(50b)의 지지부는 단지 베이스 플레이트 주변 가장자리(229b) 방사상 방향 내측에 있는 플레이트(50b)의 주변부이다. 바람직한 제2 실시예와 달리, 복수의 리프(66b)(바람직하게는 6개)가 베이스 플레이트(50b)에 형성된다. 따로 개략적으로 도시되었지만 리프(66b)는 바람직한 제1 실시예와 관련하여 전술한 동일한 이유로 베이스 플레이트(50b)와 일체형으로 형성되는 것이 바람직하다. 리프 높이와 리프 폭은 전술한 범위 내에 있는 것이 바람직하다.
링(52b)은 비교적 두꺼운 밴드(200b)와 적어도 하나의 홈을 포함한다. 예시된 실시예에서는 복수의 쐐기 홈(peg recess)(230b)이 밴드(200b) 의 방사상 방향 내측에 위치해 있다. 쐐기 홈(230b) 각각은 수직 홈 후벽(232b)과 두 개의 수직 홈 측벽(233b)에 의해 부분적으로 한정되며 (도27의 정면에 가장 잘 도시), 각각 링 하면(214b)에서 상향 연장된다. 바람직하게 링(52b)은 3개의 스파이더 포스트(64b)와 상호작용하기 위해 서로에 대해 약 120°로 원주 방향으로 분포된 3개의 쐐기 홈(230b)을 포함한다. 각 홈(230b)의 치수는 자세히 후술될 스파이더 포스트와의 자동조심 작용을 위해 선택된다.
바람직하게, 각 쐐기 홈(230b)은 방사상 방향 내측으로 연장하고 링(52b)의 내부 하부 주변 둘레로 연장하며 환상 그루브를 형성한다. 환상 그루브는 도27에서 가장 잘 도시된대로 돌출부(234b)와 수직 그루브 내벽(235b)에 의해 한정된다. 돌출부(234b)의 내부 경계는 내부 링벽(54b)에 의해 한정되는데, 베이스 플레이트(50b)의 외경보다 더 작은 직경을 갖는다.
조립될 때 베이스 플레이트(50b)의 주변부는 환상 그루브 내로 들어가 도시된대로 돌출부(234b)가 베이스 플레이트(50b)의 주변부에 올려져 있다. 그루브 내벽(235b)은 베이스 플레이트(50b)의 주변 에지(229b)와 약간 이격될 수 있다. 따라서 예시된 실시예에서 링(52b)의 걸림부는 돌출부(234b)를 포함한다.
냉온 및 고온 자동조심
베이스 플레이트(50b)는 바람직한 제1 실시예의 스파이더922)와 구조적으로 비슷한 스파이더(22b)에 의해 지지된다. 그러나 스파이더 포스트(64b)는 다른 구조를 갖는다. 볼엔드 포스트와 달리, 바람직한 제3 실시예의 스파이더 포스트(64b)는 고온 센터링면과 냉온 센터링면이 있는 상향 연장부나 융기부를 포함한다. 스파이더(22b)(바람직하게는 석영)에 상대적인 베이스 플레이트(50b)(바람직하게는 Sic)의 차동 팽창 때문에 시스템이 고온에 있을 때, 고온 센터링면은 바람직하게 베이스 플레이트의 외벽(예를 들면 베이스 플레이트 주변 에지(229b))에 가까이 가거나 또는 접촉한다. 마찬가지로 스파이더(22b)에 대한 링(52b)의 차동 수축 때문에 시스템이 저온에 있을 때, 냉온 센터링면은 바람직하게 링(52b)의 내벽(예를 들면 홈 후벽(232b))에 가까이 하거나 또는 접촉한다. 여기서 "가까이 감"의 의미는 센터링면이 수직한 웨이퍼 홀더면으로부터 약 0.020 인치 이내에, 더 바람직하게는 약 0.010 인치 이내에 있어야 한다는 뜻이다.
예시된 실시예에서, 포스트 단부는 포스트(64)의 내측에 플랫폼(240b)을 포함한다. 베이스 플레이트(50b)는 플랫폼(240b)에 올려져 있다. 원통형 포스트에 대하여 이 플랫폼(240b)은 절단된 원(도27 참조)의 형태를 갖는다. 플랫폼(240b)의 방사상 방향의 외부 가장자리에서 센터링 융기부 또는 쐐기(242b)가 링(52b)의 홈(230b)으로 상향 연장한다. 센터링 쐐기(242b)는 내부 고온 센터링면(244b)과 외부 냉온 센터링면(246b)을 포함한다. 예시된 실시예에서, 냉온 센터링면(246b)은 외부로 퍼지는 곡면(248b)으로 하향 연장하여, 스파이더 포스트(64b)의 몸체의 수직 원통형 외면과 결합한다.
전술한 것처럼 고온 센터링면(244b)은 고온 단계 중에, 예를 들면 약 1200℃에 베이스 플레이트(50b)의 주변 에지(229b)에 가까이 가거나 접촉한다. 적어도 3개의 다른 스파이더 포스트(64b) 위의 적어도 3개의 고온 센터링면(244b)이 베이스 플레이트(50b)의 주변에 분포되어 있기 때문에 베이스 플레이트(50b)의 이동이 무시해도 좋은 만큼 한정된다는 것이 이해된다. 따라서, 웨이퍼(16)를 지지하는 일체형 리프(66b)도 한정된다. 링(52b)은 베이스 플레이트(50b)에 올려져 있고, 리프(66b)와 링 내벽(54b)의 상호작용에 의해 및/또는 그루브 내벽(235b)과 베이스 플레이트(50b)의 주변 에지(229b) 간의 상호작용에 의해, 어느 쪽이 두 면의 간격이 더 가까운 가에 따라, 이동이 제한된다.
마찬가지로, 냉온 센터링면(246b)은 저온 단계 중에, 예를 들면 약 20℃에 링(52b)의 홈 후벽(232b)에 가까이 가거나 접촉한다. (서로 다른 3개의 스파이더 포스트(62b)에 있는) 적어도 3개의 냉온 센터링면(246b)이 링(52b) 주변에 분포된 적어도 3개의 홈 후벽(232b)과 각각 접촉하기 때문에 링(52b)의 이동이 무시해도 좋은 만큼 한정된다는 것이 이해된다. 따라서, 링(52b)은 그루브 내벽(235b)와 베이스 플레이트(50b)의 주변 에지(229b)의 상호작용에 의해 또는 링 내벽(54b)와 리프(66b)의 상호작용에 의해 베이스 플레이트(50b)와 그 위의 웨이퍼(16)의 이동을 제한한다.
예시된 실시예에서, 홈 측벽(233b)은 스파이더(22b)에 대한 링(52b)의 상대 회전을 막기 위하여 스파이더 포스트(64b)와 상호작용할 수 있고, 스파이더(22b)에서 링(52b)으로의 회전력 전달을 확실하게 하여 함께 회전할 수 있다. 링(52b)과 베이스 플레이트(52b) 사이의 마찰, 베이스 플레이트(50b)와 스파이더 포스트 플랫폼(240b) 사이의 마찰로 링 회전을 베이스 플레이트(50b)에 전달하여, 전체 웨이퍼 홀더(20b)(및 그 위에서 지지되는 웨이퍼)가 스파이더(22b)와 함께 회전하게 한다.
그러나 스파이더의 회전은 예시된 홈 구조없이도 웨이퍼 홀더로 전달될 수 있음이 이해된다. 예를 들면 방사상폭이 더 큰 돌출부는, 도26, 27에 예시된 홈 후벽(232b)의 방사상 거리와 동일 방사상 거리에 그루브 내벽을 형성하기 위해, 환상 그루부를 방사상 방향 외측으로 연장시킴으로써 형성될 수 있다. 그러한 대안적 배치에서, 그루브 내벽은 예시된 실시예를 위해 개시된 센터링 기능과 마찬가지로 저온 상태에서 스파이더 포스트(64b)의 냉온 센터링면(246b)과 상호작용한다. 이 대안적 배치에서, 스파이더 포스트 플랫폼과 베이스 플레이트 하면 사이의 마찰은 스파이더와 베이스 플레이트를 적절히 결합하여 회전시킬 수 있다. 베이스 플레이트는 또 연장된 환상 돌출부에서 베이스 플레이트 주변부로 집중된 링 무게에 의해 링과 마찰 결합될 수 있다.
상부 가스 통로가 있는 웨이퍼 홀더
도28 내지 도32를 참조하면, 본 발명의 바람직한 제4 실시예에 따라 구성된 웨이퍼 홀더(20c)는 웨이퍼 픽업을 용이하게 한다. 바람직하게는, 웨이퍼 지지 구조체는 전술한 실시예에서의 바람직한 재료, 즉 웨이퍼 홀더 (20c)에 대해서는 Sic, 스파이더(미도시)에 대해서는 석영으로 이루어진다. 웨이퍼 홀더(20c)는 또 전술한 것처럼 바람직하게 작은 열 질량을 갖는다. 다양한 특징들이 전술한 실시예의 대응 특징과 실질적으로 동일하여 같은 특징을 개시하기 위해 같은 번호가 사 용되었다. 비슷하지만 의미적으로 변경된 내용은 같은 번호에 "c"를 추가하였다. 이 외에도 제1 실시예와 유사하지 않은 새로운 내용에도 "c"를 추가하였다.
바람직한 제1 실시예와 마찬가지로 웨이퍼 홀더(20c)는 비교적 더 두꺼운 주변 환상 링(52c)이 있는 중앙 베이스 플레이트(50c)로 이루어진 일체형이다. 웨이퍼(미도시)는 더 두꺼운 링(52c)에 의해 한정되는 접시(basin) 내에서 맞춰질 수 있다. 웨이퍼와 웨이퍼 홀더(20c) 사이에 가스를 허용하기 위한 구조체가 또 제공된다. 전술한 실시예의 리프처럼 구조체는 웨이퍼에서 과도한 열 장애를 막기 위해 선택된 치수를 갖는다. 예시된 실시예에서 가스는 웨이퍼 홀더의 상면에 형성된 가스 통로를 채운다.
특히, 복수의 개방된 방사상 채널(250c)이 가스 통로로 이용되기 위하여 웨이퍼 홀더(20c)의 상면에 마련된다. 예시된 실시예에서 각 채널(250c)은 베이스 플레이트 채널(252c)과 링 채널(254c)을 포함한다. 이러한 구성은 웨이퍼 홀더(20c) 전체에 걸쳐 일정한 깊이로 채널(250c)을 가공할 수 있게 해준다. 더구나 링 채널(254c)은 웨이퍼가 픽업될 때 가스가 들어오도록 베이스 플레이트 채널(252c)에 대하여 대형 가스 유로를 제공한다.
웨이퍼 픽업 직전에 웨이퍼 바로 밑에 있는 베이스 플레이트 채널(252c)은 실리콘의 열 확산 길이(약 5 ㎜)보다 작은 폭을 갖는다. 따라서 채널(252c) 내의 재료를 제거함으로 인한 웨이퍼에 대한 열 효과는 무시해도 좋다. 바람직하게 베이스 플레이트 채널(252c)은 약 0.010 인치의 깊이와 약 0.015 인치의 폭을 갖는다. 베이스 플레이트 채널(252c)과 같은 높이로 가공된 예시된 링 채널(254c)은 더 깊고 더 폭이 넓은 것이 이해된다(도29, 도30 비교). 그러나 링 채널(254c)의 열 효과는 베이스 플레이트 채널(252c)에 비해 상대적으로 감쇠된다.
각 베이스 플레이트 채널(252c)이 너무 좁기 때문에 가스 통로의 전체 부피가 웨이퍼를 따로 들어올리기에 충분하도록 복수의 채널(252c)이 마련된다. 예시된 실시예에서 32개의 채널(252c)이 제공된다.
일체형 웨이퍼 홀더(20c)는 공통의 하면(80c)을 포함한다. 3개 이상의 홈(62c)이 하면(80c)에 마련된다. 홈(62c)은 전술한 실시예와 관련하여 설명한 것처럼 웨이퍼 홀더(20c)를 지지하고 웨이퍼 홀더(20c)로 회전력을 전달할 수 있는 비슷한 수의 스파이더 포스트(미도시)와의 상호작용을 위해 제공된다. 스파이더 포스트는 서로 다른 열 팽창과 열 수축을 예상하여 서로 이격된 고온 센터링면과 냉온 센터링면을 갖도록 구성되는데, 바람직한 제3 실시예의 설명에 비추어 당해 기술의 숙련자라면 이해할 것이다.
이 외에도 중앙 개구부(256c)는 웨이퍼 홀더(20c)를 통과하여 마련된다. 중앙 개구부(256c)는 열전쌍을 수용할 크기인 것이 바람직하다. 열전쌍은 공정 중에 웨이퍼에 가까이 근접하여 온도를 검출하고 이에 따라서 반응 챔버 외부의 가열 램프를 제어할 수 있다. 더욱이 열전쌍이 스파이더(도14 참조)의 중심 허브를 통해 연장할 때 열전쌍은 열 팽창과 상관없이 회전 및 가열 주기 중에 웨이퍼 홀더(20c)가 계속 중심을 유지하도록 한다.
하부 가스 통로가 있는 웨이퍼 홀더
도33 내지 37은 하나 이상의 하부 가스 통로를 제공하는 웨이퍼 홀더를 예시 한다. 하부 가스 통로는 웨이퍼 픽업 중에 가스가 베이스 플레이트와 웨이퍼 사이의 갭으로 유입되고, 웨이퍼 낙하 중에는 갭에서 유출되도록 한다. 예시된 각각의 실시예에서,지지 링을 복수의 이격된 리프가 아닌, 원통형의 내면을 갖는 단일의 환상 리프를 구비한다. 환상 리프는 베이스 플레이트 위에서 웨이퍼를 이격 위치시킨다. 그러나 다른 배치에서, 유입되는 배면 가스가 이격된 리프 사이의 전면의 유입 가스와 결합하여 웨이퍼 픽업 및 낙하 중에 웨이퍼와 웨이퍼 홀더 사이에 적절한 가스 유입이 보장될 수 있음이 이해된다.
도33 내지 도35를 참조하면, 투피스 웨이퍼 홀더(20d)가 바람직한 제5 실시예에 따라 예시된다. 전술한 실시예와 마찬가지로, 웨이퍼 홀더(20d)는 바람직하게는 전술한 실시예에서와 같은 바람직한 재료, 즉 웨이퍼 홀더(20d)에 대해서는 SiC, 스파이더(미도시)에 대해서는 석영으로 이루어진다. 웨이퍼 홀더는 또 전술한 것처럼 바람직하게 작은 열 질량을 갖는다. 다양한 특징들이 전술한 실시예의 대응 특징과 실질적으로 동일하여 같은 특징을 개시하기 위해 같은 번호가 사용되었다. 비슷하지만 의미적으로 변경된 내용은 같은 번호에 "d"를 추가하였다. 이 외에도 제1 실시예와 유사하지 않은 새로운 내용에도 "d"를 추가하였다. 마찬가지로 도36에서 바람직한 제5 실시예의 변경되거나 또는 새로운 특징에 대한 번호에 "d"를 추가하였다.
도33 내지 도35를 참조하면, 배면으로부터 웨이퍼(16)의 전면을 효과적으로 밀봉하기 위해 바람직하게 한 개의 환상 리프(66d)가 제공된다. 이러한 구조에 의해 공정가스가 웨이퍼(16)의 전면으로부터 배면으로 확산되는 것이 효과적으로 방 지된다. 이러한 밀봉으로 보통 웨이퍼 픽업 중에 진공 효과가 생성된다. 그러나 예시된 실시예에서 환상 배면 통로(260d)(도35)가 링(52d)과 베이스 플레이트(50d) 사이에, 구체적으로는 링 계단(204d)과 베이스 플레이트 돌출부(205d) 사이에 마련된다. 공정 후에 웨이퍼가 픽업될 때 배면 통로(260d)를 통해 웨이퍼 홀더 밑으로부터 가스가 상향 유입되어, 진공 효과로 베이스 플레이트(50d)가 웨이퍼(16)에 붙는 것을 방지한다. 예를 들면 공정 중에 그리고 공정 후에 일반적으로 퍼지가스가 웨이퍼 홀더(20d) 밑에 존재한다. 배면 통로(260d)는 마찬가지로 웨이퍼 낙하 중에 갭으로부터 외부로(아래로) 가스가 유출되게 한다.
배면 통로(260d)는 예시된 실시예에서 링 계단(204d)의 상면(210d) 주위에 위치한 복수의 범프(262d)에 의해 마련된다. 바람직하게 베이스 플레이트(50d)를 수평으로 지지하기 위해 4개 이상의 범프(262d)가 제공되지만 범프의 숫자와 크기는 웨이퍼(16)가 베이스 플레이트(50d)와 상관없이 픽업되도록 통로(260d)를 통한 충분한 가스 유입을 허용할 만큼 작다. 바람직하게는 6개 이상의 범프가 제공되며, 도33의 평면도에는 12개의 범프(262d)가 예시되어있다. 링 계단(204d)과 베이스 플레이트 돌출부(205d)의 두께는 전술한 것처럼 베이스 플레이트(50d)와 웨이퍼(16) 사이의 틈을 유지하기 위한 구조적 강도의 요건을 충족하는 범위 내에서 조정될 수 있으며, 당해 기술의 숙련자는 이해할 것이다.
예시된 실시예의 배면 통로(260d)가 웨이퍼 픽업 중에 웨이퍼(16)와 웨이퍼 홀더(20d) 사이의 틈을 통해 가스가 유입되도록 허용하지만, 전면이 웨이퍼(16)와 환상 리프(66d)에 접촉함으로써 효과적으로 밀봉되기 때문에 가스는 공정 중에는 유입하지 않는 경향이 있다. 바람직한 리액터(10)가 웨이퍼 홀더(20d) 밑으로 대부분의 퍼지가스를 제공한다는 사실을 함께 고려하면, 가스 유입이 억제되면 웨이퍼의 배면에 닿으려는 증착가스(또는 다른 반응가스)의 가능성을 효과적으로 방지한다.
도35에 예시된 구조는 배면 통로(260d)를 계단형 유로로 제공하여 복사열이 램프에서 웨이퍼(16)로 직접 침투하지 못하게 하여 열점을 피할 수 있는 장점이 있다.
도36을 참조하면, 웨이퍼 홀더(20e)가 바람직한 제6 실시예에 따라 도시되어 있다. 하부 가스 통로는 웨이퍼 홀더(20e)의 바닥면으로부터 베이스 플레이트(50)와 웨이퍼(16) 사이의 틈으로 리드되는 적어도 하나의 도관(270e) 형태로 마련된다. 바람직하게 도관(270e)은 링(52e)의 바닥면에서 환상 리프(66d)의 측벽으로 유도된다. 전술한 실시예의 환상 배면 통로(260d)와 마찬가지로 도관(270e)은 웨이퍼가 들어올려질 때 틈을 채워서 베이스 플레이트(50e)가 웨이퍼와 함께 들어올려지게 하는 진공 효과를 감소시킬 수 있도록 한다. 마찬가지로, 도관(270e)은 또 웨이퍼 낙하 중에 웨이퍼(16)와 베이스 플레이트(50e) 사이의 틈으로 가스가 빠져 나가서 웨이퍼가 중심을 벗어나 유동하는 것을 막아준다.
바람직하게 3개의 도관(270e)이 마련되는데, 스파이더(22e) 포스트(64e)와 함께 정렬한다. 도관(270e) 각각은 중공형 스파이더 포스트(64e)에 연결되는데 적어도 웨이퍼 픽업 중에 그리고 바람직하게는 웨이퍼 낙하 중에 그곳을 통해 가스가 유동하게 한다. 바람직하게는 퍼지가스 라인이 중공형 스파이더(22e)에 연결되어 퍼지가스에 접근한다.
퍼지가스 라인은 가장 바람직하게는 퍼지가스를 미국 특허 제4,846,102호에 개시된 유형의 픽업 원드로 유입시키는 동일 가스 라인에 연결된다. 그러므로 바람직한 실시예에서 퍼지가스는 픽업 원드가 작동 중일때만 스파이더 포스트(64e)와 도관(270e)을 통과하고, 웨이퍼 공정 중에는 어느 가스도 틈으로 유입될 수 없다. 가스가 웨이퍼와 베이스 플레이트 사이의 틈으로 유입되는 것을 제한하기 위해 중공형 스파이더(22e)에 차단부재를 제공함으로써 가스 유입으로 인한 과도한 압력을 피할 수도 있다.
도관(270e)과 환상 리프(66e)와는 달리 웨이퍼 홀더(20e)는 바람직한 제2 실시예와 마찬가지 구성일 수 있다.
바람직하게 도관(270e)은 도시된 것처럼 내부로 기울어져 웨이퍼로 직접 가해지는 램프 복사를 피할 수 있다.
도37은 바람직한 제7 실시예에 따라 구성된 웨이퍼 홀더를 예시하며, 바람직한 제5 및 제6 실시예의 특징을 모두 반영한다. 도관(270e)과 환상 배면 통로(260d)를 함께 제공함으로써 웨이퍼 홀더(20f)는 웨이퍼 픽업 중에 더 빠른 속도로 가스를 틈으로 유입시킬 수 있다. 예를 들면 큰 표면적을 갖는 웨이퍼 픽업 중에 사용하기 위하여 또는 쉽게 웨이퍼와 함께 들릴 수 있는 초저질량 웨이퍼 홀더에 대하여 그러한 정도의 가스 량이 바람직하다.
고온 센터링 웨이퍼 지지체
도37, 도38을 참조하면, 두 개의 웨이퍼 지지 구조체(18g, 18h)가 각각 본 발명의 바람직한 제8 및 제9 실시예에 따라서 구성된 것이 개략적으로 묘사되어 있다. 바람직하게 웨이퍼 지지 구조체(18g, 18h)는 전술한 실시예에서와 동일한 바람직한 재료, 즉 웨이퍼 홀더(20g, 20h)에 대해서는 SiC, 각각의 스파이더(22b, 22h)에 대해서는 석영으로 이루어진다. 웨이퍼 홀더(20g)는 또 전술한 것처럼 작은 열 질량을 갖는 것이 바람직하다.
수많은 특징들이 전술한 실시예와 대응하는 특징과 실질적으로 동일하므로 동일한 특징을 기술하기 위해서 동일 번호가 사용되었다. 예를 들면 도38의 스파이더는 도26에 예시된 스파이더와 동일하므로, 참조번호 22b가 사용되었다. 비슷하지만 의미적으로 변경된 내용은 같은 번호에 "g, h"를 추가하였다. 이 외에도 제1 실시예와 유사하지 않은 새로운 내용에도 "g, h"를 추가하였다.
바람직한 제2 실시예처럼(도26, 도27), 도38과 도39의 실시예 각각은 고온에서의 자동조심 메커니즘을 도시한다. 제2 실시예와 도38, 도39의 중요한 차이는, 제8 및 제9 실시예는 냉온 센터링을 포함하지 않는다는 점, 베이스 플레이트(50g, 50h)와 링(52g, 52h)이 일체형이고, 링(52g, 52h)의 두께가 대응하는 베이스 플레이트(50g, 50h)의 두께와 실질적으로 같다는 점, 웨이퍼 홀더(20g, 20h)가 대응하는 스파이더(22b, 22h)와의 회전 결합을 도와주는 쐐기 홈을 포함하지 않는다는 점이다. 제8 및 제9 실시예의 공통인 이들 특징들은 각각 개별적으로 또는 공동으로 열 및 제작 관점에서 유리하다.
도38을 참조하면, 제8 실시예의 웨이퍼 홀더(20g)는 바람직하게 일체형이고 베이스 플레이트(50g)와 링(52g)이 실질적으로 동일한 두께를 갖고 있다. 전술한 실시예에서처럼, 베이스 플레이트 두께와 지지해야 할 웨이퍼 두께가 서로 비슷하다(예를 들면 200 ㎜ 웨이퍼에 대해서 약 0.0285 인치, 300 ㎜ 웨이퍼에 대해서는 약 0.0305 인치). 전이부(280g)는 일체형인 베이스 플레이트(50g)와 링(52g)을 연결시킨다. 전이부(280g)는 웨이퍼 포켓을 형성하는 환상 계단이다. 전술한 실시예의 리프와 마찬가지로 복수의 리프(66g)(바람직하게는 6개)가 베이스 플레이트(50g)로부터 일체형으로 연장되어 지지된 웨이퍼(16)와 베이스 플레이트(50g)의 상면(56g) 사이의 틈을 형성한다. 적당한 틈이 있는 웨이퍼 포켓을 형성하기 위하여, 전이부(280g)는 수직으로 (200 ㎜ 지지체에 대하여) 약 0.077 인치의 두께를 갖고 수직 전이 내벽(54g)(또 링(52g)의 내부 에지)과 수직 전이 외벽(229g)(또한 베이스 플레이트(50g)의 외부 에지)을 형성한다.
베이스 플레이트(50g)는 스파이더(22b), 특히 3개의 스파이더 포스트(64)에 의해 지지된다. 각 포스트(64b)의 팁은 포스트(64b)의 내면에 플랫폼(240b)을 포함한다. 웨이퍼 홀더(20g)의 베이스 플레이트(50g)는 플랫폼(240b) 위에 올려져 있다. 작동시 스파이더(22b)의 회전은 스파이더 포스트 플랫폼(240g)과 베이스 플레이트 하면 사이의 마찰에 의해 웨이퍼 홀더(20g)로 전달 또는 결합된다.
포스트(64b) 각각은 또한 고온 센터링면을 갖는 상향 연장부 또는 융기부를 포함한다. 특히, 포스트 융기부는 내부의 고온 센터링면(244b)을 갖는 센터링 쐐기(242b)를 포함한다. 도26의 실시예에서처럼 고온 센터링면(244b)은 바람직하게 스파이더(22b)(바람직하게는 석영)에 대한 베이스 플레이트(바람직하게는 SiC)의 차동 팽창 때문에, 시스템이 고온일 때(예를 들면 약 1,200℃) 웨이퍼 홀더(20g)의 수직 외벽(예를 들면 전이 외벽(229g))에 가까워지거나 아니면 접촉한다. 본 실시예에서 "가까와진다"라는 것은 스파이더(22b)의 센터링면이 수직 웨이퍼 홀더면의 약 0.020 인치 내에, 보다 바람직하게는 약 0.010 인치 내에 있어야 한다는 것을 의미한다. 적어도 3개의 서로 다른 스파이더 포스트(64b) 위의 적어도 3개의 고온 센터링면(244b)이 베이스 플레이트(50g) 주변에 분포되기 때문에 베이스 플레이트(50g)의 이동은 무시할 만한 정도로 한정된다.
바람직하게는, 도38의 실시예는 전술한 실시예에서의 장점 대부분을 포함하고 있다. 예를 들면 웨이퍼 홀더(20g)는 열 균일을 얻기가 매우 어려울 때 그리고 그것을 얻는 것이 가장 중요할 때 고온에서 자동조심한다. 이 외에 회전 결합도 균일한 열 효과를 촉진시킨다. 그러나 예시된 실시예는 (도26, 도27에서 도시된 쐐기 홈이 만들어내는 것과 같은) 더 적은 예리한 내부의 모서리와의 고온 센터링 및 회전 결합을 달성한다. 그러한 예리한 모서리는 열적, 전기적 및 물리적 스트레스를 집중하는 경향이 있다. 당연히 모서리 수가 줄어들면 제작이 간단해진다.
링(52g)과 베이스 플레이트(50g)의 두께의 균일성은 또 웨이퍼 홀더(20g)에 걸쳐 온도가 균일하게 해준다. 본질적으로 가열 중에 온도가 증가하는 속도는 웨이퍼 홀더(20g)의 두께로 나누어지는 복사를 수용하는 면적에 비례한다. 특정 열 공정 중에 온도가 균일하지 않으면 웨이퍼 홀더가 파손될 정도의 큰 스트레스를 일으킬 수 있다. 그러한 공정에 대하여는, 파손을 피하기 위한 균일한 두께가 더 두꺼운 링을 사용한 가장자리 손실 보상보다도 더 중요하다.
도39를 참조하면 바람직한 제9 실시예가 비슷한 장점을 제공한다. 도39의 실시예에서와 마찬가지로 웨이퍼 홀더(20g)는 일체형으로 형성되는 실질적으로 같은 두께의 베이스 플레이트(50h)와 링(52h)을 포함한다. 그러나 전이부(280h)는 완전히 수직이라기 보다는 외부로 기울어져 있다. 바람직하게 전이부(280h)는 링(52h)과 베이스 플레이트(50h) 사이에 약 30°와 60°사이의 각을 형성하고, 도39에서는 약 45°로 도시되어 있다. 전이부(280h)는 그러므로 환상의 경사진 전이 내벽(54h)과 외벽(229h) 사이에 g형성된다.
웨이퍼 홀더(20h)와 특히 베이스 플레이트(50h)가 마찰에 의해 스파이더(22h)와 회전 결합된 스파이더 포스트(64h)의 내부 플랫폼(240h)에 올려져 있다. 포스트 융기부(242h)는 경사진 고온 센터링면(244h)을 포함한다. 고온에서 웨이퍼 홀더(20h)는 스파이더(22h)에 대해 상대적으로 팽창한다. 홀더의 외벽(229h)은 포스트(64h)(바람직하게는 적어도 3개) 각각의 고온 센터링면(244h)과 접하여 웨이퍼 홀더(20h)(및 웨이퍼(16))가 긴밀하게 한정된 공간 내에서 중심을 유지하게 한다.
도39의 실시예는 도38의 장점과 비슷한 장점을 포함하고 있다. 이 이에도 경사진 전이부(280h)는 전이 영역의 유효 두께를 줄여준다. 예를 들면 제8 및 제9 실시예의 같은 웨이퍼 홀더와 비교하면 도38의 수직 전이부(280g)는 약 0.077˝의 두께를 갖는 반면에 도39의 경사진 전이부(280h)는 약 0.040˝의 두께를 갖는다. 따라서 제9 실시예는 고온 공정 중에 열 불균일성을 덜 겪고 파손의 가능성도 줄어든다. 더욱이 각도는 모서리의 예리함과 이에 따른 스트레스를 줄여준다.
이상에서는 본 발명의 바람직한 예제에 대해서 설명하였으나 본 발명은 상술 한 특정의 바람직한 실시예에 한정되지 아니하며, 청구범위에서 청구하는 본 발명의 요지를 벗어남이 없이 당해 발명이 속하는 기술 분야에서 통상의 지식을 가진 자라면 누구든지 다양한 변형 실시가 가능한 것을 물론이고, 그와 같은 변경은 청구범위 내에 있게 된다.

Claims (95)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
  59. 삭제
  60. 삭제
  61. 삭제
  62. 삭제
  63. 삭제
  64. 삭제
  65. 삭제
  66. 삭제
  67. 삭제
  68. 삭제
  69. 삭제
  70. 삭제
  71. 삭제
  72. 공정 리액터 내에서 기판을 지지하기 위한 것이며, 상기 기판의 열 질량보다 5배 미만의 열 질량을 가지며, 상면 및 하면을 갖는 저 질량 웨이퍼 홀더에 있어서,
    상기 기판과 상기 상면 사이에 일정한 갭을 두고 상기 기판을 주변에서 지지하도록 상기 상면 위에 균일한 높이로 상향 연장되며, 상기 기판의 주변가장자리로부터 안쪽을 향해 상기 기판 밑으로 5mm를 넘지않도록 연장되는 적어도 하나의 스페이서와;
    상면을 가지며 또한 내벽이 있는 환상 링을 가지는 베이스 플레이트를 포함하며;
    상기 링 내벽은 상기 웨이퍼 홀더 상면 위로 연장되고, 상기 기판보다 더 큰 직경을 갖는 기판 포켓을 형성하며;
    상기 링은 상기 링 내벽의 방사상 방향 안쪽 아래에 위치하는 링 선반을 포함하며;
    상기 웨이퍼 홀더 상면은 상기 베이스 플레이트 상면을 포함하는 것을 특징으로 하는 웨이퍼 홀더.
  73. 제72항에 있어서,
    상기 적어도 하나의 스페이서는 상기 링 선반과 일체형으로 형성되는 복수의 리프를 포함하는 것을 특징으로 하는 웨이퍼 홀더.
  74. 공정 리액터 내에서 기판을 지지하기 위한 것이며, 상기 기판의 열 질량보다 5배 미만의 열 질량을 가지며, 상면 및 하면을 갖는 저 질량 웨이퍼 홀더에 있어서,
    상기 기판과 상기 상면 사이에 일정한 갭을 두고 상기 기판을 주변에서 지지하도록 상기 상면 위에 균일한 높이로 상향 연장되며, 상기 기판의 주변가장자리로부터 안쪽을 향해 상기 기판 밑으로 5mm를 넘지않도록 연장되는 적어도 하나의 스페이서와;
    상면을 가지며 또한 내벽이 있는 환상 링을 가지는 베이스 플레이트를 포함하며;
    상기 링 내벽은 상기 웨이퍼 홀더 상면 위로 연장되고 상기 기판보다 더 큰 직경을 갖는 기판 포켓을 생성하며;
    상기 적어도 하나의 스페이서는 복수의 리프를 포함하고;
    상기 리프 각각은 내면, 상기 내면보다 더 넓은 리프 베이스, 및 상기 내면과 상기 리프 베이스 사이로 연장하는 한쌍의 리프 측벽을 포함하는 것을 특징으로 하는 웨이퍼 홀더.
  75. 제74항에 있어서,
    상기 리프 베이스가 상기 링 내벽과 일체형인 것을 특징으로 하는 웨이퍼 홀더.
  76. 삭제
  77. 삭제
  78. 삭제
  79. 삭제
  80. 삭제
  81. 삭제
  82. 삭제
  83. 공정 리액터 내에서 기판을 지지하기 위한 것이며, 상기 기판의 열 질량보다 5배 미만의 열 질량을 가지며, 상면 및 하면을 갖는 저 질량 웨이퍼 홀더에 있어서,
    상기 기판과 상기 상면 사이에 일정한 갭을 두고 상기 기판을 주변에서 지지하도록 상기 상면 위에 균일한 높이로 상향 연장되며, 상기 기판의 주변가장자리로부터 안쪽을 향해 상기 기판 밑으로 5mm를 넘지않도록 연장되는 적어도 하나의 스페이서를 포함하며;
    상기 적어도 하나의 스페이서는 상기 베이스 플레이트 위에서 상기 기판을 주변에서 지지하기 위한 환상 리프를 포함하며, 상기 환상 리프는 상기 기판과 상기 베이스 플레이트 사이에 갭을 한정하는 내면을 가지며;
    상기 웨이퍼 홀더는 상기 웨이퍼 홀더의 저면으로부터 상기 기판과 상기 베이스 플레이트 사이의 갭으로 연통하는 가스 통로를 더 포함하며;
    상기 환상 리프는 링으로부터 안쪽으로 일체로 연장되고, 상기 베이스 플레이트는 상기 링 위의 복수의 범프에 지지되며, 상기 가스 통로는 상기 베이스 플레이트와 상기 링 사이의 환상 개구부를 포함하는 것을 특징으로 하는 웨이퍼 홀더.
  84. 공정 리액터 내에서 기판을 지지하기 위한 것이며, 상기 기판의 열 질량보다 5배 미만의 열 질량을 가지며, 상면 및 하면을 갖는 저 질량 웨이퍼 홀더에 있어서,
    상기 기판과 상기 상면 사이에 일정한 갭을 두고 상기 기판을 주변에서 지지하도록 상기 상면 위에 균일한 높이로 상향 연장되며, 상기 기판의 주변가장자리로부터 안쪽을 향해 상기 기판 밑으로 5mm를 넘지않도록 연장되는 적어도 하나의 스페이서를 포함하며;
    상기 적어도 하나의 스페이서는 상기 베이스 플레이트 위에서 상기 기판을 주변에서 지지하기 위한 환상 리프를 포함하며, 상기 환상 리프는 상기 기판과 상기 베이스 플레이트 사이에 갭을 한정하는 내면을 가지며;
    상기 웨이퍼 홀더는 상기 웨이퍼 홀더의 저면으로부터 상기 기판과 상기 베이스 플레이트 사이의 갭으로 연통하는 가스 통로를 더 포함하며;
    상기 가스 통로는 상기 환상 리프를 통과하여 소정 각도로 안쪽 상향으로 연장하는 적어도 하나의 도관을 포함하는 것을 특징으로 하는 웨이퍼 홀더.
  85. 제84항에 있어서,
    상기 가스 통로는 복수의 도관을 포함하고, 상기 도관의 개구부는 지지 스파이더의 복수의 중공형 지지 포스트와 정렬되도록 이격된 것을 특징으로 하는 웨이퍼 홀더.
  86. 기판을 처리하기 위한 반도체 리액터에 있어서,
    반응 챔버;
    복수의 열원; 및
    제2 온도에서는 물론 제1 온도에서 중심을 잡아 균형을 이루는 자동조심 매엽식 웨이퍼 지지 구조체를 포함하고;
    상기 지지 구조체가,
    바닥면에 적어도 하나의 홈을 포함하며, 제1 열 팽창 계수를 가지며, 상기 웨이퍼를 그 주변에서 직접 지지하기 위한 웨이퍼 홀더, 및
    상기 제1 계수와는 다른 제2 열 팽창 계수를 가지며, 상기 웨이퍼 홀더의 홈과 결합하는 적어도 3개의 지지 포스트를 가지며, 상기 웨이퍼 홀더를 지지하기 위한 지지 스파이더를 포함하며,
    상기 웨이퍼 홀더는 상기 웨이퍼 홀더와 상기 스파이더가 열 팽창으로 인해 서로에 대해 움직일 때 상기 웨이퍼 홀더가 상기 스파이더에서 유지되도록 상기 지지 포스트들의 상단과 접촉하고 있는 뾰족한 단면을 가지는 홈들을 가지는 것을 특징으로 하는 반도체 리액터.
  87. 제86항에 있어서,
    상기 웨이퍼 홀더가 본질적으로 실리콘 카바이드로 구성되는 것을 특징으로 하는 반도체 리액터.
  88. 제86항에 있어서,
    상기 홈이 웨이퍼 홀더 바닥면 주위에서 서로 등각의 거리로 배치된 상기 스파이더의 지지 포스트에 대응하는 적어도 3개의 방사상 그루브를 포함하는 것을 특징으로 하는 반도체 리액터.
  89. 제88항에 있어서,
    상기 방사상 그루브 각각은 그루브 개구부를 한정하는 한쌍의 방사상 방향의 평탄면을 포함하고, 상기 지지 포스트 각각이 상기 그루브 개구부 내에 맞물리는 크기의 곡면 단부를 포함하는 것을 특징으로 하는 반도체 리액터.
  90. 제89항에 있어서,
    상기 지지 스파이더는 회전가능한 축에 연결된 스파이더 중심 허브에 연결되고, 상기 중앙 허브와 등거리인 3개의 포스트를 포함하는 것을 특징으로 하는 반도체 리액터.
  91. 제86항에 있어서,
    상기 웨이퍼 홀더는 원반형 베이스 플레이트와 독립 환상 링을 포함하는 것을 특징으로 하는 반도체 리액터.
  92. 제91항에 있어서,
    상기 링이 상기 베이스 플레이트를 지지하고, 상기 홈이 상기 링의 저면에 형성된 적어도 3개의 방사상 그루브를 포함하는 것을 특징으로 하는 반도체 리액터.
  93. 제91항에 있어서,
    상기 베이스 플레이트는 상기 링을 지지하고, 상기 홈은 베이스 플레이트 외벽과 링 내벽 사이에 형성되고, 지지 포스트 각각은 방사상 방향 외면과 방사상 방향 내면을 갖는 상향 돌출 쐐기를 포함하고, 상기 각 지지 포스트의 쐐기 외면은 제1 온도에서 상기 링 내벽 가까이 위치하고, 상기 각 지지 포스트의 쐐기 내면은 제2 온도에서 상기 베이스 플레이트 외벽 가까이 위치한 것을 특징으로 하는 반도체 리액터.
  94. 제93항에 있어서,
    상기 홈이 베이스 플레이트 주변 에지에 의해 한정되는 환상 그루브, 수직 그루브 내벽 및 상기 그루브 내벽으로부터 안쪽으로 방사상 방향으로 연장하는 환상 링 돌출부를 포함하는 것을 특징으로 하는 반도체 리액터.
  95. 제94항에 있어서,
    상기 홈이 상기 지지 포스트에 대응하는 적어도 3개의 쐐기 홈을 더 포함하며, 상기 쐐기 홈은 상기 환상 그루브로부터 바깥쪽으로 방사상 방향으로 연장하고, 상기 링 내벽은 상기 쐐기 홈 후벽을 포함하고, 상기 베이스 플레이트 외벽은 상기 베이스 플레이트 주변 에지를 포함하는 것을 특징으로 하는 반도체 리액터.
KR1020007004778A 1997-11-03 1998-11-02 개량된 저질량 웨이퍼 지지 시스템 KR100660416B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US6401697P 1997-11-03 1997-11-03
US60/064,016 1997-11-03
PCT/US1998/023324 WO1999023691A2 (en) 1997-11-03 1998-11-02 Improved low mass wafer support system

Publications (2)

Publication Number Publication Date
KR20010031715A KR20010031715A (ko) 2001-04-16
KR100660416B1 true KR100660416B1 (ko) 2006-12-22

Family

ID=22053008

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007004778A KR100660416B1 (ko) 1997-11-03 1998-11-02 개량된 저질량 웨이퍼 지지 시스템

Country Status (6)

Country Link
US (3) US6454865B1 (ko)
EP (1) EP1036406B1 (ko)
JP (1) JP2001522142A (ko)
KR (1) KR100660416B1 (ko)
DE (1) DE69813014T2 (ko)
WO (1) WO1999023691A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180098704A (ko) * 2008-10-31 2018-09-04 에이에스엠 아메리카, 인코포레이티드 자가 중심설정 서셉터 링 조립체
KR20190026898A (ko) * 2016-07-13 2019-03-13 실트로닉 아게 에피택시 반응기에서의 반도체 웨이퍼를 취급하는 장치 및 에피택셜 층을 갖는 반도체 웨이퍼를 제조하는 방법

Families Citing this family (427)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US6026589A (en) * 1998-02-02 2000-02-22 Silicon Valley Group, Thermal Systems Llc Wafer carrier and semiconductor apparatus for processing a semiconductor substrate
JP3076791B2 (ja) * 1998-10-19 2000-08-14 アプライド マテリアルズ インコーポレイテッド 半導体製造装置
US6143079A (en) * 1998-11-19 2000-11-07 Asm America, Inc. Compact process chamber for improved process uniformity
US6537011B1 (en) 2000-03-10 2003-03-25 Applied Materials, Inc. Method and apparatus for transferring and supporting a substrate
US6692219B2 (en) 2000-11-29 2004-02-17 Tokyo Electron Limited Reduced edge contact wafer handling system and method of retrofitting and using same
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
JP4323764B2 (ja) * 2002-07-16 2009-09-02 大日本スクリーン製造株式会社 熱処理装置
US6861321B2 (en) 2002-04-05 2005-03-01 Asm America, Inc. Method of loading a wafer onto a wafer holder to reduce thermal shock
JP3908112B2 (ja) * 2002-07-29 2007-04-25 Sumco Techxiv株式会社 サセプタ、エピタキシャルウェーハ製造装置及びエピタキシャルウェーハ製造方法
US7704327B2 (en) * 2002-09-30 2010-04-27 Applied Materials, Inc. High temperature anneal with improved substrate support
CN1729554B (zh) 2002-12-20 2014-05-07 马特森技术有限公司 用来支撑工件和用来热处理工件的方法和系统
DE10261362B8 (de) * 2002-12-30 2008-08-28 Osram Opto Semiconductors Gmbh Substrat-Halter
US6885206B2 (en) * 2003-02-11 2005-04-26 Strasbaugh Device for supporting thin semiconductor wafers
US7077973B2 (en) 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
ATE514801T1 (de) * 2003-08-01 2011-07-15 Sgl Carbon Se Halter zum tragen von wafern während der halbleiterherstellung
JP4441222B2 (ja) * 2003-08-29 2010-03-31 出光興産株式会社 塩素化プロピレン系重合体及びその製造方法、並びにその用途
US7128806B2 (en) 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
TWI365519B (en) * 2003-12-19 2012-06-01 Mattson Tech Canada Inc Apparatuses and methods for suppressing thermally induced motion of a workpiece
WO2005081283A2 (en) * 2004-02-13 2005-09-01 Asm America, Inc. Substrate support system for reduced autodoping and backside deposition
JPWO2005111266A1 (ja) * 2004-05-18 2008-03-27 株式会社Sumco 気相成長装置用サセプタ
GB2414858A (en) * 2004-06-03 2005-12-07 Nanobeam Ltd A workpiece or specimen support assembly for a charged particle beam system
ES2294537T3 (es) * 2004-07-30 2008-04-01 Lpe Spa Reactor epitaxial con posicionamiento controlado por susceptor.
US7396022B1 (en) * 2004-09-28 2008-07-08 Kla-Tencor Technologies Corp. System and method for optimizing wafer flatness at high rotational speeds
JP2006124758A (ja) * 2004-10-27 2006-05-18 Komatsu Electronic Metals Co Ltd サセプタ、エピタキシャルウェーハの製造装置、およびエピタキシャルウェーハの製造方法
US8052030B2 (en) * 2005-01-24 2011-11-08 The Boeing Company Apparatus for friction stir welding using spindle-in-spindle
TWI286796B (en) * 2004-12-14 2007-09-11 Sez Ag Apparatus and method for drying disk-shaped substrates
TWI297908B (en) * 2005-03-16 2008-06-11 Ngk Insulators Ltd Processing device
US7745762B2 (en) * 2005-06-01 2010-06-29 Mattson Technology, Inc. Optimizing the thermal budget during a pulsed heating process
TWI327339B (en) * 2005-07-29 2010-07-11 Nuflare Technology Inc Vapor phase growing apparatus and vapor phase growing method
JP2007042909A (ja) * 2005-08-04 2007-02-15 Sumitomo Electric Ind Ltd ウェハ保持体およびそれを搭載したウェハプローバ
TWI327761B (en) * 2005-10-07 2010-07-21 Rohm & Haas Elect Mat Method for making semiconductor wafer and wafer holding article
JP5065660B2 (ja) * 2005-12-02 2012-11-07 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 半導体処理
US8603248B2 (en) * 2006-02-10 2013-12-10 Veeco Instruments Inc. System and method for varying wafer surface temperature via wafer-carrier temperature offset
JP4868503B2 (ja) * 2006-03-30 2012-02-01 Sumco Techxiv株式会社 エピタキシャルウェーハの製造方法
SG174771A1 (en) * 2006-06-09 2011-10-28 Soitec Silicon On Insulator High volume delivery system for gallium trichloride
CN101479840B (zh) * 2006-06-30 2010-12-22 Memc电子材料有限公司 晶片平台
US8852349B2 (en) * 2006-09-15 2014-10-07 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects
US8951351B2 (en) * 2006-09-15 2015-02-10 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced backside deposition and defects
US8545628B2 (en) * 2006-11-22 2013-10-01 Soitec Temperature-controlled purge gate valve for chemical vapor deposition chamber
US9481944B2 (en) 2006-11-22 2016-11-01 Soitec Gas injectors including a funnel- or wedge-shaped channel for chemical vapor deposition (CVD) systems and CVD systems with the same
US20090223441A1 (en) * 2006-11-22 2009-09-10 Chantal Arena High volume delivery system for gallium trichloride
JP5656184B2 (ja) 2006-11-22 2015-01-21 ソイテック 三塩化ガリウムの噴射方式
KR101379410B1 (ko) 2006-11-22 2014-04-11 소이텍 3-5족 반도체 재료들의 대량생산을 위한 설비
JP5575482B2 (ja) * 2006-11-22 2014-08-20 ソイテック 単結晶iii−v族半導体材料のエピタキシャル堆積法、及び堆積システム
US9481943B2 (en) 2006-11-22 2016-11-01 Soitec Gallium trichloride injection scheme
WO2008064077A2 (en) * 2006-11-22 2008-05-29 S.O.I.Tec Silicon On Insulator Technologies Methods for high volume manufacture of group iii-v semiconductor materials
EP2109517B8 (en) * 2007-02-01 2012-03-21 Conex Universal Limited Insertion and release tool for pipe fitting arrangement and method using such tool
US8057602B2 (en) * 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US8057601B2 (en) * 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US7874726B2 (en) * 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US20080314319A1 (en) * 2007-06-19 2008-12-25 Memc Electronic Materials, Inc. Susceptor for improving throughput and reducing wafer damage
US20090025636A1 (en) * 2007-07-27 2009-01-29 Applied Materials, Inc. High profile minimum contact process kit for hdp-cvd application
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
JP5038073B2 (ja) * 2007-09-11 2012-10-03 株式会社ニューフレアテクノロジー 半導体製造装置および半導体製造方法
FR2923946A1 (fr) * 2007-11-21 2009-05-22 Alcatel Lucent Sas Equipement pour la fabrication de semi-conducteurs, dispositif de pompage et porte-substrat correspondant
US20100180913A1 (en) * 2007-12-20 2010-07-22 Chantal Arena Methods for in-situ chamber cleaning process for high volume manufacture of semiconductor materials
US20090165721A1 (en) * 2007-12-27 2009-07-02 Memc Electronic Materials, Inc. Susceptor with Support Bosses
US8404049B2 (en) 2007-12-27 2013-03-26 Memc Electronic Materials, Inc. Epitaxial barrel susceptor having improved thickness uniformity
JP5156446B2 (ja) * 2008-03-21 2013-03-06 株式会社Sumco 気相成長装置用サセプタ
JP2009270143A (ja) * 2008-05-02 2009-11-19 Nuflare Technology Inc サセプタ、半導体製造装置及び半導体製造方法
TWI476836B (zh) * 2008-05-02 2015-03-11 Applied Materials Inc 用於旋轉基板之非徑向溫度控制系統
US20090280248A1 (en) * 2008-05-06 2009-11-12 Asm America, Inc. Porous substrate holder with thinned portions
DE102008023054B4 (de) * 2008-05-09 2011-12-22 Siltronic Ag Verfahren zur Herstellung einer epitaxierten Halbleiterscheibe
KR101610269B1 (ko) 2008-05-16 2016-04-07 맷슨 테크놀로지, 인크. 워크피스 파손 방지 방법 및 장치
US7946762B2 (en) * 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
KR101294129B1 (ko) * 2008-08-29 2013-08-07 비코 인스트루먼츠 인코포레이티드 가변 열 저항을 가진 웨이퍼 캐리어
US8287650B2 (en) 2008-09-10 2012-10-16 Applied Materials, Inc. Low sloped edge ring for plasma processing chamber
US20100098519A1 (en) * 2008-10-17 2010-04-22 Memc Electronic Materials, Inc. Support for a semiconductor wafer in a high temperature environment
US8209833B2 (en) * 2008-11-07 2012-07-03 Tokyo Electron Limited Thermal processing system and method of using
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8262287B2 (en) * 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8100583B2 (en) * 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
US9297705B2 (en) 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8382370B2 (en) 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5359698B2 (ja) * 2009-08-31 2013-12-04 豊田合成株式会社 化合物半導体の製造装置、化合物半導体の製造方法及び化合物半導体
JP5038381B2 (ja) * 2009-11-20 2012-10-03 株式会社東芝 サセプタおよび成膜装置
KR20120131194A (ko) 2010-02-24 2012-12-04 비코 인스트루먼츠 인코포레이티드 온도 분포 제어를 이용한 처리 방법 및 장치
JP5604907B2 (ja) * 2010-02-25 2014-10-15 信越半導体株式会社 気相成長用半導体基板支持サセプタおよびエピタキシャルウェーハ製造装置およびエピタキシャルウェーハの製造方法
US8920564B2 (en) * 2010-07-02 2014-12-30 Applied Materials, Inc. Methods and apparatus for thermal based substrate processing with variable temperature capability
JP5707766B2 (ja) * 2010-07-28 2015-04-30 住友電気工業株式会社 サセプタおよび半導体製造装置
US8591700B2 (en) 2010-08-19 2013-11-26 Stmicroelectronics Pte Ltd. Susceptor support system
SG187625A1 (en) * 2010-08-20 2013-03-28 Applied Materials Inc Extended life deposition ring
JP5477314B2 (ja) * 2011-03-04 2014-04-23 信越半導体株式会社 サセプタ及びこれを用いたエピタキシャルウェーハの製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20120318455A1 (en) * 2011-06-14 2012-12-20 Andreas Fischer Passive compensation for temperature-dependent wafer gap changes in plasma processing systems
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130025538A1 (en) * 2011-07-27 2013-01-31 Applied Materials, Inc. Methods and apparatus for deposition processes
WO2013022892A1 (en) * 2011-08-11 2013-02-14 Nikon Corporation Intermittent temperature control of movable optical elements
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9099514B2 (en) * 2012-03-21 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer holder with tapered region
US9960059B2 (en) * 2012-03-30 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Honeycomb heaters for integrated circuit manufacturing
US10316412B2 (en) 2012-04-18 2019-06-11 Veeco Instruments Inc. Wafter carrier for chemical vapor deposition systems
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
JP5513578B2 (ja) * 2012-10-05 2014-06-04 株式会社ニューフレアテクノロジー サセプタ、半導体製造装置及び半導体製造方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWM464809U (zh) * 2012-10-20 2013-11-01 Applied Materials Inc 聚焦環節段與元件
KR101923050B1 (ko) 2012-10-24 2018-11-29 어플라이드 머티어리얼스, 인코포레이티드 급속 열 처리를 위한 최소 접촉 에지 링
CN102983093B (zh) * 2012-12-03 2016-04-20 安徽三安光电有限公司 一种用于led外延晶圆制程的石墨承载盘
US9589818B2 (en) * 2012-12-20 2017-03-07 Lam Research Ag Apparatus for liquid treatment of wafer shaped articles and liquid control ring for use in same
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9123765B2 (en) 2013-03-11 2015-09-01 Applied Materials, Inc. Susceptor support shaft for improved wafer temperature uniformity and process repeatability
US9273413B2 (en) 2013-03-14 2016-03-01 Veeco Instruments Inc. Wafer carrier with temperature distribution control
KR101819095B1 (ko) 2013-03-15 2018-01-16 어플라이드 머티어리얼스, 인코포레이티드 Epi 프로세스를 위한 균일성 튜닝 렌즈를 갖는 서셉터 지지 샤프트
US10167571B2 (en) 2013-03-15 2019-01-01 Veeco Instruments Inc. Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
USD766849S1 (en) * 2013-05-15 2016-09-20 Ebara Corporation Substrate retaining ring
CN111180305A (zh) * 2013-06-26 2020-05-19 应用材料公司 在icp等离子体处理腔室中用于高产出、衬底极端边缘缺陷减少的单环设计
US9275868B2 (en) 2013-07-19 2016-03-01 Globalfoundries Inc. Uniform roughness on backside of a wafer
DE102013012082A1 (de) * 2013-07-22 2015-01-22 Aixtron Se Vorrichtung zum thermischen Behandeln eines Halbleitersubstrates, insbesondere zum Aufbringen einer Beschichtung
US20150037019A1 (en) * 2013-08-02 2015-02-05 Applied Materials, Inc. Susceptor support shaft and kinematic mount
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9330955B2 (en) 2013-12-31 2016-05-03 Applied Materials, Inc. Support ring with masked edge
WO2015112969A1 (en) 2014-01-27 2015-07-30 Veeco Instruments. Inc. Wafer carrier having retention pockets with compound radii for chemical vapor deposition systems
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR20220025146A (ko) * 2014-05-21 2022-03-03 어플라이드 머티어리얼스, 인코포레이티드 열 처리 서셉터
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9517539B2 (en) * 2014-08-28 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer susceptor with improved thermal characteristics
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10269614B2 (en) * 2014-11-12 2019-04-23 Applied Materials, Inc. Susceptor design to reduce edge thermal peak
US10242848B2 (en) 2014-12-12 2019-03-26 Lam Research Corporation Carrier ring structure and chamber systems including the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
EP3275008B1 (en) 2015-03-25 2022-02-23 Applied Materials, Inc. Chamber components for epitaxial growth apparatus
US10438795B2 (en) * 2015-06-22 2019-10-08 Veeco Instruments, Inc. Self-centering wafer carrier system for chemical vapor deposition
WO2016209647A1 (en) * 2015-06-22 2016-12-29 Veeco Instruments, Inc. Self-centering wafer carrier system for chemical vapor deposition
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US20170032992A1 (en) * 2015-07-31 2017-02-02 Infineon Technologies Ag Substrate carrier, a method and a processing device
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
WO2017062141A1 (en) * 2015-10-04 2017-04-13 Applied Materials, Inc. Substrate support and baffle apparatus
USD810705S1 (en) 2016-04-01 2018-02-20 Veeco Instruments Inc. Self-centering wafer carrier for chemical vapor deposition
USD819580S1 (en) 2016-04-01 2018-06-05 Veeco Instruments, Inc. Self-centering wafer carrier for chemical vapor deposition
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
USD785578S1 (en) * 2016-03-22 2017-05-02 Asm Ip Holding B.V. Substrate supporting arm for semiconductor manufacturing apparatus
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN108886014B (zh) * 2016-03-28 2023-08-08 应用材料公司 基座支撑件
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US20170353994A1 (en) * 2016-06-06 2017-12-07 Applied Materials, Inc. Self-centering pedestal heater
JP6192773B1 (ja) * 2016-06-08 2017-09-06 株式会社ソディック 金属表面改質装置
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9698042B1 (en) 2016-07-22 2017-07-04 Lam Research Corporation Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP2018026503A (ja) * 2016-08-12 2018-02-15 株式会社Sumco サセプタ、エピタキシャル成長装置、及びエピタキシャルウェーハの製造方法
DE102016115614A1 (de) * 2016-08-23 2018-03-01 Aixtron Se Suszeptor für einen CVD-Reaktor
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US20180102247A1 (en) * 2016-10-06 2018-04-12 Asm Ip Holding B.V. Substrate processing apparatus and method of manufacturing semiconductor device
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10777442B2 (en) * 2016-11-18 2020-09-15 Applied Materials, Inc. Hybrid substrate carrier
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN110520553A (zh) * 2017-02-28 2019-11-29 西格里碳素欧洲公司 基板-载体结构
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
USD876504S1 (en) * 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
KR102417931B1 (ko) * 2017-05-30 2022-07-06 에이에스엠 아이피 홀딩 비.브이. 기판 지지 장치 및 이를 포함하는 기판 처리 장치
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11201079B2 (en) * 2018-05-30 2021-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer chuck
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
KR20210111872A (ko) 2018-08-13 2021-09-13 램 리써치 코포레이션 에지 링 포지셔닝 및 센터링 피처들을 포함하는 플라즈마 시스 튜닝을 위한 교체가능한 에지 링 어셈블리 및/또는 접을 수 있는 에지 링 어셈블리
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7035996B2 (ja) * 2018-12-25 2022-03-15 株式会社Sumco 気相成長装置およびエピタキシャルシリコンウェーハの製造方法
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
TW202110587A (zh) 2019-05-22 2021-03-16 荷蘭商Asm Ip 控股公司 工件基座主體及用於沖洗工件基座的方法
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11217474B2 (en) * 2019-12-06 2022-01-04 Government Of The United States Of America, As Represented By The Secretary Of Commerce Non-vacuum, non-contact spinner wafer chuck
CN111128845B (zh) * 2019-12-16 2022-10-21 北京北方华创微电子装备有限公司 应用于薄膜沉积装置的托盘
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP7400461B2 (ja) * 2019-12-26 2023-12-19 株式会社レゾナック サセプタ
US11791192B2 (en) * 2020-01-19 2023-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Workpiece holder, wafer chuck, wafer holding method
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
CN111690911B (zh) * 2020-06-30 2023-07-25 通威太阳能(金堂)有限公司 承载装置
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN112201610A (zh) * 2020-09-30 2021-01-08 南京华易泰电子科技有限公司 一种非接触式晶片支撑装置
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20220364263A1 (en) * 2021-05-12 2022-11-17 Applied Materials, Inc. Low mass substrate support
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023154190A1 (en) * 2022-02-14 2023-08-17 Applied Materials, Inc. Vacuum chucking of a substrate within a carrier

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1997008743A1 (en) * 1995-08-22 1997-03-06 Advanced Semiconductor Materials America, Inc. Low mass susceptor
US5620525A (en) * 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3407783A (en) 1964-08-31 1968-10-29 Emil R. Capita Vapor deposition apparatus
US3549847A (en) 1967-04-18 1970-12-22 Gen Electric Graphite susceptor
JPS4930319B1 (ko) 1969-08-29 1974-08-12
US4522149A (en) 1983-11-21 1985-06-11 General Instrument Corp. Reactor and susceptor for chemical vapor deposition process
US4560420A (en) 1984-06-13 1985-12-24 At&T Technologies, Inc. Method for reducing temperature variations across a semiconductor wafer during heating
JPS6169116A (ja) 1984-09-13 1986-04-09 Toshiba Ceramics Co Ltd シリコンウエハ−の連続cvdコ−テイング用サセプター
NL8602356A (nl) 1985-10-07 1987-05-04 Epsilon Ltd Partnership Inrichting en werkwijze voor een axiaal symmetrische reactor voor het chemische uit damp neerslaan.
US5200157A (en) 1986-02-17 1993-04-06 Toshiba Ceramics Co., Ltd. Susceptor for vapor-growth deposition
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPS63186422A (ja) 1987-01-28 1988-08-02 Tadahiro Omi ウエハサセプタ装置
US4978567A (en) 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
KR0155545B1 (ko) 1988-06-27 1998-12-01 고다까 토시오 기판의 열처리 장치
US5306699A (en) 1988-08-31 1994-04-26 Superconductor Technologies, Inc. Reactor vessel for manufacture of superconducting films
JP2731855B2 (ja) 1989-02-14 1998-03-25 アネルバ株式会社 減圧気相成長装置
US5156820A (en) 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US5119540A (en) 1990-07-24 1992-06-09 Cree Research, Inc. Apparatus for eliminating residual nitrogen contamination in epitaxial layers of silicon carbide and resulting product
US4990374A (en) 1989-11-28 1991-02-05 Cvd Incorporated Selective area chemical vapor deposition
US5108792A (en) 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
DE69126724T2 (de) * 1990-03-19 1998-01-15 Toshiba Kawasaki Kk Vorrichtung zur Dampfphasenabscheidung
US5098198A (en) * 1990-04-19 1992-03-24 Applied Materials, Inc. Wafer heating and monitor module and method of operation
US5094885A (en) 1990-10-12 1992-03-10 Genus, Inc. Differential pressure cvd chuck
US5121531A (en) 1990-07-06 1992-06-16 Applied Materials, Inc. Refractory susceptors for epitaxial deposition apparatus
US5298465A (en) 1990-08-16 1994-03-29 Applied Materials, Inc. Plasma etching system
US5044943A (en) 1990-08-16 1991-09-03 Applied Materials, Inc. Spoked susceptor support for enhanced thermal uniformity of susceptor in semiconductor wafer processing apparatus
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5356486A (en) 1991-03-04 1994-10-18 Applied Materials, Inc. Combined wafer support and temperature monitoring device
US5199483A (en) 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US5393349A (en) 1991-08-16 1995-02-28 Tokyo Electron Sagami Kabushiki Kaisha Semiconductor wafer processing apparatus
US5332442A (en) 1991-11-15 1994-07-26 Tokyo Electron Kabushiki Kaisha Surface processing apparatus
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5308645A (en) 1992-08-07 1994-05-03 Delco Electronics Corporation Method and apparatus for through hole substrate printing
US5803977A (en) 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
US5343938A (en) 1992-12-24 1994-09-06 Vlsi Technology, Inc. Method and apparatus for thermally insulating a wafer support
EP0606751B1 (en) * 1993-01-13 2002-03-06 Applied Materials, Inc. Method for depositing polysilicon films having improved uniformity and apparatus therefor
US5444217A (en) 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
EP0611273B1 (de) 1993-02-08 1998-09-16 SEZ Semiconductor-Equipment Zubehör für die Halbleiterfertigung AG Träger für scheibenförmige Gegenstände
US5421893A (en) * 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
NL9300389A (nl) 1993-03-04 1994-10-03 Xycarb Bv Substraatdrager.
US5738165A (en) 1993-05-07 1998-04-14 Nikon Corporation Substrate holding apparatus
JPH0711446A (ja) 1993-05-27 1995-01-13 Applied Materials Inc 気相成長用サセプタ装置
DE69404397T2 (de) * 1993-07-13 1997-11-13 Applied Materials Inc Verbesserte Suszeptor Ausführung
JPH0758039A (ja) 1993-08-20 1995-03-03 Toshiba Ceramics Co Ltd サセプタ
US5549756A (en) 1994-02-02 1996-08-27 Applied Materials, Inc. Optical pyrometer for a thin film deposition system
US5467220A (en) 1994-02-18 1995-11-14 Applied Materials, Inc. Method and apparatus for improving semiconductor wafer surface temperature uniformity
EP0669640A1 (en) 1994-02-25 1995-08-30 Applied Materials, Inc. Susceptor for deposition apparatus
US5645646A (en) 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
US5514439A (en) 1994-10-14 1996-05-07 Sibley; Thomas Wafer support fixtures for rapid thermal processing
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5700725A (en) 1995-06-26 1997-12-23 Lucent Technologies Inc. Apparatus and method for making integrated circuits
JP3430277B2 (ja) * 1995-08-04 2003-07-28 東京エレクトロン株式会社 枚葉式の熱処理装置
US5551985A (en) 1995-08-18 1996-09-03 Torrex Equipment Corporation Method and apparatus for cold wall chemical vapor deposition
JPH09181155A (ja) * 1995-09-29 1997-07-11 Applied Materials Inc 堆積装置のサセプタ
US5584936A (en) * 1995-12-14 1996-12-17 Cvd, Incorporated Susceptor for semiconductor wafer processing
US5656093A (en) 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US6395363B1 (en) 1996-11-05 2002-05-28 Applied Materials, Inc. Sloped substrate support
US6090212A (en) * 1997-08-15 2000-07-18 Micro C Technologies, Inc. Substrate platform for a semiconductor substrate during rapid high temperature processing and method of supporting a substrate

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5620525A (en) * 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
WO1997008743A1 (en) * 1995-08-22 1997-03-06 Advanced Semiconductor Materials America, Inc. Low mass susceptor

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180098704A (ko) * 2008-10-31 2018-09-04 에이에스엠 아메리카, 인코포레이티드 자가 중심설정 서셉터 링 조립체
KR102071681B1 (ko) * 2008-10-31 2020-01-30 에이에스엠 아메리카, 인코포레이티드 자가 중심설정 서셉터 링 조립체
US11387137B2 (en) 2008-10-31 2022-07-12 Asm Ip Holding B.V. Self-centering susceptor ring assembly
KR20190026898A (ko) * 2016-07-13 2019-03-13 실트로닉 아게 에피택시 반응기에서의 반도체 웨이퍼를 취급하는 장치 및 에피택셜 층을 갖는 반도체 웨이퍼를 제조하는 방법
KR102283740B1 (ko) * 2016-07-13 2021-08-02 실트로닉 아게 에피택시 반응기에서의 반도체 웨이퍼를 취급하는 장치 및 에피택셜 층을 갖는 반도체 웨이퍼를 제조하는 방법
US11302565B2 (en) 2016-07-13 2022-04-12 Siltronic Ag Device for handling a semiconductor wafer in an epitaxy reactor and method for producing a semiconductor wafer having an epitaxial layer

Also Published As

Publication number Publication date
WO1999023691A3 (en) 1999-08-05
US20020043337A1 (en) 2002-04-18
US20030029571A1 (en) 2003-02-13
KR20010031715A (ko) 2001-04-16
EP1036406B1 (en) 2003-04-02
JP2001522142A (ja) 2001-11-13
WO1999023691A2 (en) 1999-05-14
DE69813014T2 (de) 2004-02-12
EP1036406A2 (en) 2000-09-20
DE69813014D1 (de) 2003-05-08
US6893507B2 (en) 2005-05-17
US6454865B1 (en) 2002-09-24
US20050183829A1 (en) 2005-08-25

Similar Documents

Publication Publication Date Title
KR100660416B1 (ko) 개량된 저질량 웨이퍼 지지 시스템
EP1209251B1 (en) Temperature control system for wafer
US6776849B2 (en) Wafer holder with peripheral lift ring
US7601224B2 (en) Method of supporting a substrate in a gas cushion susceptor system
EP0746009B1 (en) Multi-layer susceptor for rapid thermal process reactors
US6709267B1 (en) Substrate holder with deep annular groove to prevent edge heat loss
WO1998032893A9 (en) Wafer support system
US20030205324A1 (en) Wafer holder with stiffening rib
WO1997009737A1 (en) Wafer support system
US11764101B2 (en) Susceptor for semiconductor substrate processing
EP1308989A2 (en) Improved low mass wafer support system
US20220181193A1 (en) High performance susceptor apparatus
US11961756B2 (en) Vented susceptor
CN112713117A (zh) 用于半导体衬底处理的基座
TW202032712A (zh) 通風基座

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
E902 Notification of reason for refusal
B701 Decision to grant
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee