KR20200135734A - 에지 퍼지를 이용한 기판 서셉터 - Google Patents

에지 퍼지를 이용한 기판 서셉터 Download PDF

Info

Publication number
KR20200135734A
KR20200135734A KR1020200060086A KR20200060086A KR20200135734A KR 20200135734 A KR20200135734 A KR 20200135734A KR 1020200060086 A KR1020200060086 A KR 1020200060086A KR 20200060086 A KR20200060086 A KR 20200060086A KR 20200135734 A KR20200135734 A KR 20200135734A
Authority
KR
South Korea
Prior art keywords
workpiece
susceptor body
front surface
radial
susceptor
Prior art date
Application number
KR1020200060086A
Other languages
English (en)
Inventor
라즈 싱구
토드 던
칼 루이스 화이트
헤르버르트 테르호르스트
에릭 셰로
부샨 조프
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20200135734A publication Critical patent/KR20200135734A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25BTOOLS OR BENCH DEVICES NOT OTHERWISE PROVIDED FOR, FOR FASTENING, CONNECTING, DISENGAGING OR HOLDING
    • B25B11/00Work holders not covered by any preceding group in the subclass, e.g. magnetic work holders, vacuum work holders
    • B25B11/005Vacuum work holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Fluid Mechanics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

피가공재 서셉터 몸체는, 피가공재를 지지하도록 구성된 전면, 전면에 대향하는 후면, 전면의 내측부 상에 지지부 경계선을 적어도 부분적으로 형성한 피가공재 접촉 영역, 및 서셉터 몸체 내에 배치된 복수의 축 방향 채널을 포함할 수 있다. 피가공재 접촉 구역은, 공정 처리 구성에서 전면 상에 위치한 피가공재의 외측 에지의 반경 방향 안쪽에 배치될 수 있다. 복수의 축 방향 채널 각각은 전면의 외측부로 연장된 해당 개구에 연결될 수 있다. 각각의 개구는 서셉터 몸체의 피가공재 접촉 구역의 반경 방향 바깥쪽에 배치될 수 있다.

Description

에지 퍼지를 이용한 기판 서셉터{SUBSTRATE SUSCEPTOR USING EDGE PURGING}
관련 출원의 상호 참조
본 출원은 에지 퍼지를 이용한 기판 서셉터라는 명칭으로 2019년 5월 22일자로 출원된 미국 가출원 번호 제62/851414호의 우선권 이익을 주장하며, 전체가 본원에 참고로 포함된다. 본 출원과 함께 출원된 출원 자료서에서 외국 또는 국내 우선권 주장이 확인된 모든 출원은 37 CFR 1.57에 의거 본원에 참조로 포함된다.
기술분야
본 개시는 일반적으로 반도체 처리에 관한 것으로, 보다 구체적으로는 공정 챔버 내에서 반도체 기판을 지지하기 위한 서셉터에 관한 것이다.
반도체 제조 공정은, 제어된 공정 조건 하의 서셉터 상에 반응 챔버 내에 지지되는 기판을 이용해 일반적으로 수행된다. 많은 공정에서, 반도체 기판(예, 웨이퍼)이 반응 챔버 내부에서 가열된다. 기판과 서셉터 사이의 물리적 상호 작용과 관련된, 다수의 품질 제어 문제점은 처리 중에 발생할 수 있다.
일부 구현예에서, 피가공재 서셉터가 제공된다. 피가공재 서셉터 몸체는, 피가공재를 지지하도록 구성된 전면, 및 상기 전면에 대향하는 후면을 포함한다. 피가공재 서셉터는 또한, 전면의 내측부 주위에 지지부 경계선을 적어도 부분적으로 형성하는 피가공재 접촉 구역을 포함한다. 피가공재 접촉 구역은, 공정 처리 구성에서 전면 상에 위치한 피가공재의 외측 에지의 반경 방향 안쪽에 배치되도록 구성된다. 피가공재 서셉터는 또한 서셉터 몸체 내에 배치된 하나 이상의 축 방향 채널을 포함한다. 축 방향 채널은, 전면의 외측부로 연장된 하나 이상의 개구에 연결된다. 각각의 개구는 서셉터 몸체의 피가공재 접촉 구역의 반경 방향 바깥쪽에 배치된다. 피가공재 접촉 구역은, 면의 외측부보다 더 큰 높이로 되어 있어 피가공재 접촉 구역의 반경 방향 바깥쪽에 그리고 서셉터 몸체와 피가공재 사이에서 축 방향으로 갭을 형성한다.
일부 구현예에서, 피가공재 서셉터를 퍼지하는 방법이 제공된다. 상기 방법은, 피가공재의 외측 에지가 피가공재 접촉 구역으로부터 반경 반향 바깥으로 배치되도록, 피가공재를 서셉터 몸체의 전면 상의 피가공재 접촉 구역 상으로 로딩하는 단계를 포함한다. 상기 방법은, 상기 서셉터 몸체의 전면이 반응 챔버와 유체 연통하고, 상기 서셉터 본체의 후면이 로딩 챔버와 유체 연통하도록, 피가공재를 공정 처리 구성에 위치시키는 단계를 추가로 포함한다. 상기 방법은, 반응 챔버 내에 제1 압력을 제공하는 단계, 및 상기 서셉터 몸체 내의 하나 이상의 채널로부터 상기 피가공재 접촉 구역의 반경 방향 바깥쪽으로 그리고 상기 서셉터 플레이트와 상기 피가공재 사이에 축 방향으로의 갭에, 그리고 상기 반응 챔버에 제2 압력으로 퍼지 가스를 흐르게 함으로써, 상기 피가공재의 외측 에지의 배면을 퍼지하는 단계를 포함한다. 상기 제2 압력은 상기 제1 압력보다 크다.
본 발명 개념의 부가적인 목적, 특징 및 장점뿐만 아니라 상기 내용은. 첨부된 도면을 참조하여, 본 발명의 다음 구현예의 예시적이고 비제한적인 상세 설명을 통해 보다 잘 이해할 것이다. 도면에서, 달리 언급되지 않는 한, 유사한 요소에 대해 유사한 참조 번호가 사용될 것이다.
도 1은, 일 구현예에 따라 로딩 위치에서 피가공재 지지부를 갖는, 반도체 공정 처리 장치의 단면을 개략적으로 나타낸다.
도 2는, 일 구현예에 따라 공정 처리 위치에 나타낸 피가공재 지지부를 갖는, 도 1의 장치를 개략적으로 나타낸다.
도 3은, 후면과 전면을 지지하는 받침대를 포함한 서셉터 몸체 예시를 나타낸다.
도 4a는 서셉터 몸체 일부의 측단면도를 개략적으로 나타낸다.
도 4b는, 받침대를 갖는 서셉터 몸체 예시의 단면 사시도를 나타낸다.
도 4c는, 몸체의 전면을 몸체의 후면과 연결하는 축 방향 퍼지 채널을 포함한 서셉터 몸체 예시를 나타낸다.
도 5는 서셉터 몸체 예시의 단면도를 나타낸다.
도 6은 서셉터 몸체 예시의 단면 사시도를 나타낸다.
도 7은 전면과 후면 사이에 절단된 서셉터 몸체 예시의 단면 상부도를 나타낸다.
도 8은 피가공재 서셉터를 퍼지하기 위한 예시적인 방법을 나타낸다.
특정 구현예 및 실시예가 아래에 개시되었지만, 당업자는 본 발명이 구체적으로 개시된 구현예 및/또는 본 발명의 용도 및 이들의 명백한 변형 및 등가물 너머로 연장된다는 것을 이해할 것이다. 따라서, 본원에 개시된 발명의 범주는 후술되는 구체적인 개시된 구현예에 의해 제한되지 않도록 의도된다.
기판 준비 및 공정 처리는, 정밀 제조 기술 및 장치를 필요로 할 수 있다. 또한, 이들의 공정 처리는 유지보수 및 세정을 필요로 할 수 있다. 기판 처리의 청결성과 품질을 개선하기 위해, 다양한 구현예가 본원에 설명된다.
기판(예, 반도체 웨이퍼를 포함하는 웨이퍼)과 같이 실질적으로 평평한 다양한 유형의 피가공재는 일반적으로 공정 처리 장치 내의 서셉터 조립체 상에서 공정 처리된다. 서셉터 조립체는 서셉터를 포함할 수 있고, 이는 다양한 경질 재료(예, 세라믹, 그래파이트)를 원하는 형상으로 가공하고, 선택적으로 코팅(예, 실리콘 카바이드(SiC))을 도포함으로써 형성될 수 있다. 서셉터는 다양한 형상의 피가공재를 지지하기 위해 상이한 형상으로 형성될 수 있지만, 많은 경우 원형이다.
전술한 바와 같이, 반응물과 서셉터 사이의 상호 작용과 관련해 공정 처리 중에, 특히 기판의 공정 처리 중에 다수의 품질 문제점이 발생할 수 있다. 이들 문제점은, 예를 들어 기판 상의 배면 증착을 포함할 수 있고, 서셉터 조립체 및/또는 공정 처리 장치의 다양한 부분 또는 부위에서의 원하지 않는 증착을 포함할 수 있다. 이러한 품질 제어 문제점은, 기판 및 반도체 소자의 전반적인 품질과 생산성을 감소시켜 수율을 감소시키고 비용을 증가시킬 수 있다.
배면 증착은, 공정 가스가 기판과 서셉터 사이의 공간 내로 흐르고 기판의 후면을 증착하는 경우에 일어난다. 공정 가스의 흐름이 기판과 서셉터 사이에서 제어되지 않기 때문에, 기판의 배면에서 무작위 증착이 발생할 수 있다. 전술한 문제점 이외에, 이러한 무작위 증착은 배면에 두께 불일치를 생성할 수 있고, 이는 전면 상의 국부적인 부위 평탄도에 영향을 미칠 수 있고 궁극적으로 소자 균일성 문제를 야기할 수 있다.
일반적인 공정에서, 반응물 가스는 가열된 웨이퍼 위를 통과하고, 웨이퍼 상에 반응물 재료로 이루어진 얇은 층을 화학 기상 증착(CVD)시킨다. 순차적 처리를 통해, 다수의 층이 집적 회로로 만들어진다. 다른 예시적인 공정은 스퍼터 증착, 포토리소그래피, 건식 에칭, 플라즈마 처리, 및 고온 어닐링을 포함한다. 이들 공정 중 다수는 고온을 필요로 하며 동일하거나 유사한 반응 챔버에서 수행될 수 있다. 반응물 가스는 금속 클로라이드(예를 들어, 티타늄 클로라이드, 티타늄 테트라클로라이드 등), 다른 금속 화합물(예, 몰리브덴, 텅스텐 등), 실리콘 계열 가스(예, 실란, 디실란, 트리실란 등), 산화 가스(예, H2O, O2, O3 등), 및/또는 임의의 다른 적절한 반응물 가스를 포함한다. 금속 계열(예, 티타늄, 몰리브덴, 텅스텐 등) 막, 실리콘 계열 막, 및/또는 다른 막과 같은 다양한 막이 제조될 수 있다. 막은 산화물 층을 포함할 수 있다. 일부 구현예에서, 반응물 가스는 상기 가스 중 임의의 가스(또는 이들의 조합)와 다른 가스, 예컨대 수소와의 혼합물을 포함할 수 있다. 일부 구현예에서, 둘 이상의 반응물 가스가 구현될 수 있다. 예를 들어, 수소를 제외한 제1 반응물 가스(예, 몰리브덴 가스)가 사용될 수 있고/있거나 제2 반응물 가스는 수소와의 혼합물(예, 수소에 몰리브덴 가스를 더함)을 포함할 수 있다. 제2 반응물 가스는 제1 반응물 가스 이후에 기판 위로 통과될 수 있다.
웨이퍼는 고품질의 증착을 촉진하기 위해 다양한 온도에서 공정 처리될 수 있다. 온도 제어는 질량 전달 체제 하의 온도, 예를 들어 실란을 사용한 실리콘 CVD에 대해 약 500°C 내지 900°C에서 특히 유용하다. 이러한 동역학 반응에서, 온도가 웨이퍼의 표면에 걸쳐 균일하지 않으면, 증착된 막 두께는 고르지 않을 것이다. 그러나, 특정 시나리오에서 더 낮은 온도를 종종 사용할 수 있다.
웨이퍼의 직경도 공정 처리에 영향을 미칠 수 있다. 최근 몇 년 동안, 큰 직경의 웨이퍼의 단일 웨이퍼 처리는 다양한 이유로 더욱 광범위하게 사용되었고, 배치 처리로 달성될 수 있는 것보다 공정 제어에서 더 큰 정밀도를 원하는 요구가 포함된다. 웨이퍼는 실리콘으로 제조될 수 있고, 가장 일반적으로 약 150 mm(약 6인치) 또는 약 200 mm(약 8인치)의 직경과 약 0.725 mm의 두께를 갖는다. 최근에 약 300 mm(약 12 인치)의 직경과 약 0.775 mm의 두께를 갖는 더 큰 실리콘 웨이퍼가 사용되었는데, 그 이유는 이들이 단일 웨이퍼 처리의 이점을 훨씬 더 효율적으로 활용하기 때문이다. 더 큰 웨이퍼도 향후 기대된다. 일반적인 단일 웨이퍼 서셉터는, 공정 처리 중에 웨이퍼를 놓는 포켓 또는 리세스를 포함한다. 많은 경우에, 리세스는 웨이퍼를 매우 밀착해서 수용하도록 형상화된다.
[0025] 전술한 문제점 중 일부를 해결하기 위해, 서셉터 조립체 또는 공정 처리 장치는 퍼지 채널 디자인을 포함할 수 있다. 언급된 바와 같이, 이들 개선은 기판(예, 웨이퍼)의 배면, 서셉터 조립체의 면, 또는 원하지 않는 다른 영역과 접촉하는 것으로부터 반응 챔버 내의 반응물을 감소시킬 수 있다. 반응물이 공정 처리 장치 또는 서셉터 조립체의 특정 영역과 부주의하게 접촉하는 경우, 대응하는 영역이 세정될 필요가 있을 수 있다. 이는, 기판 처리에 추가적인 노동력뿐만 아니라 향후 웨이퍼 공정 처리의 품질을 감소시킬 수 있다.
이제 도면을 참조할 것이다.
도 1은, 반응 챔버(101) 및 로딩 챔버(102)를 포함한 반도체 처리 장치(100)의 일 구현예를 개략적으로 나타낸다. 반응 챔버(101) 및 로딩 챔버(102)는, 예를 들어 다중 모듈 "클러스터" 툴로 구현될 수 있는 공정 모듈로 고려될 수 있다. 나타낸 구현예에서, 반응 챔버(101)는 로딩 챔버(102) 위에 배치되고, 이들은 아래에 더 상세히 설명되는 베이스 플레이트(107) 및 이동식 받침대 또는 피가공재 지지부(109)에 의해 분리된다.
일부 구현예에서, 반응 챔버(101)는 실제 축적대로 도시되지 않은 개략도와 달리, 로딩 챔버(102)보다 실질적으로 작을 수 있다. 단일 웨이퍼 공정 모듈의 경우, 나타낸 바와 같이, 반응 챔버(101)는 약 0.25 리터 내지 3 리터의 부피를 가질 수 있다. 일부 구현예에서, 반응 챔버(101)는 약 1 리터 미만의 부피를 가질 수 있다. 일부 구현예에서, 반응 챔버(101)는 약 900 mm 길이, 600 mm 폭, 및 5 mm 높이일 수 있다. 일부 구현예에서, 로딩 챔버(102)는 약 30 리터 내지 약 50 리터의 부피를 가질 수 있다. 일부 구현예에서, 로딩 챔버(102)는 약 40 리터의 부피를 가질 수 있다. 일부 구현예에서, 로딩 챔버(102)는, 반응 챔버(101) 부피의 약 35 내지 45배의 부피를 가질 수 있다.
일부 구현예에서, 반응 챔버(101)는 하나 이상의 유입구(103)(하나만 나타냄) 및 하나 이상의 유출구(104)(하나만 나타냄)를 포함할 수 있다. 공정 처리 동안, 반응물 및 퍼지 가스와 같은 가스는, 반응 챔버 유입구(103)를 통해 반응 챔버(101) 내로 흐를 수 있고, 과량의 반응물, 반응물 부산물, 및 퍼지 가스와 같은 가스는 반응 챔버 유출구(104)를 통해 반응 챔버(101) 밖으로 흐를 수 있다. 일부 구현예에서, 로딩 챔버(102)는 하나 이상의 유입구(105)(하나만 나타냄) 및 하나 이상의 유출구(106)(하나만 나타냄)를 포함할 수 있다. 작동시, 퍼지 가스와 같은 가스는 로딩 챔버 유입구(105)를 통해 로딩 챔버(102) 내로 흐를 수 있고, 과량의 반응물, 반응물 부산물, 및 퍼지 가스와 같은 가스는 로딩 챔버 유출구(106)를 통해 로딩 챔버(102) 밖으로 흐를 수 있다. 유입구(103, 105) 및 유출구(104, 106)의 위치와 같이 도시된 구성은 단지 예시적이며, 예를 들어 반응 챔버(101)에서 수행될 공정, 원하는 가스 흐름 경로 등에 기반하여 조정될 수 있다. 퍼지 가스는 단일 퍼지 가스 또는 퍼지 가스의 혼합물을 포함할 수 있다. 예를 들어, 일부 구현예에서, 퍼지 가스는 하나 이상의 불활성 가스, 예컨대 하나 이상의 귀가스(예, 헬륨, 아르곤, 네온, 크세논 등)로 본질적으로 이루어질 수 있다. 퍼지 가스는, 임의의 반응성 가스 없이 하나 이상의 불활성 가스를 포함할 수 있다. 다른 구현예에서, 퍼지 가스는, 예를 들어 하나 이상의 불활성 가스 및 하나 이상의 다른 활성 가스를 포함할 수 있다. 퍼지 가스는, 수소와 같은 반응성 가스와 혼합된 불활성 가스를 포함할 수 있다. 퍼지 가스는, 예를 들어 수소 및 아르곤의 혼합물을 포함할 수 있다. 일부 구현예에서, 본질적으로 하나 이상의 불활성 가스로(즉, 임의의 반응성 가스 없이) 구성된 제1 퍼지 가스는 제1 퍼지 단계에서 사용될 수 있고, 하나 이상의 반응성 가스로 혼합된 하나 이상의 불활성 가스의 혼합물을 포함한 제2 퍼지 가스는 제2 퍼지 단계에서 사용될 수 있다. 일부 구현예에서, 이 제2 퍼지 단계는 이 제1 퍼지 단계를 순차적으로 따른다. 하나 이상의 반응성 가스와 하나 이상의 불활성 가스를 포함하는 퍼지 단계를 사용하면, 기판에 걸친 반응물의 분포를 개선하는 것을 도울 수 있다. 예를 들어, 전달 시스템(예, 샤워)은 일반적으로 기판의 중심 근처에 반응물이 농축될 수 있다. 제2 퍼지 단계 동안, 불활성 및 반응성 가스의 혼합물은, 예를 들어 기판의 에지 근처에 반응물의 더 양호한 분배를 제공할 수 있다.
나타낸 구현예에서, 반응 챔버(101)는 개구(108)를 포함한 베이스 플레이트(107)를 포함한다. 베이스 플레이트(107)의 내부 에지는 개구(108)를 정의한다. 일부 구현예에서, 베이스 플레이트(107)는 티타늄을 포함할 수 있다. 나타낸 구현예에서, 반응 챔버 유입구(103)는 반응 챔버 유출구(104)에 거의 대향하게 위치하여, 반응챔버 유입구(103)에서 반응 챔버 유출구(104)로 흐르는 반응 가스가 피가공재(W)의 면에 대략 평행하게, 따라서 이동식 지지부의 상부 표면에 평행하게 이동하도록 한다. 이러한 반응기는 때때로 "교차 흐름형" 또는 수평 라미나 흐름형 반응기로 지칭된다. 일부 구현예에서, 장치(100)는 원자층 증착(ALD) 반응기일 수 있어서, 반응물의 펄스를 별도로 제공하기 위해, 제어 시스템(113)에 의해 제어되는 밸브를 포함한다. 일부 구현예에서, 장치(100)는, 반응 챔버(101)와 로딩 챔버(102) 사이의 상대적인 압력 및/또는 흐름 방향을 조절하기 위해 제어 시스템(113)에 의해 독립적으로 제어되는, 둘 이상의 밸브를 포함할 수 있다. 일부 구현예에서, 반응 챔버 유입구(103)는 가스를 원하는 패턴으로 분배하기 위한 분배 시스템을 포함할 수 있다. 일부 구현예에서, 반응 챔버(101)는 반응 챔버 유출구(104) 근처에서 테이퍼질 수 있어서 반응 챔버(101)의 높이는 반응 챔버 유출구(104) 근처에서 감소함으로써, 반응 챔버 유출구(104)를 통한 공기 흐름을 조인다. 비록 장치(100)가 기상 증착(예, 화학 기상 증착, CVD, 및/또는 원자층 기상 증착, 또는 ALD) 반응기에 관하여 설명될 수 있지만, 장치(100)는 건식 에처, 애셔, 급속 열적 어닐러 등을 포함하지만 이에 제한되지 않는 다른 반도체 처리 툴을 대안적으로 포함할 수 있다.
장치(100)는, 구동 기구(110)의 작동에 의해 로딩 위치와 공정 처리 위치 사이에서 이동되도록 구성된 이동식 지지부(109)를 추가 포함한다. 도 1은, 일 구현예에 따라 로딩 위치에 있는 지지부(109)를 나타낸다. 지지부(109)는, 실리콘 웨이퍼와 같은 피가공재(반도체 피가공재(W) 도 2 참조)를 유지하도록 구성될 수 있다. 피가공재(W)는 로봇의 엔드 이펙터와 같은 다양한 방법으로, 기판 지지부(109) 위로 로딩되고, 기판 지지부에서 언로딩될 수 있다. 지지부(109)는 패들 또는 포크로 피가공재(W)의 로딩 및 언로딩을 돕기 위해 리프트 핀(111) 및/또는 컷아웃을 포함할 수 있다. 지지부(109)는, 로딩 후에 피가공재(W)를 제자리에 유지하는 진공 시스템을 포함할 수 있거나, 피가공재(W)를 수용하도록 크기 조정되고 형상화된 포켓에 중력 단독으로 피가공재(W)를 유지할 수 있다. 장치(100)는, 지지부(109)로 로딩하고 지지부로부터 언로딩하기 위한 하나 이상의 게이트 밸브(112)(하나 나타냄)를 추가로 포함할 수 있다. 게이트 밸브(112)는, 예를 들어 이송 챔버, 로드 록, 공정 처리 챔버, 클린룸으로의 접근을 허용할 수 있다.
제어 시스템(113)은 또한 구동 기구(110)를 제어하도록 구성되거나 프로그래밍된다. 일부 구현예에서, 구동 기구(110)는, 지지부(109)에 수직 이동을 부여하는 피스톤 또는 엘리베이터를 포함할 수 있다. 따라서, 구동 기구(110)는 지지부(109)를 이동시키고, 이에 따라 지지부(109) 상에 배치된 피가공재(W)를 반응기 폐쇄 작동 중에 공정 처리 위치 내로 그리고 반응기 개방 작동 중에 로딩 위치로 이동시키도록 구성된다. 구동 기구(110)는 또한 지지부(109) 상에 배치된 피가공재(W)를 회전시키도록 구성될 수 있다.
도 2는, 일 구현예에 따라 공정 처리 위치에 나타낸 지지부(109)를 갖는 장치(100)를 개략적으로 나타낸다. 공정 처리 위치에 있을 경우, 지지부(109)는, 베이스 플레이트(107)와 맞물려 반응 챔버(101)의 내부를 로딩 챔버(102)로부터 효과적으로 격리시키거나 분리시킨다. 이러한 격리는 반응 챔버(101)와 로딩 챔버(102) 사이의 오염을 감소시킬 수 있다. 일부 구현예에서, 체결은 베이스 플레이트(107)와 지지부(109) 사이에 단단한 금속 위 금속 밀봉을 생성하는 단계를 포함할 수 있다. 일부 구현예에서, 체결은 베이스 플레이트(107)와 지지부(109) 사이에 부드러운 밀봉을 생성하기 위해, 어느 한 부분에 O-링과 같이 유연한 재료의 압축을 포함할 수 있다. 일부 구현예에서, 체결은 지지부(109)와 베이스 플레이트(107) 사이에 갭을 유지하는 단계를 포함할 수 있어서 절대적인 밀봉이 없도록 한다. 체결이 지지부(109)와 베이스플 레이트(107) 사이의 갭을 유지하는 단계를 포함하는 경우에도, 지지부는 장치(100)가 공정 처리 위치에 있을 경우, 반응 챔버(101)와 로딩 챔버(102) 사이의 유체 연통에 상당한 장벽을 생성함으로써 로딩 챔버(102)로부터 반응 챔버(101)를 여전히 효과적으로 분리시킬 수 있다.
도 3은 예시적인 서셉터 몸체(200)를 나타낸다. 도 1 및 도 2에 나타낸 지지부(109)는 서셉터 몸체(200)를 포함할 수 있다. 서셉터 몸체(200)는, 후면(204) 및 전면(202)을 포함할 수 있다. 서셉터 몸체(200)는, 후면(204) 및 전면(202)을 지지하는 받침대(218)를 포함할 수 있다. 전면(202)은 후면(204)과 대향할 수 있다. 전면(202)은, 본원에서 설명하는 바와 같이 전면(202) 내로 또는 이로부터 연장되는 일부 특징부와 함께 실질적으로 전체가 평평할 수 있다. 전면(202)은 기판(예, 웨이퍼)과 같은 피가공재를 지지하도록 구성될 수 있다. 전면(202) 및/또는 후면(204)은 원형, 타원형, 직사각형 등과 같은 하나 이상의 형상을 형성할 수 있다. 도 3에 나타낸 바와 같이, 전면(202)은 실질적으로 원형일 수 있다. 따라서, 용어 "원주 방향" 또는 관련 용어가 전체적으로 사용된다. 그러나, 본원에 설명된 개념은 다른 형상에도 적용될 수도 있다.
몸체(200)는 하나 이상의 개구(222)를 포함할 수 있다. 개구(들)(222)는 전면(202)의 외측부(220) 내로 연장될 수 있다. 개구(222)는 본원에서 더 설명되는 바와 같이, 전면(202) 상에 지지되는 피가공재에 에지 퍼지를 제공할 수 있다. 개구(222)는, 서셉터 몸체(200) 내에 배치된 하나 이상의 축 방향 채널(예, 도 4a 내지 도 4c에 나타낸 채널(들)(252))과 유체 연통할 수 있고, 이 채널은, 예를 들어 전면(202)과 후면(204) 사이에 적어도 부분적으로 배치된다. 일부 구현예에서, 몸체는, 원주 방향으로 연장되는 축 방향 채널을 포함할 수 있다. 예를 들어, 원주 방향으로 연장되는 축 방향 채널(224)은, 전면(202) 내로 연장될 수 있다. 개구(들)(222)는 나타낸 바와 같이 원주 방향으로 연장되는 축 방향 채널(224)과 연통할 수 있다. 원주 방향으로 연장되는 축 방향 채널은, 나타낸 바와 같이, 원주 전체에서 연장되어 링 형상의 채널을 형성할 수 있다.
퍼지 개구(222)는 고정 또는 가변 단면적을 갖도록 구성되어 그것을 통해 흐름을 제어할 수 있다. 예를 들어, 퍼지 개구(222)는 조절 가능한 흐름을 제공하기 위해, 니들 밸브와 같이, 대응하는 흐름 제어 밸브를 포함할 수 있다. 일부 구현예에서, 개구(222)는, 원하는 단면적 및/또는 원하는 양을 갖는 고정 오리피스(예, 주어진 직경에 대응)를 포함하여, 일부 공정 조건 하에 에지 퍼지 흐름의 원하는 양을 제공할 수 있다. 예를 들어, 서셉터 몸체(200)는 약 15 내지 36개의 퍼지 개구(222)를 가질 수 있고, 일부 구현예에서는 18개를 갖는다. 퍼지 개구(222) 각각은 약 0.5 mm 내지 2 mm 범위의 직경을 가질 수 있고, 일부 구현예에서 직경은 약 1.85 mm이다.
서셉터 몸체(200)는 피가공재 접촉 구역(210)을 포함할 수 있다. 피가공재 접촉 구역(210)은 전면(202)의 내측부(226) 주위에 지지부 경계선을 적어도 부분적으로 형성한다. 일부 구현예에서, 전면(202)의 외측부(220)와 내측부(226) 사이의 흐름을 방지하기 위해, 피가공재 접촉 구역(210)과 그 위에 유지된 기판 사이의 인터페이스에 밀봉부가 형성될 수 있다. 피가공재 접촉 구역(210)은, 전면(202) 상에 위치한 피가공재의 외측 에지의 반경 방향 안쪽에 배치되도록 구성된다. 피가공재 접촉 구역(210)은, 전면(202)의 외측부(220)보다 더 큰 높이로 될 수 있어, 피가공재가 전면(202) 상에 위치하는 경우, 피가공재 접촉 구역(210)의 반경 방향 바깥쪽에 그리고 서셉터 몸체(200)의 전면(202)과 피가공재 사이에서 축 방향으로 갭(미도시)을 형성한다. 피가공재 접촉 구역(210)은, 전면(202)으로부터 연장되는 원주 방향의 리브를 포함할 수 있다. 각각의 개구(222)는 피가공재 접촉 구역(210)의 반경 방향 바깥쪽에 배치될 수 있다. 피가공재 접촉 구역(210)은 약 1.5 mm 내지 약 3 mm 범위의 두께(즉, 반경 방향의 폭)를 가질 수 있고, 일부 구현예에서, 두께는 약 2 mm이다. 원형인 구현예에서, 피가공재 접촉 구역(210)은 약 286 mm와 약 292 mm 범위의 직경을 갖는, 거의 환형인 지지 링을 형성할 수 있고, 일부 구현예(예, 300 mm 피가공재를 유지하도록 구성된 구현예)에서는, 직경이 약 288 mm이다. 일부 구현예에서, 피가공재의 외측 에지로부터 피가공재 접촉 구역(210)까지의 반경 방향 거리는 약 10 mm 내지 약 12 mm의 범위, 일부 구현예에서는 약 12 mm일 수 있다.
서셉터 몸체는 피가공재 고정 부분(214)을 포함할 수 있다. 피가공재 고정 부분(214)은, 반경 방향 안쪽으로 대면하는 원주 방향 림을 포함할 수 있다. 피가공재 고정 부분(214)은, 하나 이상의 개구(222)로부터 반경 방향 바깥쪽으로 위치할 수 있고, 피가공재의 반경 방향 이동을 방지하도록 구성될 수 있다. 이는, 피가공재의 손상을 방지할 수 있고 피가공재의 보다 높은 품질의 증착을 촉진할 수 있다. 피가공재 고정 부분(214)은, 피가공재 접촉 구역(210)보다 큰 높이까지 연장되어서 피가공재 접촉 구역 상에 지지된 피가공재를 고정할 수 있다. 서셉터 몸체(200)는 피가공재 고정 부분(214)의 반경 방향 바깥쪽에 대면하는 원주 방향 에지를 포함할 수 있다. 일부 구현예에서, 피가공재 고정 부분(214)은 약 0.8 mm 내지 약 1 mm의 범위에서 전면(202) 위로 높이를 가질 수 있고, 일부 구현예에서, 높이는 약 0.9 mm이다.
서셉터 몸체(200)는 전면(202)의 내측부(226) 내에 내측 진공 부위를 포함할 수 있다. 진공 부위는 개구(들)(222), 축 방향 채널(들)(예, 원주 방향 퍼지 채널(224)) 및/또는 피가공재 접촉 구역(210)의 반경 방향 안쪽에 있을 수 있다. 내측 진공 부위는 전면(202)으로 연장되는 하나 이상의 진공 홈을 포함할 수 있다. 예를 들어, 원주 방향 홈(236)은 전면(202) 내로 연장되어 외측 진공 경계선을 형성할 수 있다. 하나 이상의 반경 방향 진공 홈이 전면(202) 내로 연장될 수 있다. 내측 진공 부위는 하나 이상의 반경 방향 진공 홈(246)에 의해 하나 이상의 섹션으로 분할될 수 있다. 반경 방향 진공 홈(246)은 내측 진공 영역의 2, 3, 4, 5, 6 또는 그 이상의 섹션을 형성할 수 있다. 서셉터 몸체(200)는, 전면(202)의 내측 진공 부위로 연장된 하나 이상의 진공 애퍼처(244)를 포함할 수 있다. 진공 애퍼처(244)는 진공과 유체 연통될 수 있다. 피가공재가 전면(202) 상에 있을 경우, 진공은 진공 애퍼처(244)를 통해 인가될 수 있고, 음압을 반경 방향 진공 홈(246), 원주 방향 홈(236), 및/또는 내측 진공 부위의 다른 부위를 따라 피가공재에 인가할 수 있다. 서셉터 몸체(200)는, 도 3에 나타낸 융기된 특징부(232)와 같이, 내측 진공 부위 내에 양각 및/또는 융기된 특징부를 포함할 수 있다. 융기된 특징부(232)는 피가공재와 전면(202)의 일부(예, 내측 진공 부위) 사이의 작은 분리를 제공할 수 있다. 이러한 분리는 적용된 진공의 기능과 효과를 개선할 수 있다. 융기된 특징부(232)는, 서셉터 몸체(200)로 피가공재의 들러붙음을 감소시키는 것을 도울 수 있고/있거나, 피가공재의 배면과 직접 접촉을 감소시킬 수 있으며, 결과적으로 오염이나 잠재적인 피가공재 손상을 감소시킬 수 있다. 융기된 특징부(232)는 또한 피가공재(206)로의 열 전도에 대한 균일성을 개선할 수 있다.
리프트 핀이 몸체(200)를 통해 연장시키고 피가공재(예. 웨이퍼)를 전면(202) 상에 배치시키고/배치시키거나 이로부터 제거시키는, 하나 이상의 리프트 핀 구멍(228)이 포함될 수 있다. 리프트 핀 구멍(228)은, 원주 방향 홈(236)의 반경 방향 안쪽으로 또는 그로부터 반경 방향 바깥쪽으로 배치될 수 있다. 일부 구현예에서, 세 개의 리프트 핀 구멍(228)이 있지만, 다른 개수도 가능하다.
도 4a는, 도 3에 대해 전술한 서셉터 몸체(200) 일부의 측단면도를 개략적으로 나타낸다. 예를 들어, 도 4a는, 전면(202)과 후면(204) 사이에, 서셉터 몸체(200) 내에 배치된 축 방향 퍼지 채널(252) 및 개구(222)를 나타낸다. 일부 구현예에서, 각각의 축 방향 퍼지 채널(252)은 원주 방향 퍼지 채널(224)(도 3)을 통해 유체 연통할 수 있다.
피가공재(206)는 전면(202)의 피가공재 접촉 구역(210)과 접촉하게 나타나 있다. 나타낸 바와 같이, 피가공재 접촉 구역(210)은 면(202)의 외측부(220)보다 큰 높이일 수 있다. 일부 디자인에서, 유체 갭(270)은, 피가공재(206), 및 피가공재 접촉 구역(210)의 반경 방향 바깥으로 전면(202) 사이에 형성될 수 있다. 나타낸 구현예는, 퍼지 유체가 피가공재(206)의 배면을 따라 갭(270) 내에서 그리고 피가공재(206)의 외측 에지 주위에서 축 방향 퍼지 채널(252) 및 개구(222)를 통해 흐르게 할 수 있다. 이러한 구성은, 피가공재(206)의 전면 상의 증착에 사용되는 가스가 피가공재(206)의 에지 또는 배면 상에 재료가 증착하는 것을 감소시키거나 방지할 수 있다. 일부 구현예에서, 퍼지는 도 3에 나타낸 채널(224)과 같은 원주 방향 퍼지 채널을 통해 흐를 수 있다. 일부 구현예에서, 유체 갭(270)은 약 0.1 mm 내지 약 0.18 mm의 범위에 있을 수 있고, 일부 구현예에서 유체 갭(270)은 약 0.15 mm이다.
도 4a는, 피가공재 고정 부분(214)이 도 3에 대하여 전술한 바와 같이 피가공재 접촉 구역(210)보다 큰 높이로 배치되는 구현예의 추가 세부 사항을 또한 나타낸다.
도 4b는, 받침대(218)를 갖는 서셉터 몸체(200) 예시의 단면 사시도를 나타낸다. 받침대(218)는, 예를 들어, 후면(204) 부착을 통해 지지함으로써 서셉터 몸체(200)를 지지하기 위해 사용될 수 있다. 받침대(218)는, 추가적으로 또는 대안적으로 하나 이상의 채널을 그 안에 포함할 수 있다. 예를 들어, 하나 이상의 받침대 퍼지 채널(260)이 받침대(218) 내에 배치될 수 있다. 하나 이상의 받침대 퍼지 채널(260)은 받침대(218)를 통해 길이 방향으로 연장될 수 있고 복수의 개구(222) 중 적어도 하나와 유체 연통하도록 구성될 수 있다. 예를 들어, 서셉터 몸체(202)는 본원의 다른 곳에서 설명된 바와 같이 두 개의 축 방향 퍼지 채널(252)을 포함할 수 있다. 서셉터 몸체(200)는 전면(202)과 후면(204) 사이에 위치한 하나 이상의 반경 방향 퍼지 채널(248)을 포함할 수 있다. 하나 이상의 반경 방향 퍼지 채널(248)은 축 방향 퍼지 채널(252) 중 적어도 하나로부터 연장될 수 있고/있거나 그것과 유체 연통될 수 있고, 축 방향 채널(252)과 길이 방향 받침대 채널(260) 사이에 유체 연통을 제공할 수 있다. 일부 구현예에서, 원주 방향 퍼지 채널(256)로서 나타낸 원주 방향 홈은, 반경 방향 채널(248)과 축 방향 채널(252)과 유체 연통할(예를 들어, 이들 사이에 유체 연통을 제공할) 수 있다. 일부 구현예에서, 받침대 퍼지 채널(260)은 약 3 mm 내지 약 5 mm 범위의 직경을 가질 수 있고, 일부 구현예에서는 약 4 mm이다. 반경 방향 퍼지 채널(248)은 약 2 mm 내지 약 4 mm 범위의 직경을 가질 수 있고, 일부 구현예에서는 약 3 mm이다.
도 4c는, 전면(202)을 후면(204)과 연결한 축 방향 퍼지 채널(252)을 포함하는 서셉터 몸체(200) 예시를 나타낸다. 상세도에 가장 잘 나타낸 바와 같이, 일부 구현예에서, 축 방향 퍼지 채널(252)은 몸체(200)를 통해, 전면(202)으로부터 그리고 이를 통해 후면(204)까지 그리고 후면(204)을 통해 완전히 통과할 수 있다. 도 3과 관련하여 또한 전술한 바와 같이, 서셉터 몸체(200)는 리프트 핀 구멍(228)을 포함할 수 있다. 나타낸 바와 같이, 받침대(218)는 리프트 기구, 유체, 및/또는 다른 특징부에 확실한 부착을 제공하기 위한 고정 조립체와 같이, 다른 특징부에 부착되거나 이를 포함할 수 있다.
도 5는 서셉터 몸체(200) 예시의 단면도를 나타낸다. 일부 구현예에서, 도 5에 나타낸 모습은 도 4c에 나타낸 모습과 상이한(예, 직교하는) 평면을 따르는 단면도이고, 도 3에 나타내고 전술한 유사한 진공 특징부를 많이 나타낸다. 여기에 나타낸 바와 같이, 서셉터 몸체(200)는 하나 이상의 반경 방향 진공 홈(246)과 유체 연통하는, 하나 이상의 받침대 진공 채널(266)을 포함할 수 있다. 원주 방향 홈(236)도 나타나 있다. 받침대 진공 채널(266)은, 받침대(218)의 에지 근처에 반경 방향으로 배치될 수 있다. 받침대 진공 채널(266)은 (길이 방향 진공 채널을 형성하기 위해) 길이 방향일 수 있고, 받침대(218)를 통해 연장될 수 있다. 일부 구현예에서, 진공 채널(266)은 하나 이상의 진공 에퍼처(244)와 유체 연통하도록 구성된다. 추가적으로 또는 대안적으로, 받침대 진공 채널(266)은 복수의 반경 방향 진공 홈(246) 중 적어도 하나와 유체 연통할 수 있다. 반경 방향 진공 홈(246)은 진공 에퍼처(244) 및/또는 원주 방향 홈(236)과 유체 연통할 수 있다. 일부 구현예에서, 진공 구멍(244)은 약 43 mm 내지 약 52 mm의 범위에서 서로에 대해 분리된 거리를 가질 수 있고, 일부 구현예에서는 약 51.5 mm이다.
도 6은 서셉터 몸체(200) 예시의 단면 사시도를 나타낸다. 단면은 도 4a 및 도 4b에 나타낸 것과 상이한 평면을 따를 수 있다. 나타낸 바와 같이, 원주 방향 퍼지 채널(256)은 서셉터 몸체(200) 전체에 걸쳐 배치될 수 있다. 원주 방향 퍼지 채널(256)의 이러한 배열은, 서셉터 몸체(200) 전체에 걸쳐 (예를 들어, 전면(202)과 후면(204) 사이에서) 임의의 퍼지 가스에 더 큰 접근 및 침투를 허용할 수 있다.
반경 방향 열전대 채널(262)과 같은 열전대 채널이 또한 나타나 있다. 본원의 열전대 채널은 그 안에서 연장된 열전대를 포함하도록 구성될 수 있다. 서셉터 몸체(200)는 열전대 채널(262) 내에 적어도 부분적으로 배치된 열전대를 포함할 수 있다. 서셉터 몸체(200)는 하나 이상의 반경 방향 열전대 채널(262)을 포함할 수 있다. 반경 방향 열전대 채널(262)은 전면(202)과 후면(204) 사이에 위치할 수 있다.
받침대(218)는, 그 안에 열전대를 수용하도록 구성되고 길이 방향으로 이를 통해 연장된 길이 방향 열전대 채널(264)을 포함할 수 있다. 길이 방향 열전대 채널(264)은 열전대 채널(262)과 유체 연통할 수 있다. 열전대는, 열전대 채널(262)을 통해 반경 방향으로 연장된 다양한 지점에서의 온도를 측정하도록 구성될 수 있다. 이렇게 긴 열전대 채널(262)은, 서셉터 몸체(200)의 더 큰 부분 전체에 걸쳐 보다 정밀한 온도 정보를 얻을 수 있고/있거나 필요에 따라 증착 공정에 대한 변형을 허용할 수 있다.
도 7은 전면(202)과 후면(204) 사이에서 서셉터 몸체(200) 예시의 단면 상부도를 나타낸다. 나타낸 바와 같이, 서셉터 몸체(200)는 복수의 반경 방향 퍼지 채널(248)을 포함할 수 있다. 반경 방향 퍼지 채널(248)은, 나타낸 바와 같이, 대응하는 받침대 퍼지 채널(260)과 유체 연통할 수 있다. 반경 방향 퍼지 채널(248) 중 하나 이상의 그룹은, 대응하는 받침대 퍼지 채널(260)과 연관될 수 있다. 그룹 내의 반경 방향 퍼지 채널(248) 각각은 서로 반경 방향으로 오프셋될 수 있다. 추가적으로 또는 대안적으로, 그룹 사이의 반경 방향 퍼지 채널(248)은 서로에 대해 오프셋될 수 있다. 예를 들어, 반경 방향 오프셋(예, 그룹 내에서, 그룹 사이에서)은 약 5도 내지 140도일 수 있다. 예를 들어, 반경 방향 오프셋은 약 50도 내지 70도일 수도 있다. 일부 구현예에서, 반경 방향 오프셋은, 동일한 그룹 내의 이웃하는 반경 방향 퍼지 채널(248) 사이에서 대략 동일할 수 있다. 추가적으로 또는 대안적으로, 오프셋은, 모든 이웃하는 반경 방향 퍼지 채널(248) 사이에서 대략 동일할 수 있다. 열전대 채널(262)은 나타낸 바와 같이, 반경 방향 퍼지 채널(248) 중 하나 이상과 대략 동일한 평면 내에 배치될 수 있다.
도 8은, 피가공재 서셉터를, 예컨대 도 3 내지 도 7을 참조하여 설명된 서셉터 몸체(200)를 포함하는 서셉터의 구현예를 퍼지하기 위한 예시적인 방법(300)을, 공정 처리 장치, 예컨대 장치(100)를 사용하여, 일부 경우에서는 제어기(113), 예컨대 도 2-2를 참조하여 설명된 제어기를 사용하여 나타낸다. 방법(300)은, 블록(304)에 나타낸 바와 같이, 피가공재의 외측 에지가 피가공재 접촉 구역으로부터 반경 반향 바깥으로 배치되도록, 피가공재를 서셉터 몸체의 전면 상의 피가공재 접촉 구역 상으로 로딩하는 단계를 포함할 수 있다. 방법(300)은, 블록(308)에 나타낸 바와 같이, 서셉터 몸체의 전면이 반응 챔버와 유체 연통하도록 피가공재를 공정 처리 구성에 위치시키는 단계를 포함할 수 있다. 위치 설정 단계는, 로딩 챔버와 유체 연통하도록 서셉터 몸체의 후면을 위치시키는 단계를 포함할 수 있다. 블록(312)에 나타낸 바와 같이, 방법(300)은 반응 챔버 내에 제1 압력을 제공하는 단계를 포함할 수 있다. 블록(316)에서, 방법(300)은, 퍼지 가스를 서셉터 내의 복수의 채널로부터 제2 압력으로 흐르게 함으로써 피가공재의 외측 에지의 배면을 퍼지하는 단계를 포함할 수 있다. 퍼지 가스는 피가공재 접촉 구역의 반경 방향 바깥쪽으로 그리고 서셉터 플레이트의 전면과 피가공재 사이의 축 방향으로의 갭에 전달될 수 있다. 일부 구현예에서, 제2 압력은 제1 압력보다 더 크다. 일부 구현예에서, 제2 압력은 로딩 챔버 내의 제1 압력보다 높도록 구성된다.
일부 구현예에서, 피가공재 접촉 구역은, 전면으로부터 연장되는 원주 방향의 리브를 포함할 수 있다. 일부 구현예에서, 피가공재를 피가공재 접촉 구역에 로딩하는 단계는, 피가공재 접촉 구역으로부터 반경 방향 바깥쪽에 위치한 피가공재 고정 부분의 반경 방향 안쪽으로 피가공재를 로딩하는 단계를 포함할 수 있다. 피가공재 고정 부분은 본원에 개시된 바와 같이, 피가공재의 반경 방향 이동을 방지하도록 구성될 수 있다. 피가공재 외측 에지의 배면을 퍼지하는 단계는, 서셉터 몸체 내에 배치된 복수의 축 방향 채널을 통해 퍼지 가스를 흐르게 하는 단계를 포함할 수 있다. 축 방향 채널은, 피가공재 서셉터 몸체와 서셉터 몸체의 배면을 통해 연장될 수 있다.
일부 구현예에서, 피가공재 외측 에지의 배면을 퍼지하는 단계는, 전면의 외측부 내로 연장된 복수의 개구(상기 개구 각각은 서셉터 몸체의 피가공재 접촉 구역의 반경 방향 바깥쪽에 배치됨)를 통해 퍼지 가스를 흐르게 하는 단계를 포함한다. 개구 중 하나 이상은, 복수의 축 방향 채널 중 대응하는 채널과 유체 연통하게 배치될 수 있다. 일부 구현예에서, 피가공재의 외측 에지의 배면을 퍼지하는 단계는, 전면과 후면 사이에 위치한 복수의 반경 방향 채널을 통해 퍼지 가스를 흐르게 하는 단계를 포함할 수 있다. 반경 방향 채널은 복수의 축 방향 채널 중 적어도 하나로부터 연장되고/연장되거나 이와 유체 연통할 수 있다.
방법(300)은, 전면의 내측부로 연장되는 복수의 애퍼처를 통해 피가공재의 배면에 진공을 인가하는 단계를 포함할 수 있다. 피가공재의 배면에 진공을 인가하는 단계는, 후면을 지지하도록 구성된 받침대를 통해 연장되는 적어도 하나의 길이 방향 진공 채널에 진공을 인가하는 단계를 포함할 수 있다. 상기 적어도 하나의 길이 방향 진공 채널은, 복수의 애퍼처 중 적어도 하나와 유체 연통할 수 있다. 방법(300) 중 하나 이상의 양태의 특징부는, 도 1 내지 도 7에 관해 상기 개시된 서셉터 몸체(200)의 기능 및 특징부를 포함할 수 있다.
예시적인 실시예
다양한 실시예가 아래에 제공된다.
제1 실시예에서, 피가공재를 지지하도록 구성된 전면; 상기 전면에 대향하는 후면; 상기 전면의 내측부 주위에 지지부 경계선을 적어도 부분적으로 형성하는 피가공재 접촉 구역(상기 피가공재 접촉 구역은 공정 처리 구성시 상기 전면 상에 위치한 상기 피가공재의 외측 에지의 반경 방향 안쪽으로 배치되도록 구성됨); 상기 서셉터 몸체 내에 배치된 하나 이상의 축 방향 채널(상기 축 방향 채널은 상기 전면의 외측부 내로 연장되는 하나 이상의 개구에 연결하고, 상기 개구 각각은 상기 서셉터 몸체의 피가공재 접촉 구역의 반경 방향 바깥쪽에 배치됨)을 포함하되, 상기 피가공재 접촉 구역은 상기 전면의 외측부보다 높이가 커서 상기 피가공재 접촉 구역의 반경 방향 바깥쪽에 그리고 상기 서셉터 몸체 면과 상기 피가공재 사이에서 축 방향으로 갭을 형성하는, 피가공재 서셉터 몸체.
제2 실시예에서, 상기 피가공재 접촉 구역은 상기 전면으로부터 연장된 원주 방향 리브를 포함하는, 제1 실시예의 피가공재 서셉터 몸체.
제3 실시예에서, 상기 피가공재를 추가로 포함하는 제1 또는 제2 실시예의 피가공재 서셉터 몸체.
제4 실시예에서, 상기 개구로부터 반경 방향으로 바깥쪽에 위치한 피가공재 고정 부분을 추가로 포함하되, 상기 피가공재 고정 부분은 상기 피가공재의 반경 방향 이동을 방지하도록 구성되는, 제1 내지 제3 실시예 중 어느 하나의 피가공재 서셉터 몸체.
제5 실시예에서, 상기 피가공재 고정 부분은 상기 피가공재 접촉 구역보다 더 큰 높이로 배치되는, 제4 실시예의 상기 피가공재 서셉터 몸체.
제6 실시예에서, 상기 축 방향 채널은 상기 피가공재 서셉터 몸체 및 상기 후면을 통해 연장되는, 제1 내지 제5 실시예 중 어느 하나의 피가공재 서셉터 몸체.
제7 실시예에서, 상기 전면과 상기 후면 사이에 위치하고 상기 복수의 축 방향 채널 중 적어도 하나와 유체 연통하고 이로부터 연장된 복수의 반경 방향 채널을 추가로 포함하는, 제1 내지 제6 실시예 중 어느 하나의 피가공재 서셉터 몸체.
제8 실시예에서, 상기 후면을 지지하도록 구성된 받침대, 및 상기 복수의 반경 방향 채널 중 적어도 하나와 유체 연통하도록 구성되며 상기 받침대를 통해 연장되는 적어도 하나의 길이 방향 퍼지 채널을 추가로 포함하는, 제7 실시예의 피가공재 서셉터 몸체.
제9 실시예에서, 상기 전면의 내측부로 연장된 하나 이상의 애퍼처를 추가로 포함하되, 상기 복수의 애퍼처는 진공과 유체 연통하도록 구성되는, 제8 실시예의 피가공재 서셉터 몸체.
제10 실시예에서, 상기 복수의 애퍼처 중 적어도 하나와 유체 연통하도록 구성되며 상기 받침대를 통해 연장되는 적어도 하나의 길이 방향 진공 채널을 추가로 포함하는, 제9 실시예의 피가공재 서셉터 몸체.
제11 실시예에서, 상기 전면 내로 연장되는 복수의 반경 방향 홈을 추가로 포함하며, 상기 복수의 반경 방향 홈 각각은 상기 복수의 애퍼처 중 적어도 하나와 유체 연통하는, 제10 실시예의 피가공재 서셉터 몸체.
제12 실시예에서, 상기 복수의 반경 방향 홈과 유체 연통하는 원주 방향 홈을 추가로 포함하는, 제11 실시예의 피가공재 서셉터 몸체.
제13 실시예에 있어서, 상기 원주 방향 홈은 상기 전면 상에 내측 진공 부위를 형성하고, 상기 내측 진공 부위 내의 전면으로부터 연장되는 복수의 돌출부를 추가로 포함하는, 제12 실시예의 피가공재 서셉터 몸체.
제14 실시예에서, 상기 복수의 반경 방향 채널은 복수의 반경 방향 유체 채널을 포함하고, 상기 복수의 반경 방향 유체 채널은 상기 전면과 상기 후면 사이에 위치한 적어도 하나의 반경 방향 열전대 채널을 추가로 포함하고, 상기 반경 방향 열전대 채널은 열전대를 수용하도록 구성되는, 제7 내지 제13 실시예 중 어느 하나의 피가공재 서셉터 몸체.
제15 실시예에서, 상기 복수의 반경 방향 채널은 복수의 반경 방향 유체 채널을 포함하고, 상기 전면과 상기 후면 사이에 위치한 적어도 하나의 반경 방향 열전대 채널을 추가로 포함하고, 상기 받침대를 통해 연장된 적어도 하나의 길이 방향 열전대 채널을 추가로 포함하고, 상기 적어도 하나의 반경 방향 열전대 채널과 길이 방향 열전대 채널은 열전대를 수용하도록 구성되는, 제8 내지 제13 실시예 중 어느 하나의 피가공재 서셉터 몸체.
제16 실시예에서, 피가공재 서셉터를 퍼지하기 위해, 서셉터 몸체의 전면 상의 피가공재 접촉 구역 상으로 피가공재를 로딩하여 상기 피가공재의 외측 에지가 상기 피가공재 접촉 구역으로부터 반경 방향 바깥쪽으로 배치되도록 하는 단계; 상기 서셉터 몸체의 전면은 반응 챔버와 유체 연통하고 상기 서셉터의 후면은 로딩 챔버와 유체 연통하는 공정 처리 구성으로 상기 피가공재를 위치 설정하는 단계; 상기 반응 챔버 내에 제1 압력을 제공하는 단계; 상기 몸체 내의 하나 이상의 채널로부터 제2 압력으로 퍼지 가스를, 상기 피가공재 접촉 구역의 반경 방향 바깥쪽으로 그리고 상기 서셉터 플레이트의 전면과 상기 피가공재 사이에서 축 방향으로의 갭에, 상기 반응 챔버에 흐르게 함으로써 상기 피가공재의 외측 에지의 배면을 퍼지하는 단계를 포함하되, 상기 제2 압력은 상기 제1 압력보다 더 큰, 방법.
제17 실시예에서, 상기 피가공재 접촉 구역은 상기 전면으로부터 연장된 원주 방향 리브를 포함하는, 제16 실시예의 방법.
제18 실시예에서, 상기 피가공재를 상기 피가공재 접촉 구역 상으로 로딩하는 단계는, 상기 피가공재를 상기 피가공재 접촉 구역으로부터 반경 방향 바깥쪽으로 위치한 피가공재 고정 부분의 반경 방향 안쪽에 로딩하는 단계를 포함하고, 상기 피가공재 고정 부분은 상기 피가공재의 반경 방향 이동을 방지하도록 구성되는, 제16 또는 제17 실시예의 방법.
제19 실시예에서, 상기 피가공재의 외측 에지의 배면을 퍼지하는 단계는, 상기 서셉터 몸체 내에 배치된 하나 이상의 축 방향 채널을 통해 퍼지 가스를 흐르게 하는 단계를 포함하되, 상기 축 방향 채널은 상기 피가공재 서셉터 몸체 및 상기 후면을 통해 연장되는, 제16 내지 제18 실시예 중 어느 하나의 방법.
제20 실시예에서, 상기 피가공재의 외측 에지의 배면을 퍼지하는 단계는, 상기 전면의 외측부 내로 연장된 하나 이상의 개구를 통해 퍼지 가스를 흐르게 하는 단계를 추가로 포함하고, 상기 개구의 각각은 상기 서셉터 몸체의 피가공재 접촉 구역의 반경 방향 바깥쪽에 배치되고, 상기 개구의 각각은 상기 하나 이상의 축 방향 채널 중 적어도 하나와 유체 연통하여 배치되는, 제19 실시예의 방법.
제21 실시예에서, 상기 피가공재의 외측 에지의 배면을 퍼지하는 단계는, 상기 전면과 상기 후면 사이에 위치하는 복수의 반경 방향 채널을 통해 퍼지 가스를 흐르게 하는 단계를 추가로 포함하고, 상기 반경 방향 채널은 상기 하나 이상의 축 방향 채널 중 적어도 하나로부터 연장되고 이와 유체 연통하는, 제20 실시예의 방법.
제22 실시예에서, 상기 전면의 내측부로 연장된 하나 이상의 애퍼처를 통해 상기 피가공재의 배면에 진공을 인가하는 단계를 추가로 포함하는, 제21 실시예의 방법.
제23 실시예에서, 상기 피가공재의 배면에 진공을 인가하는 단계는, 상기 후면을 지지하도록 구성된 받침대를 통해 연장된 적어도 하나의 길이 방향 진공 채널에 상기 진공을 가하는 단계를 포함하고, 상기 적어도 하나의 길이 방향 진공 채널은 상기 하나 이상의 개구 중 적어도 하나와 유체 연통하는, 제22 실시예의 방법.
제24 실시예에서, 상기 퍼지 가스는 불활성 가스 및 반응성 가스를 포함하는, 제16 내지 제23 실시예 중 어느 하나의 방법.
제25 실시예에서, 상기 불활성 가스는 아르곤을 포함하고, 상기 반응성 가스는 수소를 포함하는, 제24 실시예의 방법.
제26 실시예에서, 퍼지 단계는, 불활성 가스로 본질적으로 구성된 제1 퍼지 가스를 포함하는 제1 퍼지 단계, 및 불활성 가스와 반응성 가스를 포함한 제2 퍼지 가스를 포함하는 제2 퍼지 단계를 포함하는, 제16 내지 제23 실시예 중 어느 하나의 방법.
제27 실시예에서, 상기 제2 퍼지 단계는 상기 제1 퍼지 단계를 순차적으로 따르는, 제26 실시예의 방법.
추가 고려 사항
본 양태 및 구현을 기능적 블록 구성 요소 및 다양한 처리 단계에 관하여 설명할 수 있다. 이러한 기능적 블록은, 명시된 기능을 수행하고 다양한 결과를 달성하도록 구성된 임의의 수의 하드웨어 또는 소프트웨어 구성 요소에 의해 실현될 수 있다. 예를 들어, 본 양태는 다양한 기능을 수행할 수 있는, 다양한 센서, 감지기, 흐름 제어 장치, 히터 등을 사용할 수 있다. 또한, 본 양태 및 구현은 임의의 수의 처리 방법과 함께 실시될 수 있고, 설명된 장치 및 시스템은 임의의 수의 처리 방법을 사용할 수 있고, 설명된 장치 및 시스템은 단지 본 발명의 응용 예시일 뿐이다.
"예시적인"이라는 단어는 본원에서 "실시예, 사례, 또는 예시로서 기능하는"을 의미하기 위해 사용된다. 본원에서 "예시적인"으로서 설명된 임의의 양태 또는 구현예는, 다른 양태 또는 구현예에 비해 바람직한 또는 유리한 것으로 반드시 해석되는 것은 아니다. 신규 시스템, 장치 및 방법의 다양한 양태는 첨부 도면을 참조하여 이하에서 더욱 완전하게 설명된다. 그러나, 본 개시는 많은 상이한 형태로 구현될 수 있으며, 본 개시 전체에 걸쳐 제시된 임의의 특정 구조 또는 기능에 제한되는 것으로 해석되어서는 안된다. 오히려, 본 개시가 철저하고 완전하며 본 개시의 범주를 당업자에게 완전하게 전달되도록, 이들 양태를 제공한다. 본원의 교시에 기초하여, 당업자는 본 개시의 범주가 본원에 개시된 신규 시스템, 장치, 및 방법의 임의의 양태를, 설명된 다른 임의의 양태와 독립적으로, 또는 그와의 조합에 따라 커버하도록 의도된다는 것을 인식해야 한다. 예를 들어, 본원에 기재된 임의의 개수의 양태를 사용하여 장치를 구현할 수 있거나, 방법을 실시할 수 있다. 또한, 본 개시의 범주는 본원에 기재된 개시의 다양한 양태에 추가하거나 이와 상이한 기타 구조, 기능, 또는 구조 및 기능을 사용하여 실시된, 그러한 장치 또는 방법을 커버하고자 한다. 본원에 개시된 임의의 양태는 청구범위의 하나 이상의 요소에 의해 구현될 수 있음을 이해해야 한다.
"본원에서 사용되는 바와 같이, 용어 '______'는 본원에서 '. . . '를 의미한다"라는 문장 또는 유사한 문장을 사용하여 용어를 본 특허에서 명시적으로 정의하지 않는 경우, 그 용어의 의미를, 그의 일반 또는 통상적인 의미를 넘어서 명시적으로 또는 암묵적으로 제한하려는 의도가 없으며, 이러한 용어는 (청구범위의 언어 이외에) 본 특허의 임의의 섹션에서 이루어진 임의의 진술에 기초하여 제한되는 것으로 해석되어서는 안된다. 본 특허의 끝 부분 청구범위에서 인용된 임의의 용어가 단일 의미와 일치하는 방식으로 본 특허에서 언급되는 정도까지, 독자를 혼동하지 않도록 명료화를 위해 행해지며, 그러한 청구범위는 암시적으로 또는 달리 단일 의미로 제한되도록 의도되지 않는다.
조건 언어, 예컨대 "할 수 있다" 또는 "일 수 있다"는, 달리 언급되지 않거나 사용된 문맥 내에서 이해되는 한, 다른 구현예가 특정 특징부, 요소 및/또는 단계를 포함하지 않는 반면에 특정 구현예는 포함함을 전달하고자 일반적으로 의도한다. 따라서, 특징부, 요소 및/또는 단계가 하나 이상의 구현예에 필요한 임의의 방식이거나, 하나 이상의 구현예가 사용자 입력 또는 프롬프트 유무에 따라 이러한 특징부, 요소 및/또는 단계를 포함하는지 또는 임의의 특정 구현예에서 수행해야 하는지 여부를 결정하기 위한 로직을 반드시 포함하는 것을 의미하기 위해 이러한 조건적인 언어를 의도한 것은 아니다.
달리 구체적으로 언급하지 않는 한, 문구 "X, Y 및 Z 중 적어도 하나"와 같은 접속 언어는, 일반적으로 항목, 용어 등이 X, Y, 또는 Z일 수 있다는 것을 전달하기 위해 일반적으로 사용되는 문맥으로 이해된다. 따라서, 이러한 접속 언어는, 특정 구현예가 일반적으로 X 중 적어도 하나, Y 중 적어도 하나, 및 Z 중 적어도 하나의 존재를 필요로 하는 것을 의미하도록 의도되지 않는다.
본원에서 사용된 정도의 언어, 예컨대 본원에서 사용된 용어 "대략", "약", 및 "실질적으로"는 여전히 원하는 기능을 수행하거나 원하는 결과를 성취하는, 언급된 값, 양 또는 특징에 가까운 값, 양 또는 특징을 나타낸다. 예를 들어, 용어 "대략", "약", "일반적으로" 및 "실질적으로"는 원하는 기능 또는 원하는 결과에 따라, 언급된 양의 10% 미만 이내, 5% 미만 이내, 1% 미만 이내, 0.1% 미만 이내, 0.01% 미만 이내인 양을 지칭할 수 있다.
특정 구현예가 설명되었지만, 이들 구현예는 단지 예시로서 제시되었으며, 본 개시의 범위를 제한하려는 것은 아니다. 실제로, 본원에 설명된 신규 방법 및 시스템은, 다양한 다른 형태로 구현될 수 있다. 또한, 본 개시의 범주로부터 벗어나지 않고 본원에서 설명된 시스템 및 방법의 다양한 생략, 치환 및 변화가 이루어질 수 있다. 첨부된 청구범위 및 그 등가물은, 본 개시의 범주 및 사상 내에 속하는 바와 같이 이러한 형태 또는 변형을 포함하도록 의도된다.
특정 양태, 구현예, 또는 실시예와 관련하여 설명된 특징부, 재료, 특징 또는 그룹은 이와 호환되는 한, 이 섹션에서 또는 본 명세서의 다른 곳에서 설명된 임의의 다른 양태, 구현예 또는 실시예에 적용 가능함을 이해해야 한다. (임의의 첨부된 청구범위, 요약 및 도면을 포함한) 본 명세서에 개시된 모든 특징부, 및/또는 개시된 임의의 방법 또는 공정의 모든 단계는, 이러한 특징부 및/또는 단계 중 적어도 일부가 상호 배타적인 조합을 제외하고, 임의의 조합으로 조합될 수 있다. 보호는 전술한 구현예의 세부 사항에 제한되지 않는다. 보호는, (임의의 첨부된 청구범위, 요약 및 도면을 포함한) 본 명세서에 개시된 특징부의 임의의 신규한 것 또는 임의의 신규한 조합, 또는 개신된 임의의 방법 또는 공정 단계의 임의의 신규한 것 또는 임의의 신규한 조합으로 확장된다.
또한, 별도 구현의 맥락에서 본 개시에 설명된 특정 특징부도 단일 구현에서 조합하여 또한 구현될 수 있다. 역으로, 단일 구현의 문맥에서 설명된 다양한 특징부는 또한 다수의 구현에서 개별적으로 또는 임의의 적절한 하위 조합으로 구현될 수도 있다. 또한, 특징부는 특정 조합에서 작용하는 것으로 전술될 수 있지만, 청구범위의 조합으로부터 하나 이상의 특징부는, 일부 경우에 조합으로부터 실시될 수 있고, 조합은 하위 조합 또는 하위 조합의 변형으로 청구될 수 있다.
또한, 작동이 도면에 도시되거나 특정 순서로 명세서에 설명될 수 있지만, 원하는 결과를 달성하기 위해 이러한 작동은 나타낸 특정 순서 또는 순차적인 순서로, 또는 모든 작동이 수행될 필요가 없음을 인식해야 한다. 도시되지 않거나 설명되지 않는 다른 작동은, 예시적인 방법 및 공정에 포함될 수 있다. 예를 들어, 하나 이상의 추가 작동은 설명된 작동 중 임의의 작동 이전, 이후, 동시에, 및 그 사이에 수행될 수 있다. 또한, 작동은 다른 구현에서 재배열되거나 재순서화될 수 있다. 당업자는, 일부 구현예에서 예시 및/또는 개시된 공정에서 취해진 실제 단계는 도면에 나타낸 것과 상이할 수 있음을 이해할 것이다. 구현예에 따라, 전술한 단계 중 특정 단계는 제거될 수 있고, 다른 단계는 추가될 수 있다. 또한, 위에 개시된 특정 구현예의 특징부 및 속성은 다른 방식으로 조합될 수 있으며, 이들 모두는 본 개시의 범주 내에 속하는 추가 구현예를 형성한다. 또한, 전술한 구현에서 다양한 시스템 구성 요소의 분리는, 모든 구현에서 이러한 분리를 필요로 하는 것으로 이해해서는 안 되며, 설명된 구성 요소 및 시스템이 일반적으로 단일 제품에서 함께 통합되거나 다수의 제품에 패키징될 수 있음을 이해해야 한다. 예를 들어, 본원에 기술된 에너지 저장 시스템용 구성 요소 중 임의의 구성 요소는 별도로 제공될 수 있거나, 함께 통합(예, 함께 패키징되거나 함께 부착)될 수 있어 에너지 저장 시스템을 형성한다.
본 개시의 목적을 위해, 특정 양태, 이점, 및 신규 특징부가 본원에 설명된다. 반드시 이러한 모든 장점을 임의의 특정 구현예에 따라 달성할 수 있는 것은 아니다. 따라서, 예를 들어 당업자는 본 개시가, 본원에 교시 또는 제안될 수 있는 다른 장점을 반드시 달성하지 않고서, 본원에 교시된 바와 같은 하나의 장점 또는 여러 장점을 달성하는 방식으로 구현되거나 수행될 수 있음을 인식할 것이다.
본원에 제공된 표제는, 존재하는 경우, 단지 편의를 위한 것이며 본원에 개시된 장치 및 방법의 범주 또는 의미에 반드시 영향을 주지는 않는다.
본 개시의 범주는 본 섹션에서 또는 본 명세서의 다른 부분에서 바람직한 구현예의 구체적인 개시 내용에 의해 제한되는 것이 아니며, 본 섹션에서 또는 본 명세서의 다른 부분에서 제시되거나 미래에 제시될 청구범위에 의해 제한될 수 있다. 청구범위의 언어는 청구범위에 사용된 언어에 기초하여 광범위하게 해석되어야 하며, 본 명세서에서 또는 본 출원의 실행 중에 설명된 실시예로 제한되지 않으며, 실시예는 비배타적 것으로 해석되어야 한다.

Claims (20)

  1. 피가공재를 지지하도록 구성된 전면;
    상기 전면에 대향하는 후면;
    상기 전면의 내측부 주위에 지지부 경계선을 적어도 부분적으로 형성하며, 공정 처리 구성시, 상기 전면 상에 위치한 피가공재의 외측 에지의 반경 방향 안쪽으로 배치되도록 구성된 피가공재 접촉 구역;
    상기 서셉터 몸체 내에 배치된 하나 이상의 축 방향 채널(상기 축 방향 채널은 상기 전면의 외측부 내로 연장된 하나 이상의 개구에 연결되고, 상기 개구 각각은 상기 서셉터 몸체의 피가공재 접촉 구역의 반경 방향 바깥쪽에 배치됨)를 포함하되,
    상기 피가공재 접촉 구역은, 상기 면의 외측부보다 더 큰 높이로 되어 상기 피가공재 접촉 구역의 반경 방향 바깥쪽에 그리고 상기 서셉터 몸체의 면과 상기 피가공재 사이에서 축 방향으로 갭을 형성하는, 피가공재 서셉터 몸체.
  2. 제1항에 있어서, 상기 피가공재 접촉 구역은 상기 전면으로부터 연장된 원주 방향의 리브를 포함하는, 피가공재 서셉터 몸체.
  3. 제1항에 있어서, 상기 피가공재를 추가로 포함하는 피가공재 서셉터 본체.
  4. 제1항에 있어서, 상기 개구로부터 반경 방향으로 바깥쪽에 위치한 피가공재 고정 부분을 추가로 포함하되, 상기 피가공재 고정 부분은 상기 피가공재의 반경 방향 이동을 방지하도록 구성되는, 피가공재 서셉터 몸체.
  5. 제4항에 있어서, 상기 피가공재 고정 부분은 상기 피가공재 접촉 구역보다 더 큰 높이로 배치되는, 피가공재 서셉터 몸체.
  6. 제1항에 있어서, 상기 축 방향 채널은, 상기 피가공재 서셉터 몸체와 상기 후면을 통해 연장되는, 피가공재 서셉터 몸체.
  7. 제1항에 있어서, 상기 전면과 상기 후면 사이에 위치하고 상기 복수의 축 방향 채널 중 적어도 하나와 유체 연통하고 이로부터 연장된 복수의 반경 방향 채널을 추가로 포함하는, 피가공재 서셉터 몸체.
  8. 제7항에 있어서, 상기 후면을 지지하도록 구성된 받침대, 및 상기 복수의 반경 방향 채널 중 적어도 하나와 유체 연통하도록 구성되며 상기 받침대를 통해 연장되는 적어도 하나의 길이 방향 퍼지 채널을 추가로 포함하는, 피가공재 서셉터 몸체.
  9. 제8항에 있어서, 상기 전면의 내측부로 연장된 하나 이상의 애퍼처를 추가로 포함하되, 상기 복수의 애퍼처는 진공과 유체 연통하도록 구성되는, 피가공재 서셉터 몸체.
  10. 제9항에 있어서, 상기 복수의 애퍼처 중 적어도 하나와 유체 연통하도록 구성되며 상기 받침대를 통해 연장되는 적어도 하나의 길이 방향 진공 채널을 추가로 포함하는, 피가공재 서셉터 몸체.
  11. 제10항에 있어서, 상기 전면 내로 연장되는 복수의 반경 방향 홈을 추가로 포함하며, 상기 복수의 반경 방향 홈 각각은 상기 복수의 애퍼처 중 적어도 하나와 유체 연통하는, 피가공재 서셉터 몸체.
  12. 제11항에 있어서, 상기 복수의 반경 방향 홈과 유체 연통하는 원주 방향 홈을 추가로 포함하는, 피가공재 서셉터 몸체.
  13. 제12항에 있어서, 상기 원주 방향 홈은 상기 전면 상에 내측 진공 부위를 형성하고, 상기 내측 진공 부위 내의 전면으로부터 연장되는 복수의 돌출부를 추가로 포함하는, 피가공재 서셉터 몸체.
  14. 제7항에 있어서, 상기 복수의 반경 방향 채널은 복수의 반경 방향 유체 채널을 포함하고, 상기 복수의 반경 방향 유체 채널은 상기 전면과 상기 후면 사이에 위치한 적어도 하나의 반경 방향 열전대 채널을 추가로 포함하고, 상기 반경 방향 열전대 채널은 열전대를 수용하도록 구성되는, 피가공재 서셉터 몸체.
  15. 제8항에 있어서, 상기 복수의 반경 방향 채널은 복수의 반경 방향 유체 채널을 포함하고, 상기 전면과 상기 후면 사이에 위치한 적어도 하나의 반경 방향 열전대 채널을 추가로 포함하고, 상기 받침대를 통해 연장된 적어도 하나의 길이 방향 열전대 채널을 추가로 포함하고, 상기 적어도 하나의 반경 방향 열전대 채널과 길이 방향 열전대 채널은 열전대를 수용하도록 구성되는, 피가공재 서셉터 몸체.
  16. 피가공재 서셉터를 퍼지하기 위한 방법으로, 상기 방법은,
    피가공재의 외측 에지가 피가공재 접촉 구역으로부터 반경 반향 바깥쪽으로 배치되도록, 피가공재를 서셉터 몸체의 전면 상의 상기 피가공재 접촉 구역 상으로 로딩하는 단계;
    상기 서셉터 몸체의 전면이 반응 챔버와 유체 연통하고 상기 서셉터 본체의 후면이 로딩 챔버와 유체 연통하는 공정 처리 구성에, 상기 피가공재를 위치시키는 단계;
    상기 반응 챔버 내에 제1 압력을 제공하는 단계;
    상기 서셉터 몸체 내의 하나 이상의 채널로부터 상기 피가공재 접촉 구역의 반경 방향 바깥쪽으로 그리고 상기 서셉터 플레이트와 상기 피가공재 사이에 축 방향으로의 갭에, 그리고 상기 반응 챔버에 상기 제1 압력보다 높은 제2 압력으로 퍼지 가스를 흐르게 함으로써, 상기 피가공재의 외측 에지의 배면을 퍼지하는 단계를 포함하는, 방법.
  17. 제16항에 있어서, 상기 피가공재를 상기 피가공재 접촉 구역 상으로 로딩하는 단계는, 상기 피가공재를 상기 피가공재 접촉 구역으로부터 반경 방향 바깥쪽으로 위치한 피가공재 고정 부분의 반경 방향 안쪽에 로딩하는 단계를 포함하고, 상기 피가공재 고정 부분은 상기 피가공재의 반경 방향 이동을 방지하도록 구성되는, 방법.
  18. 제17항에 있어서, 상기 피가공재의 외측 에지의 배면을 퍼지하는 단계는, 상기 서셉터 몸체 내에 배치된 하나 이상의 축 방향 채널을 통해 퍼지 가스를 흐르게 하는 단계를 포함하되, 상기 축 방향 채널은 상기 피가공재 서셉터 몸체 및 상기 후면을 통해 연장되는, 방법.
  19. 제16항에 있어서, 상기 퍼지 가스는 불활성 가스 및 반응성 가스를 포함하는, 방법.
  20. 제19항에 있어서, 상기 불활성 가스는 아르곤을 포함하고, 상기 반응성 가스는 수소를 포함하는, 방법.
KR1020200060086A 2019-05-22 2020-05-20 에지 퍼지를 이용한 기판 서셉터 KR20200135734A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962851414P 2019-05-22 2019-05-22
US62/851,414 2019-05-22

Publications (1)

Publication Number Publication Date
KR20200135734A true KR20200135734A (ko) 2020-12-03

Family

ID=73442096

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200060086A KR20200135734A (ko) 2019-05-22 2020-05-20 에지 퍼지를 이용한 기판 서셉터

Country Status (5)

Country Link
US (2) US11404302B2 (ko)
JP (2) JP2020191450A (ko)
KR (1) KR20200135734A (ko)
CN (1) CN111979529A (ko)
TW (1) TW202110587A (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11961756B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Vented susceptor
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
US11404302B2 (en) 2019-05-22 2022-08-02 Asm Ip Holding B.V. Substrate susceptor using edge purging
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
CN115142046B (zh) * 2021-03-31 2024-03-12 中微半导体设备(上海)股份有限公司 基片承载组件、化学气相沉积设备及吹扫方法

Family Cites Families (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3407783A (en) 1964-08-31 1968-10-29 Emil R. Capita Vapor deposition apparatus
US3549847A (en) 1967-04-18 1970-12-22 Gen Electric Graphite susceptor
JPS4930319B1 (ko) 1969-08-29 1974-08-12
US3796182A (en) 1971-12-16 1974-03-12 Applied Materials Tech Susceptor structure for chemical vapor deposition reactor
US5242501A (en) 1982-09-10 1993-09-07 Lam Research Corporation Susceptor in chemical vapor deposition reactors
US4499354A (en) 1982-10-06 1985-02-12 General Instrument Corp. Susceptor for radiant absorption heater system
US4522149A (en) 1983-11-21 1985-06-11 General Instrument Corp. Reactor and susceptor for chemical vapor deposition process
US4560420A (en) 1984-06-13 1985-12-24 At&T Technologies, Inc. Method for reducing temperature variations across a semiconductor wafer during heating
JPS6169116A (ja) 1984-09-13 1986-04-09 Toshiba Ceramics Co Ltd シリコンウエハ−の連続cvdコ−テイング用サセプター
NL8602356A (nl) 1985-10-07 1987-05-04 Epsilon Ltd Partnership Inrichting en werkwijze voor een axiaal symmetrische reactor voor het chemische uit damp neerslaan.
US5200157A (en) 1986-02-17 1993-04-06 Toshiba Ceramics Co., Ltd. Susceptor for vapor-growth deposition
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPS63186422A (ja) 1987-01-28 1988-08-02 Tadahiro Omi ウエハサセプタ装置
US4821674A (en) 1987-03-31 1989-04-18 Deboer Wiebe B Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4978567A (en) 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
KR0155545B1 (ko) 1988-06-27 1998-12-01 고다까 토시오 기판의 열처리 장치
US5306699A (en) 1988-08-31 1994-04-26 Superconductor Technologies, Inc. Reactor vessel for manufacture of superconducting films
US4986215A (en) 1988-09-01 1991-01-22 Kyushu Electronic Metal Co., Ltd. Susceptor for vapor-phase growth system
JPH0834187B2 (ja) 1989-01-13 1996-03-29 東芝セラミックス株式会社 サセプタ
JP2731855B2 (ja) 1989-02-14 1998-03-25 アネルバ株式会社 減圧気相成長装置
US5156820A (en) 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US5119540A (en) 1990-07-24 1992-06-09 Cree Research, Inc. Apparatus for eliminating residual nitrogen contamination in epitaxial layers of silicon carbide and resulting product
US4990374A (en) 1989-11-28 1991-02-05 Cvd Incorporated Selective area chemical vapor deposition
US5108792A (en) 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
EP0448346B1 (en) 1990-03-19 1997-07-09 Kabushiki Kaisha Toshiba Vapor-phase deposition apparatus
US5098198A (en) 1990-04-19 1992-03-24 Applied Materials, Inc. Wafer heating and monitor module and method of operation
US5094885A (en) 1990-10-12 1992-03-10 Genus, Inc. Differential pressure cvd chuck
JPH0410529A (ja) 1990-04-27 1992-01-14 Shin Etsu Handotai Co Ltd サセプタ及びウエーハ自動脱着装置
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5121531A (en) 1990-07-06 1992-06-16 Applied Materials, Inc. Refractory susceptors for epitaxial deposition apparatus
US5620525A (en) 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5044943A (en) 1990-08-16 1991-09-03 Applied Materials, Inc. Spoked susceptor support for enhanced thermal uniformity of susceptor in semiconductor wafer processing apparatus
US5298465A (en) 1990-08-16 1994-03-29 Applied Materials, Inc. Plasma etching system
US5304248A (en) 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5356486A (en) 1991-03-04 1994-10-18 Applied Materials, Inc. Combined wafer support and temperature monitoring device
US5199483A (en) 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US5393349A (en) 1991-08-16 1995-02-28 Tokyo Electron Sagami Kabushiki Kaisha Semiconductor wafer processing apparatus
US5332442A (en) 1991-11-15 1994-07-26 Tokyo Electron Kabushiki Kaisha Surface processing apparatus
US5455069A (en) 1992-06-01 1995-10-03 Motorola, Inc. Method of improving layer uniformity in a CVD reactor
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5461214A (en) 1992-06-15 1995-10-24 Thermtec, Inc. High performance horizontal diffusion furnace system
US5308645A (en) 1992-08-07 1994-05-03 Delco Electronics Corporation Method and apparatus for through hole substrate printing
US5803977A (en) 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
US5292554A (en) 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
US5343938A (en) 1992-12-24 1994-09-06 Vlsi Technology, Inc. Method and apparatus for thermally insulating a wafer support
EP0606751B1 (en) 1993-01-13 2002-03-06 Applied Materials, Inc. Method for depositing polysilicon films having improved uniformity and apparatus therefor
US5444217A (en) 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
DE59406900D1 (de) 1993-02-08 1998-10-22 Sez Semiconduct Equip Zubehoer Träger für scheibenförmige Gegenstände
US5421893A (en) 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
NL9300389A (nl) 1993-03-04 1994-10-03 Xycarb Bv Substraatdrager.
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
US5738165A (en) 1993-05-07 1998-04-14 Nikon Corporation Substrate holding apparatus
JPH0711446A (ja) 1993-05-27 1995-01-13 Applied Materials Inc 気相成長用サセプタ装置
DE69404397T2 (de) 1993-07-13 1997-11-13 Applied Materials Inc Verbesserte Suszeptor Ausführung
JPH0758039A (ja) 1993-08-20 1995-03-03 Toshiba Ceramics Co Ltd サセプタ
TW277139B (ko) * 1993-09-16 1996-06-01 Hitachi Seisakusyo Kk
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5549756A (en) 1994-02-02 1996-08-27 Applied Materials, Inc. Optical pyrometer for a thin film deposition system
US5467220A (en) 1994-02-18 1995-11-14 Applied Materials, Inc. Method and apparatus for improving semiconductor wafer surface temperature uniformity
US5645646A (en) 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
EP0669640A1 (en) 1994-02-25 1995-08-30 Applied Materials, Inc. Susceptor for deposition apparatus
JPH0878347A (ja) 1994-09-06 1996-03-22 Komatsu Electron Metals Co Ltd エピタキシャル成長装置のサセプタ
US5514439A (en) 1994-10-14 1996-05-07 Sibley; Thomas Wafer support fixtures for rapid thermal processing
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
NL9500614A (nl) 1995-03-30 1996-11-01 Helpman Intellectual Propertie Warmtewisselaar.
JP3028462B2 (ja) 1995-05-12 2000-04-04 東京エレクトロン株式会社 熱処理装置
FR2735238B1 (fr) 1995-06-09 1997-09-05 Cis Bio Int Utilisation d'un complexe phycobiliproteine-peptide de liaison en tant que traceur fluorescent
US5700725A (en) 1995-06-26 1997-12-23 Lucent Technologies Inc. Apparatus and method for making integrated circuits
JPH0936049A (ja) 1995-07-21 1997-02-07 Mitsubishi Electric Corp 気相成長装置およびこれによって製造された化合物半導体装置
US6093252A (en) 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
JP3430277B2 (ja) 1995-08-04 2003-07-28 東京エレクトロン株式会社 枚葉式の熱処理装置
US5551985A (en) 1995-08-18 1996-09-03 Torrex Equipment Corporation Method and apparatus for cold wall chemical vapor deposition
US6086680A (en) 1995-08-22 2000-07-11 Asm America, Inc. Low-mass susceptor
US6113702A (en) 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
JPH09181155A (ja) 1995-09-29 1997-07-11 Applied Materials Inc 堆積装置のサセプタ
US5584936A (en) 1995-12-14 1996-12-17 Cvd, Incorporated Susceptor for semiconductor wafer processing
US5895530A (en) 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
US5656093A (en) 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5761023A (en) 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6001183A (en) 1996-06-10 1999-12-14 Emcore Corporation Wafer carriers for epitaxial growth processes
US6395363B1 (en) 1996-11-05 2002-05-28 Applied Materials, Inc. Sloped substrate support
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6077357A (en) 1997-05-29 2000-06-20 Applied Materials, Inc. Orientless wafer processing on an electrostatic chuck
TW524873B (en) * 1997-07-11 2003-03-21 Applied Materials Inc Improved substrate supporting apparatus and processing chamber
US6090212A (en) 1997-08-15 2000-07-18 Micro C Technologies, Inc. Substrate platform for a semiconductor substrate during rapid high temperature processing and method of supporting a substrate
USD404370S (en) 1997-08-20 1999-01-19 Tokyo Electron Limited Cap for use in a semiconductor wafer heat processing apparatus
US5960159A (en) 1997-10-14 1999-09-28 Kokusai Electric Co., Ltd. Heat treatment of semiconductor wafers where upper heater directly heats upper wafer in its entirety and lower heater directly heats lower wafer in its entirety
WO1999023276A1 (en) 1997-11-03 1999-05-14 Asm America, Inc. Long life high temperature process chamber
WO1999023691A2 (en) 1997-11-03 1999-05-14 Asm America, Inc. Improved low mass wafer support system
US6293749B1 (en) 1997-11-21 2001-09-25 Asm America, Inc. Substrate transfer system for semiconductor processing equipment
US6068441A (en) 1997-11-21 2000-05-30 Asm America, Inc. Substrate transfer system for semiconductor processing equipment
KR19990069084A (ko) 1998-02-04 1999-09-06 윤종용 반도체소자 제조용 서셉터
KR100460338B1 (ko) 1998-02-04 2005-01-17 삼성전자주식회사 반도체소자 제조용 서셉터
US6219219B1 (en) 1998-09-30 2001-04-17 Applied Materials, Inc. Cathode assembly containing an electrostatic chuck for retaining a wafer in a semiconductor wafer processing system
JP2000269310A (ja) 1999-03-15 2000-09-29 Toshiba Corp 半導体ウェハ支持装置
US6331023B1 (en) 2000-01-14 2001-12-18 Asm America, Inc. Gridded substrate transport spatula
US7166165B2 (en) 2000-04-06 2007-01-23 Asm America, Inc. Barrier coating for vitreous materials
JP2002184843A (ja) 2000-12-13 2002-06-28 Sharp Corp 半導体基板保持装置
US6634882B2 (en) 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance
KR100389449B1 (ko) 2001-06-26 2003-06-27 주성엔지니어링(주) 대칭형 유로블럭을 가지는 진공판
JP2003124167A (ja) 2001-10-10 2003-04-25 Sumitomo Heavy Ind Ltd ウエハ支持部材及びこれを用いる両頭研削装置
US7033445B2 (en) 2001-12-27 2006-04-25 Asm America, Inc. Gridded susceptor
US20030168174A1 (en) * 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US7704327B2 (en) 2002-09-30 2010-04-27 Applied Materials, Inc. High temperature anneal with improved substrate support
US7921802B2 (en) 2002-12-09 2011-04-12 Nxp B.V. System and method for suppression of wafer temperature drift in cold-wall CVD systems
USD496008S1 (en) 2002-12-12 2004-09-14 Tokyo Electron Limited Exhaust ring for manufacturing semiconductors
US6709267B1 (en) 2002-12-27 2004-03-23 Asm America, Inc. Substrate holder with deep annular groove to prevent edge heat loss
US8366830B2 (en) 2003-03-04 2013-02-05 Cree, Inc. Susceptor apparatus for inverted type MOCVD reactor
JP4058364B2 (ja) 2003-03-18 2008-03-05 株式会社日立製作所 半導体製造装置
US20040229002A1 (en) 2003-05-15 2004-11-18 3D Systems, Inc. Stereolithographic seal and support structure for semiconductor wafer
US20050092439A1 (en) 2003-10-29 2005-05-05 Keeton Tony J. Low/high temperature substrate holder to reduce edge rolloff and backside damage
KR101112029B1 (ko) 2004-02-13 2012-03-21 에이에스엠 아메리카, 인코포레이티드 자동 도핑 및 후면 증착의 감소를 위한 기판 지지 시스템
USD525127S1 (en) 2004-03-01 2006-07-18 Kraft Foods Holdings, Inc. Susceptor ring
US20060005767A1 (en) 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
JP2006228802A (ja) 2005-02-15 2006-08-31 Dainippon Screen Mfg Co Ltd ウエハ端面保護装置
EP1772901B1 (en) 2005-10-07 2012-07-25 Rohm and Haas Electronic Materials, L.L.C. Wafer holding article and method for semiconductor processing
KR20070098025A (ko) 2006-03-30 2007-10-05 삼성전자주식회사 반도체 소자 제조용 장비
JP2008198739A (ja) * 2007-02-09 2008-08-28 Tokyo Electron Ltd 載置台構造、これを用いた処理装置及びこの装置の使用方法
US7602224B2 (en) 2007-05-16 2009-10-13 Hynix Semiconductor, Inc. Semiconductor device having delay locked loop and method for driving the same
US20090280248A1 (en) 2008-05-06 2009-11-12 Asm America, Inc. Porous substrate holder with thinned portions
US8394229B2 (en) 2008-08-07 2013-03-12 Asm America, Inc. Susceptor ring
USD600223S1 (en) 2008-08-07 2009-09-15 Ravinder Aggarwal Susceptor ring
US8801857B2 (en) 2008-10-31 2014-08-12 Asm America, Inc. Self-centering susceptor ring assembly
US20100107974A1 (en) 2008-11-06 2010-05-06 Asm America, Inc. Substrate holder with varying density
US8287648B2 (en) * 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US10242890B2 (en) * 2011-08-08 2019-03-26 Applied Materials, Inc. Substrate support with heater
US11085112B2 (en) 2011-10-28 2021-08-10 Asm Ip Holding B.V. Susceptor with ring to limit backside deposition
WO2013143081A1 (en) * 2012-03-28 2013-10-03 Acm Research (Shanghai) Inc. Vacuum chuck
US9682398B2 (en) 2012-03-30 2017-06-20 Applied Materials, Inc. Substrate processing system having susceptorless substrate support with enhanced substrate heating control
USD743357S1 (en) 2013-03-01 2015-11-17 Asm Ip Holding B.V. Susceptor
US9633889B2 (en) * 2013-03-06 2017-04-25 Applied Materials, Inc. Substrate support with integrated vacuum and edge purge conduits
US10068791B2 (en) 2013-03-08 2018-09-04 Semiconductor Components Industries, Llc Wafer susceptor for forming a semiconductor device and method therefor
USD784276S1 (en) 2013-08-06 2017-04-18 Applied Materials, Inc. Susceptor assembly
US20160002778A1 (en) * 2014-07-03 2016-01-07 Applied Materials, Inc. Substrate support with more uniform edge purge
US10269614B2 (en) 2014-11-12 2019-04-23 Applied Materials, Inc. Susceptor design to reduce edge thermal peak
US10242848B2 (en) * 2014-12-12 2019-03-26 Lam Research Corporation Carrier ring structure and chamber systems including the same
JP1547357S (ko) 2015-07-27 2016-04-11
USD807481S1 (en) 2016-04-08 2018-01-09 Applied Materials, Inc. Patterned heater pedestal
JP1570747S (ko) 2016-04-27 2018-02-19
JP1570748S (ko) 2016-04-27 2018-02-19
US9698042B1 (en) * 2016-07-22 2017-07-04 Lam Research Corporation Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US20180138074A1 (en) * 2016-11-11 2018-05-17 Samsung Electronics Co., Ltd. Carrier ring and chemical vapor deposition apparatus including the same
JP6766893B2 (ja) 2017-02-02 2020-10-14 株式会社Sumco リフトピン、該リフトピンを用いたエピタキシャル成長装置およびシリコンエピタキシャルウェーハの製造方法
JP1587815S (ko) 2017-03-31 2017-10-10
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD864134S1 (en) 2018-10-24 2019-10-22 Asm Ip Holding B.V. Susceptor
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
US11961756B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Vented susceptor
US11404302B2 (en) 2019-05-22 2022-08-02 Asm Ip Holding B.V. Substrate susceptor using edge purging
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing

Also Published As

Publication number Publication date
US11404302B2 (en) 2022-08-02
JP2020191450A (ja) 2020-11-26
JP2024054122A (ja) 2024-04-16
CN111979529A (zh) 2020-11-24
US20200373187A1 (en) 2020-11-26
US20220380895A1 (en) 2022-12-01
TW202110587A (zh) 2021-03-16

Similar Documents

Publication Publication Date Title
KR20200135734A (ko) 에지 퍼지를 이용한 기판 서셉터
TWI702306B (zh) 多區反應器,包含該反應器的系統及使用該反應器的方法
US20230116396A1 (en) Contour pocket and hybrid susceptor for wafer uniformity
KR20080034157A (ko) 가스 매니폴드 밸브 클러스터
KR20170029550A (ko) 더 균일한 에지 퍼지를 갖는 기판 지지부
TWI671816B (zh) 負載鎖定整合斜面蝕刻器系統
US20180122685A1 (en) Planar substrate edge contact with open volume equalization pathways and side containment
KR20180063345A (ko) 반도체 제조의 웨이퍼 처리를 위한 고 생산성 pecvd 툴
CN114981475A (zh) 用于原子层沉积前驱物输送的喷头
US10301718B2 (en) Asymmetric pedestal/carrier ring arrangement for edge impedance modulation
KR20230088467A (ko) 열적 균일 증착 스테이션
TWI658164B (zh) 薄膜封裝處理系統和處理套組
KR102529738B1 (ko) 리모트 플라즈마 세정 (remote-plasma clean (rpc)) 지향성 플로우 디바이스
US11242600B2 (en) High temperature face plate for deposition application
US20210398843A1 (en) Vented susceptor
US11674227B2 (en) Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
TW202208672A (zh) 用於控制晶圓斜邊/邊緣上之沉積的噴淋頭設計
KR20240001985A (ko) 퍼지 메커니즘을 갖는 스핀들 어셈블리 및 퍼지 메커니즘을 갖는 리프트 핀 드라이브 어셈블리
WO2024076479A1 (en) Adjustable pedestal
WO2023055953A1 (en) Flow guide apparatuses for flow uniformity control in process chambers

Legal Events

Date Code Title Description
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
E90F Notification of reason for final refusal