TWI671816B - 負載鎖定整合斜面蝕刻器系統 - Google Patents

負載鎖定整合斜面蝕刻器系統 Download PDF

Info

Publication number
TWI671816B
TWI671816B TW105126061A TW105126061A TWI671816B TW I671816 B TWI671816 B TW I671816B TW 105126061 A TW105126061 A TW 105126061A TW 105126061 A TW105126061 A TW 105126061A TW I671816 B TWI671816 B TW I671816B
Authority
TW
Taiwan
Prior art keywords
mask
load
mask plate
substrate
substrate support
Prior art date
Application number
TW105126061A
Other languages
English (en)
Other versions
TW201712751A (zh
Inventor
薩普塔爾西 巴蘇
李正敏
保羅 康諾斯
道爾R 督波斯
派瑞尚特庫馬 庫許魯須薩
卡席克辛馬瓦朱拉 娜拉辛赫
布雷特 貝倫斯
卡亞吉特 葛許
周建華
葛尼斯 巴拉蘇拔馬尼安
李光德道格拉斯
君卡洛斯 羅莎亞凡利斯
博幸 小木曾
莉莉亞 克利芙麗娜
瑞克 吉伯特
穆赫辛 瓦卡
凡卡塔納拉亞納 山卡拉穆席
哈利K 波奈康提
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201712751A publication Critical patent/TW201712751A/zh
Application granted granted Critical
Publication of TWI671816B publication Critical patent/TWI671816B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

於此揭露的實施例描述一種在負載鎖定斜面蝕刻腔室內的斜面蝕刻設備及其使用方法。斜面蝕刻設備具有在負載鎖定斜面蝕刻腔室內的遮罩組件。在蝕刻製程期間,遮罩組件傳輸氣流,以控制斜面蝕刻,而無需使用陰影框。如此,在斜面邊緣處的邊緣排除可被減少,因而提高產品的產量。

Description

負載鎖定整合斜面蝕刻器系統
本揭露書的實施例大體關於在半導體製造期間用於蝕刻基板的設備。
晶片廠商自每一經處理的晶圓爭取高裝置產出、低缺陷數及增加的性能,以提高效率。每一晶圓的裝置產出可藉由最大化在每一基板上的經圖案化區域,同時維持或減少缺陷數而增加。
晶圓的斜面邊緣需要被清潔,以避免斜面剝離,並減少缺陷數和在微影工具中之晶圓的進一步污染。在接近斜面邊緣,不期望有沉積以避免斜面剝離的的區域被稱為「邊緣排除」。在邊緣排除內的沉積區域理想地為在晶圓表面之上具有均勻厚度的膜。然而,由於在晶圓的斜面邊緣處用於一些沉積材料(如非晶碳)之電漿行為,膜可能在邊緣處較厚,導致「邊緣隆起」。
目前的方法是使用陰影環以覆蓋晶圓的邊緣,以減少邊緣隆起的厚度並保持斜面清潔。這種方法的限制是邊緣排除區域延伸達3.5 mm,且不利於裝置產出。邊緣輪廓也是不容易可客製化的,因為它取決於陰影環的尺寸和形狀。當前的基於陰影環之方法已僅具有有限的效果於產生低於3.5 mm之斜面邊緣排除長度。
第1A圖(先前技術)是顯示用於在基板101上形成的沉積層102上之理想輪廓的局部剖視圖。沉積層102係橫跨基板101的頂表面而均勻地沉積,且不在邊緣排除區域103內沉積。然而,實際的沉積輪廓通常不同於第1A圖中所示的理想配置。第1B圖(先前技術)係顯示在經歷CVD或PECVD沉積之後,在基板101上的沉積層102a之實際表面輪廓的局部剖面圖。沉積層102a被沉積,而不使用陰影框或其它邊緣沉積控制。於此所示,沉積層102a延伸到邊緣排除區域103。具有額外厚度的斜面邊緣104可能靠近邊緣排除區域103而形成。
為防止在基板的邊緣處之形成沉積膜,第1C圖(先前技術)是顯示一種提出使用陰影環105之傳統方法的局部剖視圖。陰影環105係通常佈置在重疊並覆蓋基板101的邊緣排除區域103之至少一個部分的位置處。因此,如第1C圖中所示,沉積層102b在陰影環105的陰影下逐漸地減少。沉積層102b的逐步減少在邊緣排除區域103和沉積層102b的前面區域的一部分之上留下非水平的沉積產品。
因此,存在有用於進一步減少斜面邊緣排除的裝置和方法的需求。
於此所揭露的實施例包括減少斜面邊緣排除之系統和方法。於此的系統和方法使用置中的遮罩,置中的遮罩具有在置中的遮罩和基板的表面之間的氣流。蝕刻劑係從第二來源輸送,使得基板的邊緣排除區域可被蝕刻,同時最小化在置中的遮罩之下對基板的蝕刻。
在一個實施例中,一種負載鎖定斜面蝕刻腔室可包括:基板支撐件,具有定位在處理區域中的基板支撐表面;遠端電漿源,經配置以供應蝕刻劑到基板支撐表面的周邊區域;及遮罩組件,耦接到氣體源,遮罩組件包括:遮罩板;遮罩軸,與遮罩板連接;多向移動裝置,與遮罩軸相連,多向移動裝置能藉由移動遮罩軸而調節遮罩的位置;及氣體通道,形成在遮罩板中,氣體通道和遮罩板經配置以在基板支撐表面之上輸送氣流,氣體從基板支撐表面的大致中心區域朝基板支撐表面的周邊區域流動。
在另一個實施例中,一種負載鎖定斜面蝕刻腔室可包括:基板支撐件,具有定位在處理區域中的基板支撐表面;遠端電漿源,經配置以供應蝕刻劑到基板支撐表面的周邊區域;及遮罩組件,耦接到氣體源,遮罩組件包括:遮罩板;延伸件,與遮罩板連接;擋板,與延伸件連接,擋板具有複數個槽穿過擋板而形成,遠端電漿源通過擋板而被輸送到處理區域;移動控制裝置,與擋板相連,移動控制裝置經配置以同時地移動擋板和遮罩;及氣體通道,形成在遮罩板中,氣體通道和遮罩板經配置以在基板支撐表面之上輸送氣流,氣體從基板支撐表面的大致中心區域朝基板支撐表面的周邊區域流動。
在另一個實施例中,一種負載鎖定斜面蝕刻腔室可包括:基板支撐件,具有定位在處理區域中的基板支撐表面;遠端電漿源,經配置以供應蝕刻劑到基板支撐表面的周邊區域;及遮罩組件,耦接到氣體源,其中遮罩組件經配置以在基板支撐表面之上輸送氣流,高速氣體從基板支撐表面的大致中心區域朝基板支撐表面的周邊區域流動。
於此所揭露的實施例描述位於負載鎖定斜面蝕刻腔室中的斜面蝕刻設備。斜面蝕刻系統被放置在可用於製程的負載鎖定斜面蝕刻腔室中,且因此不取代製程腔室並減少沉積工具的產出。斜面蝕刻設備使用遠端電漿源(RPS)以產生氧-氬電漿,以急遽地沿著晶圓的圓周均勻地蝕刻晶圓的斜面邊緣區域。蝕刻氣體是氧氣、氬氣和氮氣的混合物,使用噴淋頭種類的設備而被均勻地分配。次要的氬氣流被使用作為清潔氣體,以控制蝕刻輪廓,並防止蝕刻反應物的徑向擴散。在基板處理期間,清潔氣體通過在基板和遮罩之間的窄間隙而流動。
斜面蝕刻設備提供客製化的斜面厚度輪廓,以滿足不同的客戶規格。於此所述的系統可自斜面邊緣清除在0.2 mm和1.0 mm之間的斜面。此外,系統可通過材料移除製程,在1 mm至2.5 mm的範圍內達到沉積層之全部厚度的5%和30%之間。在負載鎖定斜面蝕刻腔室中的斜面蝕刻設備的材料移除製程是不同於陰影環的排除方式。斜面蝕刻設備提供雙氣流,以產生來自斜面的受控材料移除,同時以遮罩保護基板的中心區域。
於此文件中所述的系統將斜面蝕刻系統整合到PECVD工具(此後稱為可被放置在負載鎖定腔室中的「斜面蝕刻設備」)的可用於製程的負載鎖定腔室,此後描述為負載鎖定斜面蝕刻腔室。斜面蝕刻設備提供顯著的成本效益、減少外圍系統的需求、節省晶圓廠的佔地面積並蝕刻斜面邊緣而不破壞真空。此外,斜面蝕刻設備以節省成本的方式帶來了包裹在負載鎖定斜面蝕刻腔室內之斜面蝕刻的所有優點(包括可控制的蝕刻輪廓、更高的產出及較少的污染)。以下的段落說明關於在負載鎖定中的系統、設計和硬體之包裹的各種硬體特徵,及於此所述與實施例一起使用的各種化學和製程條件。於此所揭露的實施例之元件與於下所說明的圖式相關的方式而被更清楚地說明。。
第2A圖係顯示基板處理系統200的實施例的概要圖。處理系統200包含工廠介面210,其中基板被裝載到負載鎖定斜面蝕刻腔室240中,並自負載鎖定斜面蝕刻腔室240卸載;基板傳送腔室270,容納有用於搬運基板的機械手臂272;及複數個雙製程腔室220,連接到傳送腔室270。處理系統200適於容納各種製程和支援腔室的硬體,諸如CVD和蝕刻製程。
如第2A圖中所示,工廠介面210可包括基板卡匣213和基板搬運機械手臂215。卡匣213之每一者含有準備用於處理的基板。基板搬運機械手臂215可包含基板映射系統,以將每一卡匣213中的基板編索引,以準備用於將基板裝載到負載鎖定斜面蝕刻腔室240中。每一負載鎖定斜面蝕刻腔室240提供在工廠介面210和傳送腔室270之間的真空界面。在於此所述的實施例中,負載鎖定斜面蝕刻腔室240的至少一者具有包含在負載鎖定斜面蝕刻腔室內的斜面蝕刻設備。
第2B圖是根據於此述的實施例之負載鎖定斜面蝕刻腔室240當與處理系統200連接時的側視圖。如第2B圖中所示,每一負載鎖定斜面蝕刻腔室240可包含上基板支撐件242、下基板支撐件244或兩者。在包括上基板支撐件242和下基板支撐件244的實施例中,支撐件係堆疊在負載鎖定斜面蝕刻腔室240內。上基板支撐件242和下基板支撐件244可經配置以在上基板支撐件242和下基板支撐件244上支撐進來的和出去的基板。基板可在工廠介面210和每一負載鎖定斜面蝕刻腔室240之間經由一個狹縫閥246且在每一負載鎖定斜面蝕刻腔室240和傳送腔室270之間經由一個狹縫閥248而傳送。上基板支撐件242和下基板支撐件244可包含用於溫度控制的特徵結構,諸如內置的加熱器或冷卻器,以在傳送期間加熱或冷卻基板。斜面蝕刻設備係參照以下的第3A和3B圖而更詳細地說明。然而,應理解這裡所述的部件可與於此所述的其他實施例結合,無需進一步載明。
再次參照第2A圖,傳送腔室270包括基板搬運機械手臂272,可操作以在負載鎖斜面蝕刻腔室240和雙製程腔室220之間傳送基板。更具體地,基板搬運機械手臂272可具有雙重基板搬運葉片274,適於同時從一個腔室傳送兩個基板到另一個。基板可在傳送腔室270和雙製程腔室220之間經由狹縫閥276而傳送。基板搬運機械手臂272的移動可藉由馬達驅動系統(未示出)而控制,馬達驅動系統可包括伺服馬達或步進馬達。
第3圖顯示根據於此所述的實施例之在負載鎖定斜面蝕刻腔室300中的斜面蝕刻設備之側視圖。負載鎖定斜面蝕刻腔室300被連接到傳送腔室,並包括具有基板支撐件330的處理區域302,其中個別的基板可經歷斜面邊緣蝕刻製程。每一處理區域302具有部分地界定處理區域302之壁312和底部314。處理區域302可通過形成在壁312中、幫助移動基板進出每一處理區域302的入口埠304和出口埠306而存取。壁312和底部314可由與處理相容的鋁或其它材料的單一塊體而製成。壁312支撐頂部322,頂部322與擋板308相連。處理區域302可藉由真空泵(未示出)而被均勻地抽空。
基板支撐件330可被置中地設置在每一處理區域302內。在一個實施例中,基板支撐件330可為溫度受控的。基板支撐件330可在處理期間支撐基板404,參照第4A和4B圖所示。在一個實施例中,基板支撐件330包含至少一個嵌入式加熱器,可操作以可控制地加熱基板支撐件330和定位在基板支撐件330上的基板404到預定的溫度。在一個實施例中,基板支撐件330可操作以將基板404維持在約攝氏150度到約攝氏1000度之間的溫度,取決於用於將處理的材料之處理參數。
負載鎖定斜面蝕刻腔室300的頂部322提供對於處理區域302的上邊界。頂部322可被移除或打開,以維護處理區域302。在一個實施例中,頂部322可由鋁所製成。擋板308係可移動地與頂部322通過一或多個移動控制裝置324而連接。移動控制裝置324是可用以調整一或多個腔室部件(諸如擋板308)的位置之裝置。在一個實施例中,移動控制裝置324可為馬達或致動器。
在一個實施例中,頂部322是具有冷卻元件(諸如冷卻通道)形成於中之經加工的鋁塊體。頂部322可發散製程的熱量,以及分配氣體到負載鎖定斜面蝕刻腔室300。被定位在頂部322的表面上之移動控制裝置324(諸如氣動致動器和彈簧)可接著移動擋板308。該蓋板320可保護頂部322的底表面免於自遠端電漿源328所產生及輸送的自由基。因此,蓋板320減少自由基的重組,並改善蝕刻速率。蓋板320的邊緣形成L形的脊,脊結合在擋板308上之L形的形成而作用,以減少寄生洩漏。
在另一個實施例中,擋板308係自石英塊而加工。擋板308可為在負載鎖定斜面蝕刻腔室300中的主要移動部件。擋板308移動以允許基板進入和退出。擋板308可具有在6個和36個之間的經加工的槽336,以幫助均勻分配自由基。擋板308使用支座348以與陶瓷夾持環350接觸。支座348和夾持環350的這種組合提供在遮罩340和基板之間的平行且均勻的間隙。擋板308的高度決定在基板邊緣處之氣體的傳導性。支座348是大致矩形的,並最小化對氣流的影響。支座348可包括孔,以防止滯流區。
擋板308具有形成或定位在擋板308中的氣體分配迴路332。氣體分配迴路332與氣體源334(諸如惰性氣體源)連接。此外,擋板308具有形成於擋板308中的複數個狹縫336。狹縫336可經調整尺寸,使得蓋板(未示出)可被定位在狹縫336中。狹縫336可形成為繞擋板308的上部之環。狹縫336可部分地或完全地通過擋板308的下部而貫通。
擋板308繞蓋板320而定位,且以蓋板320部分地密封,蓋板320被連接到頂部322。蓋板320具有一或多個開口,使得軸326可與在蓋板320與擋板308之間的區域流體連接。軸326與遠端電漿源328相連。
為在一個基板404的邊緣區域處蝕刻沉積膜的一部分,處理區域302包括鄰近頂部322而設置的遠端電漿源328。遠端電漿源328包括功率源和一或多個氣體源,使得電漿可被遠端地產生。
擋板308與遮罩340相連。遮罩340可由石英、鋁、陶瓷或能夠抵抗蝕刻氣體的其它材料所構成。此外,遮罩340可被塗覆有鎳或能降低在遮罩340上之自由基重組的其它材料。遮罩340具有延伸件341、遮罩板343、斜的壁架344和流動表面346。遮罩340和於此所述之進一步相關的部件也可以被稱為遮罩組件。延伸件341從遮罩板343延伸,且連接遮罩板343與擋板308的表面。延伸件341可為具有遮罩板343的單塊本體的部分。遮罩板343具有形成在遮罩板343中的氣體埠342。氣體埠342係顯示為單一的、置中的埠。然而,複數個埠可在一或多個實施例中用於氣體埠342。此外,氣體埠342可被定位在允許氣體通過遮罩板343並朝向基板支撐件330上的基板位置而輸送之任何位置處。
在一個實施例中,遮罩340使用三個螺絲而被附接到擋板308。延伸件341是陶瓷且作為在遮罩和擋板之間的間隔件。延伸件341的厚度可經變化以改變基板-遮罩的間隙。對於300 mm直徑之基板而言,遮罩340具有可在290 mm和305 mm之間的直徑。在一個實施例中,遮罩半徑為149.5 mm。遮罩340的形狀和尺寸與基板的尺寸相關,且可具有不同的尺寸和形狀,以匹配基板的一般形狀。
斜的壁架344從遮罩板343向外延伸。斜的壁架344的角度決定在基板404和遮罩板343之間的區域中之空間量。斜的壁架344係顯示為形成到遮罩板中之環形,且具有在5度和60度之間的角度,諸如約15度的斜壁架。斜的壁架344可具有形成在斜的壁架344中的一或多個角度。流動表面346係形成在斜的壁架344之曝露邊緣處。流動表面346在這裡顯示為大部分水平的表面,形成在斜的壁架344之端部處。
斜面邊緣蝕刻可被用以移除在基板404的邊緣區域中之沉積膜的非所欲部分。當邊緣蝕刻時,遠端電漿源328自第一氣體源338接收蝕刻氣體。蝕刻氣體的例子可包括(但不限於)NF3 、O2 、F2 、N2 、H2 O、Cl2 、NH3 、H2 或SF6 。RF功率可接著被施加到在遠端電漿源328中的電極,以激發蝕刻氣體。在電漿態中之蝕刻劑形成在遠端電漿源328中。蝕刻劑接著通過軸326而輸送並輸送到擋板308。
為防止朝基板404的中心區域擴散蝕刻劑,第二氣體源334提供經由氣體分配迴路332而輸送的惰性氣體,並在基板404的頂表面上產生從遮罩340的中心區域朝遮罩340的流動表面446之高速流動。惰性氣體的高速流動限制蝕刻劑的作用區域到超出流動表面346之基板404的區域。雖然負載鎖定斜面蝕刻腔室300的一個特定實施例已說明於上,不同的實施例是可能的。
如已於以上所述,在負載鎖定斜面蝕刻腔室300中的斜面蝕刻設備係因此能整合基板邊緣的處理能力,以同時處理多個基板。雖然第3圖中所示的處理系統之每一斜面蝕刻設備已被描述為用於邊緣蝕刻能力之包括擋板308和遮罩340的組合,其它負載鎖定斜面蝕刻腔室可整合可實施邊緣處理功能之多樣的遮罩組合。具有實施邊緣處理功能之負載鎖定斜面蝕刻腔室的基板處理系統的進一步例子係結合第4A和4B圖而在此後說明。
第4A圖顯示根據於此所述之進一步的實施例之在負載鎖定斜面蝕刻腔室400中的斜面蝕刻設備之側視圖。負載鎖定斜面蝕刻腔室400連接到傳送腔室,並包括具有基板支撐件430的處理區域402,其中個別的基板可經歷斜面邊緣蝕刻製程。每一處理區域402具有部分地界定的處理區域402之壁412和底部414。處理區域402可通過形成在壁412中、幫助移動基板進出每一處理區域402的入口埠403和出口埠406而存取。壁412和底部414可由與處理相容的鋁或其它材料的單一塊體而製成。壁412支撐頂部422,頂部422與歧管408相連。處理區域402可藉由真空泵(未示出)而被均勻地抽空。
基板支撐件430可被置中地設置在每一處理區域402內。基板支撐件430可實質地類似於參照第3圖所描述的基板支撐件330。在一個實施例中,基板支撐件430包含至少一個嵌入式加熱器,可操作以可控制地加熱基板支撐件430和定位在基板支撐件430上的基板404到預定的溫度。基板支撐件430可以由鋁、陶瓷或其它材料所構成。
基板支撐件430可在處理期間支撐基板404。基板404可由在半導體裝置中所使用的成分(諸如矽)所製成。此外,基板404可為具有300 mm直徑、450 mm直徑或其它直徑的晶圓。
頂部422提供對於處理區域402的上邊界。頂部422可被移除或打開,以維護處理區域402。在一個實施例中,頂部422可由鋁所製成。遮罩440係可移動地與多向移動裝置424通過遮罩軸441而連接。多向移動裝置424是可用以調整連接有遮罩軸441之遮罩的位置之裝置,諸如基於電動馬達的系統。多向移動裝置424允許沿所有的三個軸而改變定位,使得遮罩440可與基板404精確地對準。在一個實施例中,多向移動裝置424包括與電動馬達連接的機械固定件。電動馬達在第一方向上移動遮罩軸441。電動馬達通過第二固定件(諸如不銹鋼環)接著在第二方向和第三方向上移動遮罩軸441。因此,藉由樞轉遮罩軸441同時上下移動遮罩軸441,遮罩440可沿所有的三個軸被再定位。在另一個實施例中,遮罩440可在遮罩軸441上樞轉,因而允許在遮罩440的定向上之改變。多向移動裝置424的移動可被控制為1 mil的分辨率。此外,多向移動裝置424可調整遮罩440的高度到基板支撐件430,而不破壞真空。
不希望受理論的束縛,基板遮罩的同心度被認為受益於蝕刻輪廓和蝕刻均勻性的立場。使用機械同心度及/或製程同心度,多向移動裝置424可相關於遮罩而正確地定位基板。機械同心度可藉由測量在遮罩440的邊緣和基板404的一部分(諸如基板404的邊緣)之間的間隙而決定。在另一個實施例中,遮罩440的邊緣可以形成在遮罩440上的特徵而取代。遮罩440的位置和基板404的位置可使用光學裝置(諸如相機(未示出))而決定。
歧管408具有形成於歧管408中的複數個狹縫。這裡所述的狹縫係實質地類似於,參照第3圖而描述的狹縫336。歧管408繞上開口420而定位並以上開口420流體地密封,上開口420與頂部422相連。電漿軸426通過歧管408且與在歧管408和上開口420之間的 區域流體連接。電漿軸426與遠端電漿源428相連。電漿軸可由在處理腔室中所使用的金屬(諸如鋁)所製成。石英襯裡可在電漿軸426的內側使用,以減少氧自由基的重組。
傾斜的頂壁襯墊436係繞上開口420而形成。傾斜的頂壁襯墊436與側壁襯墊(未示出)一起作用,以防止自由基的任何寄生洩漏。傾斜的頂壁襯墊436之暴露表面是傾斜的,以將自由基流引導到基板404的邊緣。
不希望受理論的束縛,蝕刻速率被認為是在基板404邊緣處之氧自由基濃度的函數。氧自由基濃度的均勻性係藉由通過歧管408之RPS氣流的均勻度和通過遮罩440的清潔氣體的均勻度而控制。電漿軸426定位於歧管408的偏離中心處。在缺乏調節的情況中,這種不對稱可能導致在晶圓邊緣處的不均勻自由基的分佈。歧管408被用於調節迴路,並確保在晶圓邊緣處的均勻自由基分佈。此調節是藉由控制跨越不同槽之流路和傳導率而達成。
為在一個基板404的邊緣區域處蝕刻沉積膜的一部分,處理區域402包括鄰近頂部422而設置的遠端電漿源428。遠端電漿源428包括功率源和一或多個氣體源438,使得電漿區域可被遠端地產生。歧管408與遠端電漿源428通過電漿軸426而如上所述地相連。
遮罩440可由石英、鋁、陶瓷或能夠抵抗蝕刻氣體的其它材料所構成。遮罩440具有遮罩軸441、遮罩板443、斜的壁架444和流動表面446。遮罩軸441從遮罩板443延伸,且連接遮罩板443與多向移動裝置424。遮罩軸441可為具有遮罩板443的單塊本體的部分。遮罩板443具有形成在遮罩板443中的氣體埠442。氣體埠442係顯示為沿著遮罩440的下表面而定位的複數個埠,然而氣體埠可為單一氣體埠442。雖然氣體埠442被顯示為互連到單一氣體源434,氣體埠442可獨立於彼此及/或可被連接到分離的氣體源。此外,氣體埠442可被定位在允許氣體通過遮罩板443並朝向基板支撐件430上的基板位置而輸送之任何位置處。
斜的壁架444從遮罩板443向外延伸。斜的壁架444的角度決定在基板404和遮罩板443之間的區域中之空間量。斜的壁架444可實質地類似於參照第3圖所描述之斜的壁架344。遮罩440具有形成或定位在遮罩440中的氣體分配迴路432。氣體分配迴路432延伸到遮罩軸441中。氣體分配迴路432與氣體源434(諸如惰性氣體源)連接。來自氣體源434的氣體接著流過氣體分配迴路432,並接著通過氣體埠442。
斜面邊緣蝕刻可被用以移除在基板404的邊緣區域中之沉積膜的非所欲部分。當邊緣蝕刻時,遠端電漿源428自第一氣體源438接收蝕刻氣體。蝕刻氣體 的例子可包括(但不限於)NF3、O2、F2、N2、H2O、Cl2、NH3、H2或SF6。RF功率可接著被施加到在遠端電漿源428中的電極,以激發蝕刻氣體。在電漿態中之蝕刻劑形成在遠端電漿源428中。蝕刻劑接著通過電漿軸426而輸送並輸送到歧管408。
為防止朝基板404的中心區域擴散蝕刻劑,第二氣體源434提供經由氣體分配迴路432而輸送的惰性氣體,並在基板404的頂表面上產生從遮罩440的中心區域朝遮罩440的流動表面446之高速流動。惰性氣體的流動限制蝕刻劑的作用區域到超出流動表面446之基板404的區域。雖然負載鎖定斜面蝕刻腔室400的一個特定實施例已說明於上,不同的實施例是可能的。
第4B圖顯示根據於此所述的實施例在負載鎖定斜面蝕刻操作期間之基板404的特寫圖。在這裡顯示,遮罩440是在基板404之上的位置中。基板404具有在表面之上形成的層480。惰性氣體470通過遮罩440而輸送且輸送到層480。惰性氣體470從遮罩440之下徑向流出,產生在流動表面446和基板404上的層480之間的流動。
基板遮罩間隙可被維持,以控制在流動表面446之下的氣流。蝕刻速率和均勻度已被證明為受到基板遮罩間隙的影響。基板遮罩間隙被界定為在流動表面和基板404的曝露表面之間以英吋計的距離。基板遮罩間隙決定清潔速度及輸送到斜面405之氧自由基濃度。 基板遮罩間隙也影響自由基流動的角度、自由基與晶圓表面的距離及擴散到晶圓內側中的程度。在一個實施例中,基板遮罩間隙可基於遮罩尺寸而在0.001英吋到0.03英吋之間。
同時地,活化氣體460係形成在遠端電漿源438處。活化氣體460可包含蝕刻氣體和惰性氣體兩者,諸如氧氣、氬氣和氮所形成的活化氣體460。活化氣體460接著產生通過電漿軸426而流動的物種。在以上所述的實施例中,氧氣是蝕刻氣體且氬氣作為載體。氧流量之範圍可從約2000sccm至約20000sccm。氬氣流係相對於系統的壓力而優化。氬氣流率可在約2000sccm和約30000sccm之間變化。氮氣的添加可改善蝕刻速率。氮氣可以在約100sccm和約1000sccm之間的流速(諸如為200sccm)而輸送。
在操作期間,基板溫度可維持在高溫,諸如高於攝氏250度,例如高於攝氏350度的溫度。在一些實施例中,加熱器的溫度在為約攝氏300度和約攝氏650度之間。負載鎖定斜面蝕刻腔室400的壓力可被維持於低於1大氣壓。在一個實施例中,負載鎖定斜面蝕刻腔室400的壓力被維持在1torr和10torr之間。藉由產生高溫和低壓,斜面蝕刻速率可增加。
遠端電漿形成通過歧管408而輸送到處理區域的一或多個種類(此後稱為活化氣體460),於處理區域中,來自活化氣體460的物種蝕刻層480。當惰性 氣體470在流動表面446之下流動時,惰性氣體的速度隨著容積的降低而成比例地增加。這種增加的速度防止活化氣體460的潛流,使得在流動表面446之下的層480的表面不被大量地蝕刻。當來自流動表面446之下的惰性氣體離開時,速度丟失且惰性氣體470擴散到活化氣體460中。因此,斜面區域482(在此實施例中它是約0.5mm寬的)以與惰性氣體470用以偏轉活化氣體460的降低效率相關的角度而被蝕刻。斜面區域484(在此實施例中它是約1.0mm寬的)不藉由惰性氣體470而保護,且藉由活化氣體460而被完全地蝕刻。來自惰性氣體470和活化氣體460兩者的氣流可被控制,以使客製化的斜面厚度輪廓達到不同顧客的規格。在一個實施例中,系統經設計以清除高達0.5mm的斜面,且達到1.5mm之全部厚度的±5%。
斜面蝕刻是過渡到在沉積腔室中無陰影環配置並在將來的更小的製程節點時達到產出和均勻性要求的關鍵。傳統上,斜面蝕刻系統不是獨立的,就是使用沉積腔室的空間。這樣不利地影響每一PECVD系統之晶圓廠的佔地面積和產出。於此所述的負載鎖定斜面蝕刻腔室達到完全繞著圓周之均勻斜面輪廓、客戶指定的邊緣排除,且斜面蝕刻腔室的產出超出了所有沉積腔室的結合產出。
於此文件中所述的斜面蝕刻機使用遠端電漿源(RPS)以產生氧-氬電漿,以沿著基板的圓周均勻地 蝕刻基板的斜面區域。蝕刻氣體是氧氣、氬氣和氮氣的混合物,使用分配設備(諸如擋板或歧管)而被均勻地分配。次要的氬氣流被使用作為清潔氣體,以控制蝕刻輪廓,並防止蝕刻反應物在遮罩之下的徑向擴散。清潔氣體通過在晶圓和遮罩之間的窄間隙而流動。氣流可被控制,以使客製化的斜面厚度輪廓達到不同顧客的規格。系統經設計以清除高達0.5mm的斜面,且達到1.5mm之全部厚度的±5%。這些規格可由於膜和製程的變化而改變,但系統可採用製程條件以達到達到廣泛的輪廓需求。
雖然前述內容係關於所揭露的裝置、方法及系統的實施例,所揭露的裝置、方法及系統的其它和進一步的實施例可經設計,而不背離所揭露的裝置、方法及系統的基本範圍,且所揭露的裝置、方法及系統的範圍係由以下的申請專利範圍而決定。
101‧‧‧基板
102‧‧‧沉積層
102a‧‧‧沉積層
102b‧‧‧沉積層
103‧‧‧邊緣排除區域
104‧‧‧斜面邊緣
105‧‧‧陰影環
200‧‧‧處理系統
210‧‧‧工廠介面
213‧‧‧卡匣
215‧‧‧基板搬運機械手臂
220‧‧‧雙製程腔室
240‧‧‧負載鎖定斜面蝕刻腔室
242‧‧‧上基板支撐件
244‧‧‧下基板支撐件
246‧‧‧狹縫閥
248‧‧‧狹縫閥
270‧‧‧腔室
272‧‧‧機械手臂
274‧‧‧雙基板搬運葉片
276‧‧‧狹縫閥
300‧‧‧負載鎖定斜面蝕刻腔室
302‧‧‧處理區域
304‧‧‧入口埠
306‧‧‧出口埠
308‧‧‧擋板
312‧‧‧壁
320‧‧‧蓋板
322‧‧‧頂部
324‧‧‧移動控制裝置
326‧‧‧軸
328‧‧‧遠端電漿源
330‧‧‧基板支撐件
332‧‧‧氣體分配迴路
334‧‧‧氣體源
336‧‧‧經加工的槽
338‧‧‧第一氣體源
340‧‧‧遮罩
341‧‧‧延伸件
342‧‧‧氣體埠
343‧‧‧遮罩板
344‧‧‧斜的壁架
346‧‧‧流動表面
348‧‧‧支座
350‧‧‧夾持環
400‧‧‧負載鎖定斜面蝕刻腔室
402‧‧‧處理區域
403‧‧‧入口埠
404‧‧‧基板
405‧‧‧斜面
406‧‧‧出口埠
408‧‧‧歧管
412‧‧‧壁
420‧‧‧上開口
422‧‧‧頂部
424‧‧‧多向性移動裝置
426‧‧‧電漿軸
428‧‧‧遠端電漿源
430‧‧‧基板支撐件
432‧‧‧氣體分配迴路
434‧‧‧氣體源
436‧‧‧傾斜的頂壁襯墊
438‧‧‧氣體源
440‧‧‧遮罩
441‧‧‧遮罩軸
442‧‧‧氣體埠
443‧‧‧遮罩板
444‧‧‧斜的壁架
446‧‧‧流動表面
460‧‧‧活化氣體
470‧‧‧惰性氣體
480‧‧‧層
482‧‧‧斜面區域
484‧‧‧斜面區域
因此,本揭露書之以上所載的特徵可被詳細理解之方式,可藉由參照實施例(一些實施例係顯示於附隨的圖式中)而獲得前面所簡單地摘要之本揭露書的實施例之較特定的說明。然而,應理解附隨的圖示僅描繪此揭露書的通常實施例,且不因此被視為限制本揭露書之範圍,因為本揭露書可允許其他等效的實施例。
第1A圖(先前技術)顯示用於在基板的周邊區域處之沉積層的期望輪廓。
第1B圖(先前技術)顯示用於在基板的周邊區域處之沉積層的實際獲得的輪廓。
第1C圖(先前技術)顯示使用陰影環,以防止在基板的周邊區域處形成沉積膜的傳統方式。
第2A圖顯示根據於此所述的實施例之處理系統的頂視圖。
第2B圖顯示根據於此所述的實施例之作為處理系統的部分之負載鎖定斜面蝕刻腔室的側視圖。
第3圖顯示根據於此所述的實施例之負載鎖定斜面蝕刻腔室的側視圖。
第4A圖顯示根據於此所述的實施例之負載鎖定斜面蝕刻腔室的側視圖。
第4B圖顯示根據於此所述的實施例在負載鎖定斜面蝕刻操作期間之基板的特寫圖。
為幫助理解,儘可能地使用相同的元件符號以指定共用於圖式中的相同元件。此外,一個實施例的元件可被有利地被採用於於此所述的其他實施例中。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無

Claims (20)

  1. 一種負載鎖定腔室,包含:一基板支撐件,具有定位在一處理區域中的一基板支撐表面;一遠端電漿源,經定位且可操作以通過一平面擋板供應一蝕刻劑到該基板支撐表面的一周邊區域,其中該平面擋板包括經定位以接觸該基板支撐件的一支座部分;及一遮罩組件,耦接到一氣體源,其中該遮罩組件經定位且可操作以在該基板支撐表面之上輸送一氣流,該氣體從該基板支撐表面的一大致中心區域朝該基板支撐表面的該周邊區域流動,且其中該平面擋板定位在該遮罩組件之上方。
  2. 如請求項1所述之負載鎖定腔室,其中該遮罩組件包含一遮罩板,該遮罩板具有形成在該遮罩板中之一中心埠。
  3. 如請求項2所述之負載鎖定腔室,其中該遮罩板進一步包含一斜的壁架。
  4. 如請求項3所述之負載鎖定腔室,其中該斜的壁架當從該遮罩板的一表面測量時,形成在15及45度之間的一角度。
  5. 如請求項2所述之負載鎖定腔室,其中該遮罩板進一步包含一流動表面。
  6. 如請求項1所述之負載鎖定腔室,其中該遮罩組件包含一遮罩板,該遮罩板具有形成在該遮罩板中之複數個埠。
  7. 如請求項1所述之負載鎖定腔室,其中來自該蝕刻劑的多個物種通過複數個狹縫而被輸送至該處理區域,該複數個狹縫通過該平面擋板的一下部。
  8. 如請求項2所述之負載鎖定腔室,其中該支座部分從該平面擋板的外周邊延伸。
  9. 如請求項8所述之負載鎖定腔室,進一步包含一夾持環,與該基板支撐件接觸並經定位以容納該支座部分,該支座部分在操作期間維持在該遮罩板和該基板支撐件之間的一第一距離。
  10. 一種負載鎖定腔室,包含:一基板支撐件,具有定位在一處理區域中的一基板支撐表面;一遠端電漿源,經定位且可操作以供應一蝕刻劑到該基板支撐表面的一周邊區域;及一遮罩組件,耦接到一氣體源,該遮罩組件包括:一遮罩板;一延伸件,與該遮罩板連接;一擋板,與該延伸件連接,該擋板具有複數個槽穿過該擋板而形成,該遠端電漿源經配置以產生一活化氣體,該活化氣體通過該擋板而被輸送到該處理區域;一移動控制裝置,與該擋板相連,該移動控制裝置經定位且可操作以同時地移動該擋板和該遮罩板;及一氣體通道,形成在該遮罩板中,該氣體通道和該遮罩板經定位且可操作以在該基板支撐表面之上輸送一氣流,該氣體從該基板支撐表面的一大致中心區域朝該基板支撐表面的該周邊區域流動。
  11. 如請求項10所述之負載鎖定腔室,其中該遮罩板包含形成在該遮罩板中之一中心埠。
  12. 如請求項10所述之負載鎖定腔室,其中該遮罩板進一步包含一斜的壁架。
  13. 如請求項12所述之負載鎖定腔室,其中該斜的壁架當從該遮罩板的一表面測量時,形成在15及45度之間的一角度。
  14. 如請求項10所述之負載鎖定腔室,其中該遮罩板進一步包含一流動表面。
  15. 如請求項10所述之負載鎖定腔室,其中該遮罩組件包含一遮罩板,該遮罩板具有形成在該遮罩板中之複數個埠。
  16. 如請求項10所述之負載鎖定腔室,進一步包含多個支座,從該擋板延伸。
  17. 如請求項10所述之負載鎖定腔室,進一步包含一夾持環,經定位以容納該些支座,該些支座在操作期間維持在該遮罩板和該基板支撐件之間的一第一距離。
  18. 一種負載鎖定腔室,包含:一基板支撐件,具有定位在一處理區域中的一基板支撐表面;一遠端電漿源,經配置以供應一蝕刻劑到該基板支撐表面的一周邊區域;及一遮罩組件,耦接到一氣體源,該遮罩組件包括:一遮罩板;一遮罩軸,與該遮罩板連接;一多向移動裝置,與該遮罩軸相連,該多向移動裝置藉由移動該遮罩軸而可操作以調節該遮罩的位置;及一氣體通道,形成在該遮罩板中,該氣體通道和該遮罩板經定位且可操作以在該基板支撐表面之上輸送一氣流,該氣體從該基板支撐表面的一大致中心區域朝該基板支撐表面的該周邊區域流動。
  19. 如請求項18所述之負載鎖定腔室,其中該遮罩板進一步包含一斜的壁架。
  20. 如請求項19所述之負載鎖定腔室,其中該斜的壁架當從該遮罩板的一表面測量時,形成在15及45度之間的一角度。
TW105126061A 2015-09-24 2016-08-16 負載鎖定整合斜面蝕刻器系統 TWI671816B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562232163P 2015-09-24 2015-09-24
US62/232,163 2015-09-24
US15/013,547 US10403515B2 (en) 2015-09-24 2016-02-02 Loadlock integrated bevel etcher system
US15/013,547 2016-02-02

Publications (2)

Publication Number Publication Date
TW201712751A TW201712751A (zh) 2017-04-01
TWI671816B true TWI671816B (zh) 2019-09-11

Family

ID=58386984

Family Applications (2)

Application Number Title Priority Date Filing Date
TW105126061A TWI671816B (zh) 2015-09-24 2016-08-16 負載鎖定整合斜面蝕刻器系統
TW108128044A TWI744673B (zh) 2015-09-24 2016-08-16 負載鎖定整合斜面蝕刻器系統

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW108128044A TWI744673B (zh) 2015-09-24 2016-08-16 負載鎖定整合斜面蝕刻器系統

Country Status (5)

Country Link
US (3) US10403515B2 (zh)
KR (1) KR102634907B1 (zh)
CN (1) CN108292600A (zh)
TW (2) TWI671816B (zh)
WO (1) WO2017052747A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US10276364B2 (en) * 2017-05-08 2019-04-30 Applied Materials, Inc. Bevel etch profile control
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
US10361099B2 (en) 2017-06-23 2019-07-23 Applied Materials, Inc. Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
CN112105759B (zh) * 2018-07-31 2023-11-24 应用材料公司 用于cvd腔室的气体箱
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
US11682544B2 (en) * 2020-10-21 2023-06-20 Applied Materials, Inc. Cover wafer for semiconductor processing chamber
JP2024065275A (ja) 2022-10-31 2024-05-15 東京エレクトロン株式会社 基板処理装置および基板処理方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5009738A (en) * 1989-04-28 1991-04-23 Leybold Aktiengesellschaft Apparatus for plasma etching
US8100081B1 (en) * 2006-06-30 2012-01-24 Novellus Systems, Inc. Edge removal of films using externally generated plasma species

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
JPH0720182Y2 (ja) 1988-09-02 1995-05-15 積水化成品工業株式会社 組積み用コンクリート型枠
US5212116A (en) * 1990-06-18 1993-05-18 At&T Bell Laboratories Method for forming planarized films by preferential etching of the center of a wafer
US5223001A (en) * 1991-11-21 1993-06-29 Tokyo Electron Kabushiki Kaisha Vacuum processing apparatus
JPH0613361A (ja) * 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
JPH07201829A (ja) 1993-12-28 1995-08-04 Tokyo Electron Ltd プラズマ処理装置の洗浄方法
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
US6114254A (en) 1996-10-15 2000-09-05 Micron Technology, Inc. Method for removing contaminants from a semiconductor wafer
US6117349A (en) 1998-08-28 2000-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring equipped with a sacrificial inner ring
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6592771B1 (en) * 1999-04-08 2003-07-15 Sony Corporation Vapor-phase processing method and apparatus therefor
US6178660B1 (en) * 1999-08-03 2001-01-30 International Business Machines Corporation Pass-through semiconductor wafer processing tool and process for gas treating a moving semiconductor wafer
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
WO2001057289A1 (de) * 2000-02-04 2001-08-09 Aixtron Ag Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
JP3667256B2 (ja) * 2000-06-30 2005-07-06 キヤノン株式会社 電子源の製造装置
WO2002024985A1 (de) * 2000-09-22 2002-03-28 Aixtron Ag Gaseinlassorgan für cvd-verfahren und vorrichtung
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
DE10153463A1 (de) * 2001-10-30 2003-05-15 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
DE10163394A1 (de) * 2001-12-21 2003-07-03 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden kristalliner Schichten und auf kristallinen Substraten
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7008484B2 (en) * 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
GB0323001D0 (en) * 2003-10-01 2003-11-05 Oxford Instr Plasma Technology Apparatus and method for plasma treating a substrate
JP2005142461A (ja) * 2003-11-10 2005-06-02 Sony Corp 半導体製造装置および半導体製造方法
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7651583B2 (en) * 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
US7534362B2 (en) * 2004-07-13 2009-05-19 Savas Stephen E Uniform etching system and process for large rectangular substrates
KR100854995B1 (ko) * 2005-03-02 2008-08-28 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
KR100716923B1 (ko) 2005-07-22 2007-05-10 에스케이 텔레콤주식회사 화상 통화중 대기 서비스 시스템 및 방법
TWI329135B (en) * 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070116873A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US20070116888A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US8815014B2 (en) * 2005-11-18 2014-08-26 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US20070116872A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US8454749B2 (en) * 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US7918938B2 (en) * 2006-01-19 2011-04-05 Asm America, Inc. High temperature ALD inlet manifold
US7670432B2 (en) * 2006-03-08 2010-03-02 Tokyo Electron Limited Exhaust system for a vacuum processing system
US7740705B2 (en) * 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US7794546B2 (en) * 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
KR20070115058A (ko) * 2006-05-30 2007-12-05 주식회사 하이닉스반도체 그라운드링을 구비한 베벨 식각 장치
KR101346081B1 (ko) 2006-06-20 2013-12-31 참엔지니어링(주) 플라스마 에칭 챔버
US9245739B2 (en) * 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
US8197636B2 (en) * 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US8282735B2 (en) * 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
KR101432562B1 (ko) * 2007-12-31 2014-08-21 (주)소슬 기판 처리 장치 및 기판 처리 방법
JP5202050B2 (ja) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
JP5075793B2 (ja) * 2008-11-06 2012-11-21 東京エレクトロン株式会社 可動ガス導入構造物及び基板処理装置
US8562742B2 (en) * 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
CN103035507A (zh) * 2011-09-29 2013-04-10 三菱电机株式会社 基板处理装置、基板处理方法以及太阳能电池的制造方法
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US20140170857A1 (en) * 2012-12-18 2014-06-19 Intermolecular, Inc. Customizing Etch Selectivity with Sequential Multi-Stage Etches with Complementary Etchants
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US10937634B2 (en) * 2013-10-04 2021-03-02 Lam Research Corporation Tunable upper plasma-exclusion-zone ring for a bevel etcher
US20150118863A1 (en) * 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
US9548188B2 (en) * 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
JP5951095B1 (ja) * 2015-09-08 2016-07-13 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム
US9758868B1 (en) * 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5009738A (en) * 1989-04-28 1991-04-23 Leybold Aktiengesellschaft Apparatus for plasma etching
US8100081B1 (en) * 2006-06-30 2012-01-24 Novellus Systems, Inc. Edge removal of films using externally generated plasma species

Also Published As

Publication number Publication date
US10636684B2 (en) 2020-04-28
TW201941303A (zh) 2019-10-16
US20170092511A1 (en) 2017-03-30
TWI744673B (zh) 2021-11-01
CN108292600A (zh) 2018-07-17
US20200234982A1 (en) 2020-07-23
KR102634907B1 (ko) 2024-02-06
KR20180049140A (ko) 2018-05-10
WO2017052747A1 (en) 2017-03-30
US20190371630A1 (en) 2019-12-05
TW201712751A (zh) 2017-04-01
US11031262B2 (en) 2021-06-08
US10403515B2 (en) 2019-09-03

Similar Documents

Publication Publication Date Title
TWI671816B (zh) 負載鎖定整合斜面蝕刻器系統
US7163587B2 (en) Reactor assembly and processing method
US7862683B2 (en) Chamber dry cleaning
TWI802439B (zh) 具有空間分離的單個晶圓處理環境
JP4754609B2 (ja) 処理装置およびそのクリーニング方法
JP2006310883A (ja) プラズマ処理装置およびそのクリーニング方法
TW202334493A (zh) 用於處理腔室蓋同心度對齊的設備與方法
US11479855B2 (en) Spatial wafer processing with improved temperature uniformity
JP2006253733A (ja) プラズマ処理装置およびそのクリーニング方法
TWI780369B (zh) 操作空間沉積工具的方法
TW202213426A (zh) 遠程電漿清潔(rpc)方向流裝置
TWI838222B (zh) 具有空間分離的單個晶圓處理環境
TW202231916A (zh) 用於反向擴散控制的半導體腔室部件