KR100854995B1 - 고밀도 플라즈마 화학 기상 증착 장치 - Google Patents

고밀도 플라즈마 화학 기상 증착 장치 Download PDF

Info

Publication number
KR100854995B1
KR100854995B1 KR1020050017420A KR20050017420A KR100854995B1 KR 100854995 B1 KR100854995 B1 KR 100854995B1 KR 1020050017420 A KR1020050017420 A KR 1020050017420A KR 20050017420 A KR20050017420 A KR 20050017420A KR 100854995 B1 KR100854995 B1 KR 100854995B1
Authority
KR
South Korea
Prior art keywords
gas
nozzle
cover
process chamber
gas supply
Prior art date
Application number
KR1020050017420A
Other languages
English (en)
Other versions
KR20060096713A (ko
Inventor
우샤코프 안드레이
최진혁
박종록
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020050017420A priority Critical patent/KR100854995B1/ko
Priority to US11/246,252 priority patent/US20060196420A1/en
Priority to JP2005320135A priority patent/JP4430003B2/ja
Publication of KR20060096713A publication Critical patent/KR20060096713A/ko
Application granted granted Critical
Publication of KR100854995B1 publication Critical patent/KR100854995B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Abstract

본 발명은 공정챔버 내부에 공급되는 공급가스의 분포가 균일해 질 수 있도록 하는 고밀도 플라즈마 화학 기상 증착 장치에 관한 것이다. 이를 위해 본 발명은 노즐 본체와, 노즐 본체의 내부에 상하 방향으로 형성되는 가스공급유로와, 노즐 본체 하면에 부착되는 노즐 커버와, 공정챔버 내의 반도체 기판 쪽으로 균일하게 공정가스를 공급할 수 있도록 상기 노즐 커버에 형성되는 복수의 가스유입구를 포함하는 상부 가스공급노즐을 구비한다. 특히 본 발명의 여러 실시예는 공정가스의 균일한 분배를 위한 다양한 형태의 노즐 구조를 개시한다. 이와 같은 구성에 의해 본 발명은 반도체 기판(W) 상에서 막 증착 공정 등과 같은 가공공정이 균일하게 이루어질 수 있도록 하는 효과가 있다.
HDP CVD, 상부 노즐, 가스 분배, 반도체 제조

Description

고밀도 플라즈마 화학 기상 증착 장치{HIGH DENSITY PLASMA CHEMICAL VAPOR DEPOSITION APPARATUS}
도 1은 본 발명에 따른 고밀도 플라즈마 화학 기상 증착 장치의 구성을 나타낸 단면도.
도 2는 도 1에 나타나 있는 반도체 기판(W)를 개략적으로 나타낸 평면도.
도 3은 본 발명의 제1실시예에 따른 고밀도 플라즈마 화학 기상 증착 장치의 상부 가스공급노즐을 나타낸 단면도.
도 4는 본 발명의 제2실시예에 따른 고밀도 플라즈마 화학 기상 증착 장치의 상부 가스공급노즐을 나타낸 단면도.
도 5는 본 발명의 제3실시예에 따른 고밀도 플라즈마 화학 기상 증착 장치의 상부 가스공급노즐을 나타낸 단면도.
도 6은 본 발명의 제4실시예에 따른 고밀도 플라즈마 화학 기상 증착 장치의 상부 가스공급노즐을 나타낸 단면도.
도 7은 본 발명의 제5실시예에 따른 고밀도 플라즈마 화학 기상 증착 장치의 상부 가스공급노즐을 나타낸 단면도.
* 도면의 주요부분에 대한 부호의 설명 *
10 : 공정챔버 11 : 챔버 본체
12 : 챔버 덮개 30 : 측방 가스공급노즐
40 : 상부 가스공급노즐 41 : 노즐 본체
44 : 가스공급유로 50 : 노즐 커버
51 : 커버 바닥 52 : 커버 측벽
53 : 가스유입공간 54 : 노즐 캡
60 : 가스유입구 70 : 클리닝 가스유로
71 : 진공채널
본 발명은 고밀도 플라즈마 화학 기상 증착 장치에 관한 것으로, 더욱 상세하게는 반도체 기판 쪽으로 공급되는 공정가스를 균일하게 분사할 수 있도록 가스공급노즐의 구조를 개선한 고밀도 플라즈마 화확 기상 증착 장치에 관한 것이다.
화학 기상 증착(CVD)은 반도체 공정기술의 하나로 화학반응을 이용하여 웨이퍼 표면 위에 단결정의 반도체막이나 절연막등을 형성하는 방법을 말한다. 그런데 CVD 방법은 증착 공정 이후에 웨이퍼를 높은 온도에서 열처리하는 과정을 거쳐야 하기 때문에 높은 온도에 의해 웨이퍼의 반도체 소자가 열화되는 문제가 발생된다. 또한 최근 반도체 제조 기술의 급속한 발달로 반도체 소자가 고집적화되고, 금속 배선들 간의 간격이 점차 미세화됨에 따라 CVD 방법은 금속 배선들 사이의 갭을 완전히 메우는 데는 한계가 있다.
이에 따라 금속배선들 사이의 갭을 채우는 능력을 극대화할 수 있는 층간 절연막 공정이 개발되었는데, 그 중의 하나가 고밀도 플라즈마 화학 기상 증착(HDP CVD) 방법이다. HDP CVD는 종래의 플라즈마 CVD(PE CVD)보다 높은 이온화 효율을 갖도록 전기장과 자기장을 인가하여 높은 밀도의 플라즈마 이온을 형성, 소스 가스를 분해하여 웨이퍼 상에 절연막을 증착하는 방식으로, 플라즈마를 발생시키는 소스 전원과 함께 웨이퍼 상에 증착된 층간 절연막을 에칭시키는 바이어스 전원을 층간 절연막이 증착되는 중에 인가함으로써 층간 절연막의 증착과 층간 절연막의 스퍼터 에칭을 동시에 진행한다.
이러한 공정들을 수행할 때는 반응실 내부로 공급되는 공정가스가 웨이퍼 주위에 균일하게 분포한 상태일 때 반도체 기판 표면의 증착이 균일해져 우수한 막을 얻을 수 있게 된다. 또 식각공정을 수행할 때도 공정가스의 분포가 균일할 때 전체적으로 스퍼터링(sputtering)이 균일해지면서 소망하는 식각을 수행할 수 있게 된다.
그런데 이러한 공정은 3-10mTorr 정도의 매우 낮은 압력에서 이루어지기 때문에 반응실 내부의 공정가스의 분포는 역학적으로 매우 민감하게 변화하게 되고, 이러한 이유로 인해 웨이퍼 주위에 공정 가스가 균일하게 분포되도록 하기 위해서 는 가스를 분배하는 장치 역시 매우 정밀하게 설계될 것이 요구된다.
가스를 분배하는 장치와 관련하여 미국특허 6,486,081호에는 HDP CVD 프로세싱 챔버의 내부에 공정가스들을 공급하기 위한 가스공급노즐의 설치구조가 개시되어 있다. 개시된 장치는 측면 둘레부분에 설치되어 프로세싱 챔버 내부로 공정가스를 공급하는 다수의 측방 가스공급노즐들과, 프로세싱 챔버의 상부 중앙부분에 설치되어 반도체 기판의 상부로 공정가스를 공급하는 상부 가스공급노즐을 포함한다. 측방 가스공급노즐들은 제1공정가스와 제2공정가스를 반응실 내에 공급할 수 있도록 제1가스공급원과 제2가스공급원에 각각 연결되는 제1 및 제2가스공급노즐들로 구성되어 있고, 상부 가스공급노즐은 제3공정가스와 제4공정가스가 반응실 내에 공급될 수 있도록 제3가스공급원과 연결되는 제3가스공급통로와 제4가스공급원과 연결되는 제4가스공급통로를 구비하고 있다.
그러나 종래 장치에서는 프로세싱 챔버 내부로 공정가스를 공급하는 상부 가스공급노즐의 공정가스 주입구가 수직 방향으로만 하나만 형성되어 있어 상부 가스공급노즐을 통하여 공급되는 공정가스가 상대적으로 웨이퍼의 중심부분에 집중될 수 밖에 없고 이로 인해 웨이퍼 상에 전체적으로 막을 균일하게 증착하는데 한계가 있다. 또한 막의 균일성을 향상시키기 위해 측방 가스공급노즐을 사용하더라도 통상 측방 노즐에서 주입되는 공정가스는 웨이퍼의 가장자리부터 약 5-7cm 이상 떨어져 있는 부분까지는 균일하게 전달되지 않아서 이 역시 한계가 있다.
더욱이 차세대 반도체 기술은 종래에 사용해오던 직경이 200mm 인 웨이퍼 대신에 직경이 300mm인 웨이퍼를 필요로 하기 때문에 이와 같이 직경이 큰 웨이퍼에 종래의 장치를 적용하게 되면 상부 가스공급노즐의 직접적인 영향을 받는 중심부 또는 측방 가스노즐의 영향을 받는 가장자리부와 이를 제외한 웨이퍼 부분 사이의 불균형이 더욱 심화되게 된다.
본 발명은 이와 같은 문제점을 해결하기 위한 것으로서, 본 발명의 목적은 가스공급노즐로부터 웨이퍼 상부의 반응영역으로 공급되는 공정가스의 분포가 균일해지도록 함으로써 원하는 가공공정이 균일하게 이루어질 수 있도록 하는 고밀도 플라즈마 화학 기상 증착 장치를 제공하는데 있다.
이러한 목적을 달성하기 위한 본 발명에 따른 고밀도 플라즈마 화학 기상 증착 장치는 챔버 본체와 챔버 덮개를 구비하는 공정챔버와; 상기 공정챔버의 내부로 공정가스를 공급하기 위하여 상기 공정챔버의 상부에 마련되는 상부 가스공급노즐;을 포함하고, 상기 상부 가스공급노즐은 판상의 수평부와 상기 수평부로부터 상방으로 연장된 수직부를 가지는 노즐 본체;와 상기 노즐 본체의 내부에 상하 방향으로 형성되는 가스공급유로;와 상기 노즐 본체 수평부의 하면에 부착되는 노즐 커버;와 상기 공정챔버 내의 반도체 기판 쪽으로 균일하게 공정가스를 공급할 수 있도록 상기 노즐 커버에 형성되는 복수의 가스유입구;를 포함하는 것을 특징으로 한다.
또한 상기 노즐 커버는 커버 바닥;과 수직 방향에 대하여 일정한 각도의 경사를 가지도록 상기 커버 바닥으로부터 연장 형성된 원추형의 커버 측벽;을 포함하고, 상기 복수의 가스유입구는 방사형으로 반도체 기판에 공정가스를 분사할 수 있도록 상기 커버 측벽에 원주방향을 따라 형성되는 것을 특징으로 한다.
또한 상기 상부 가스공급노즐은 상기 노즐 커버의 중앙 하면에 부착되는 노즐 캡(cap)을 더 포함하는 것을 특징으로 한다.
또한 노즐 캡을 더 포함하는 경우 상기 커버 바닥에는 상기 가스공급유로와 같은 중심축을 가지면서 상기 커버 바닥을 관통하는 커버 유로가 형성되며, 상기 노즐 캡에는 상기 커버 유로와 연통되는 한편 수평 방향에 대하여 소정각도 경사를 가지는 복수의 가스유입구가 형성되어 상기 커버 측벽에 형성된 가스유입구들과는 별개로 상기 노즐 캡에 형성된 가스유입구들을 통해 반도체 기판의 중심부근에 공정가스를 공급하는 것을 특징으로 한다.
또한 상기 노즐 커버는 그 외측 바닥면이 볼록한 구면 형상, 즉 샤워 헤드 형상(shower-head)이나 평편한 디스크 형상을 가지도록 형성되고, 상기 노즐 커버에는 수직 방향에 대하여 경사지도록 형성되는 여러 열의 가스유입구가 노즐 커버의 중심축으로부터 반경방향으로 형성되어 반도체 기판의 중앙과 인접한 중간부에 공정가스를 고르게 분사할 수 있는 것을 특징으로 한다.
또한 노즐 커버에 반경 방향을 따라 여러 열의 가스유입구가 형성되어 있는 경우 상기 가스유입구는 노즐 커버의 중심축으로부터 멀어짐에 따라 수직 방향에 대하여 경사진 각도가 점점 커지거나 그 직경이 점점 커지도록 하여 보다 효과적으 로 공정가스를 고르게 분배할 수 있도록 하는 것을 특징으로 한다.
또한 상기 가스공급유로는 중간부재에 의해 내,외곽으로 분리된 제1공급유로와 제2공급유로를 포함하여, 상기 두 개의 공급유로를 통해 서로 다른 공정가스를 공정챔버 내부로 공급할 수 있는 것을 특징으로 한다.
이하에서는 본 발명에 따른 바람직한 실시예를 첨부된 도면을 참조하여 상세히 설명한다. 도 1은 본 발명에 따른 고밀도 플라즈마 화학 기상 증착 장치의 구성을 나타낸 단면도이고, 도 2는 도 1에 나타나 있는 반도체 기판(W)를 개략적으로 나타낸 평면도이며, 도 3 내지 도 7은 본 발명의 각 실시예에 따른 고밀도 플라즈마 화학 기상 증착 장치의 상부 가스공급노즐을 나타낸 단면도이다.
도 1에 도시된 바와 같이, 반도체 기판(W)의 가공공정을 수행하기 위한 공정챔버(10)는 상부가 개방된 원통형의 챔버 본체(11)와, 챔버 본체(11)의 개방된 상부를 덮는 챔버 덮개(12)를 포함한다. 여기서 고밀도 플라즈마 화학 기상 증착 장치(이하 ‘HDP CVD’라 장치한다)를 통해 수행하는 가공공정이란 반도체 기판(W) 상에 박막을 형성시키는 증착 공정과, 반도체 기판(W) 표면에 형성된 막을 식각하여 특정 패턴을 형성시키는 식각 공정을 포함한다.
공정챔버(10)의 내부에는 반도체 기판(W)을 지지하기 위한 척(13)이 설치된다. 척(13)은 정전기력을 이용하여 반도체 기판(W)을 고정할 수 있는 정전 척으로 이루어진다. 한편, 싱기 척(13)에는 플라즈마 상태의 공정가스를 반도체 기판(W)으로 유도할 수 있도록 바이어스 전원이 인가된다.
챔버 덮개의(12) 상부에는 공정챔버(10) 내부로 공급되는 공정가스를 플라스마 상태로 만들기 위한 전자기장을 형성하도록 유도코일(14)이 설치되고, 유도코일(14)에는 고주파전원(15)이 연결된다. 한편 챔버 덮개(12)는 고주파 에너지가 전달되는 절연체 재료, 바람직하게는 산화 알루미늄과 세라믹 재질로 만들어진다.
또한 챔버 덮개(12)의 하단부분과 상측 중앙부에는 공정챔버(10) 내에서 증착 또는 식각공정을 수행할 수 있도록 공정챔버(10) 내부로 공정가스를 공급하기 위한 다수의 가스공급노즐들(30,40)이 설치된다.
챔버 본체(11) 하부 쪽에는 공정챔버(10) 내부의 반응 부산물 및 미 반응가스를 배출시키기 위한 배출구(16)가 형성되고, 배출구(16)와 연결된 배출관(17)에는 공정챔버(10) 내부를 진공상태로 유지할 수 있는 진공펌프(18) 및 압력제어장치(19)가 설치된다.
이러한 HDP CVD 장치를 이용해 증착공정을 수행할 때는 공정챔버(10) 내부의 척(13)에 반도체 기판(W)을 고정시키고, 증착을 수행하기 위한 공정가스가 가스공급노즐들(30,40)을 통해 공정챔버(10)의 내부로 공급되도록 한다. 또 진공펌프(18)와 압력제어장치(19)의 동작에 의해 공정챔버(10)의 내부가 진공상태로 유지되도록 하며, 유도코일(14)에 전원을 인가하여 공정가스가 플라즈마 상태로 되도록 한다. 이렇게 하면 공정가스가 해리되고 화학반응이 생기면서 반도체 기판(W) 표면에 증착에 의한 박막이 형성된다.
이러한 가공공정이 수행될 때 공정가스가 반도체 기판(W) 주위에 균일하게 분포하고 공정가스의 밀도가 높을 때 원하는 공정을 균일하게 수행할 수 있게 되는 데, 본 발명은 기판(W) 상부의 반응영역에 공정가스가 고르게 공급될 수 있도록 공정챔버(10)의 측방 둘레부분에 설치되는 다수의 측방 가스공급노즐들(30)과, 챔버 덮개(12)의 상측 중앙부에 설치되는 상부 가스공급노즐(40)을 구비한다.
측방 가스공급노즐들(30)은 챔버 덮개(12)의 하단에 결합되는 원형의 가스 분배링(20) 내측에 상호 등 간격을 이루도록 설치된다. 그리고 가스 분배링(20)에는 측방 가스공급노즐들(30)로 공정가스를 공급할 수 있도록 가스안내홈(21)이 형성되고, 가스안내홈(21)은 제1공정가스를 공급하는 제1가스공급부(22)와 배관(23)을 통해 연결된다. 이는 제1가스공급부(22)로부터 공급되는 제1공정가스가 다수의 측방 가스공급노즐들(30)을 통하여 공정챔버(10)의 내부로 공급될 수 있도록 한 것이다.
그러나 측방 가스공급노즐들(30)은 반도체 기판(W)의 중간부(W1)(도 2 참조)에 공정가스를 균일하게 공급하는데 있어 한계를 가지고, 또한 방향성이 단순한 하나의 가스유입구만을 가지는 상부 가스공급노즐 역시 같은 한계를 가지므로 본 발명에 따른 고밀도 플라즈마 화학 기상 증착 장치는 다음과 같은 개선된 형태의 상부 가스공급노즐을 구비한다.
도 1, 도 3 내지 도 7에 도시된 바와 같이, 공정챔버(10)의 상부에 마련되는 상부 가스공급노즐(40)은 노즐 본체(41), 가스공급유로(44), 노즐 커버(50), 복수의 가스유입구(60)를 포함하여 형성된다.
노즐 본체(41)는 판상의 수평부(42)와, 수평부(42)로부터 상방으로 연장되어 형성되는 수직부(43)를 포함하고, 상기 수직부(43)는 챔버 덮개(12)의 상부에 고정 된다. 노즐 본체의 수평부(42)는 바람직하게는 평편한 디스크 형태를 가진다.
가스공급유로(44)는 그 중심축과 반도체 기판(W)의 중심축이 일치하도록 상기 노즐 본체의 내부에 상하 방향으로 마련되고, 이 가스공급유로(44)에는 제2공정가스를 공급하는 제2가스공급부(45)가 배관(46)을 통해 연결된다.
노즐 커버(50)는 그 중심이 반도체 기판(W)의 중심과 일치하도록 상기 노즐 본체 수평부의 하면에 부착되며, 상기 노즐 커버(50)에는 공정챔버(10) 내의 반도체 기판(W) 쪽으로 균일하게 제2공정가스를 공급할 수 있도록 설계된 복수의 가스유입구(60)가 형성된다.
도 3에 도시한 바와 같이, 본 발명의 제1실시예에 따른 상부 가스공급노즐(40)의 노즐 커버(50)는 수평 방향으로 형성되는 커버 바닥(51)과, 이 커버 바닥(51)의 가장자리에서 수직 방향에 대하여 일정한 각도의 경사를 가지도록 상부로 연장 형성된 커버 측벽(52)을 가진다. 이 때 커버 바닥(51)은 디스크 형상을 가지도록 하는 것이 바람직하며, 이러한 경우 노즐 커버(50)는 전체적으로 상부가 개방된 절단된 원추형을 가지게 된다. 이러한 노즐 커버(50)는 상기 노즐 본체(41)의 하면에 부착되는데, 이 때 상기 상부로 연장 형성된 커버 측벽(52)에 의해 노즐 본체(41)의 하면과 커버 바닥(51)의 사이에는 가스공급유로(44)와 연통되는 가스유입공간(53)이 생기게 된다.
한편, 상기 커버 측벽(52)에는 방사상으로 제2공정가스를 균일하게 분사할 수 있도록 원주방향을 따라 복수의 가스유입구(60)가 형성되어 있다. 이 때 커버 측벽(52)이 수직 방향에 대하여 θ 만큼 기울어져 있다고 하면, 가스유입구(60)들 을 상기 커버 측벽(52)에 수직한 방향으로 형성할 경우 상기 가스유입구(60)들은 수평방향에 대하여 역시 θ 만큼 기울어져서 반도체 기판(W)에 제2공정가스를 공급하게 된다.
이와 같이 상부 가스공급노즐(40)을 형성하면, 제2가스공급부(45)에서 공급된 제2공정가스가 가스공급유로(44)를 통해 가스유입공간(53)에 유입된 후, 커버 측벽(52)에 형성되어 있는 가스유입구(60)들을 통해서 반도체 기판(W)에 공급되는데, 가스유입구(60)들은 원주방향으로 모든 방향에 걸쳐 형성되어 있고, 공급되는 제2공정가스의 확산이 원활이 이루어질 수 있도록 하방으로 경사져 있으므로 반도체 기판(W)의 중간부(W1)에 제2공정가스가 균일하게 분배된다.
도 4에 도시된 바와 같이, 본 발명의 제2실시예에 따른 상부 가스공급노즐(40)은 제1실시예의 경우와 유사하나, 다음과 같은 점에서 차이가 있다.
즉, 본 발명의 제2실시예에 따른 상부 가스공급노즐(40)은 상기 커버 바닥(51)의 중앙 하면에 부착되는 노즐 캡(cap)(54)을 더 포함하며, 상기 커버 바닥(51)에는 가스공급유로(44)와 같은 중심축을 가지면서 상기 커버 바닥(51)을 관통하는 커버 유로(51a)가 형성되어 있다. 노즐 캡(54)은 상기 노즐 커버(50)와 유사하게 절단된 원추 형상을 가지도록 하는 것이 바람직하다. 특히 노즐 캡(54)은 노즐 커버(50)와 마찬가지로 측벽 쪽을 관통하도록 형성된 복수의 가스유입구(60)들을 포함하는데, 이 가스유입구(60)들 역시 일정한 간격을 두고 원주방향으로 여러 개 배치될 수 있다. 또한 이러한 가스유입구(60)들은 상기 커버 유로(51a)와 연통 되어 있다.
따라서 제2가스공급부(45)에서 공급되어 가스공급유로(44)를 통해 가스유입공간(53)에 유입된 제2공정가스는 커버 측벽(52) 및 노즐 캡(54)에 형성되어 있는 가스유입구(60)들을 통해서 반도체 기판(W)에 공급되는데, 커버 측벽(52)에 형성된 가스유입구들과는 별개로 노즐 캡(54)에 형성된 가스유입구들을 통해 반도체 기판의 중심부(W2)에 제2공정가스가 골고루 분배되므로, 이와 같은 반응 영역의 균일성을 보다 강화할 수 있게 된다.
도 5에 도시된 바와 같이, 본 발명의 제3실시예에 따른 상부 가스공급노즐(40)은 제1실시예의 경우와 유사하나, 다음과 같은 점에서 차이가 있다.
본 발명의 제3실시예에 따른 상부 가스공급노즐(40)의 가스공급유로(44)는 중심부에 위치하여 상기 커버 유로(51a) 쪽으로 제2공정가스를 공급하는 제1공급유로(44a)와, 이 제1공급유로(44a)의 외곽에 위치하여 노즐 커버의 커버 측벽(52)에 형성된 가스유입구(60)들에 제3공정가스를 공급하는 제2공급유로(44b)로 구분된다. 이 때 도 1에서는 도시되지 않았으나, 제2공급유로(44b)에는 제3공정가스를 공급하는 제3가스공급부가 배관을 통해 연결된다. 상기 제1공급유로(44a)와 제2공급유로(44b)는 그 하단이 노즐 커버의 커버 바닥(51)에 맞닿아 있고 상기 두 유로를 따라 상기 두 유로의 사이에 마련되는 중간부재(44c)에 의해 서로 격리된다.
이와 같은 구성에 의해 제1공급유로(44a)를 통해 공급되는 제2공정가스는 노즐 캡(54)에 형성되어 있는 가스유입구들을 통해 공정챔버(10) 내부로 주입되고, 제2공급유로(44b)를 통해 공급되는 제3공정가스는 커버 측벽(52)에 형성되어 있는 가스유입구들을 통해 공정챔버(10)의 내부로 주입된다. 이와 같이 본 실시예는 공정가스를 격리하여 챔버 내부로 공급할 수 있으므로 서로 독립하여 가스 유량을 제어하는 것과 같은 방법에 의해 증착되는 막의 균일성을 위한 최적화된 형태로 반도체 기판(W)에 공정가스가 공급될 수 있도록 제어할 수 있게 된다. 뿐만 아니라 실란가스나 산소 등과 같은 서로 다른 종류의 공정가스를 반도체 기판의 중간부(W1)로 공급할 수 있는데, 이는 산화막 증착의 화학양론(stoichiometry)을 향상시킨다.
도 6에 도시된 바와 같이, 본 발명의 제4실시예에 따른 상부 가스공급노즐(40)의 노즐 커버는(50) 그 외측 바닥면이 볼록한 구면 형상, 즉 샤워 헤드(shower-head) 형상을 가지도록 형성된다. 또한 상기 노즐 커버(50)에는 수직 방향에 대하여 경사지도록 형성되는 여러 열의 가스유입구(60)들이 노즐 커버(50)의 중심축으로부터 방사상으로 형성된다.
위와 같이 노즐 커버(50)에 형성되어 있는 가스유입구(60)들은 노즐 커버의 중심축으로부터 멀어짐에 따라 수직 방향에 대하여 경사진 각도가 점점 커지거나 그 직경이 점점 커지도록 할 수 있다. 예를 들어, 만약 노즐 커버(50)에 중심축으로부터 10mm 떨어진 위치에 있는 제1세트의 가스유입구들, 15mm 떨어진 위치에 있는 제2세트의 가스유입구들 및 20mm 떨어진 위치에 있는 제3세트의 가스유입구들이 있다면, 상기 제1세트 내지 제3세트의 가스유입구들은 수직방향에 대하여 각각 15도, 20도, 30도 기울어지도록 하거나 그 직경이 각각 0.4mm, 0.5mm, 0.6mm가 되도 록 할 수 있다. 이와 같이 가스유입구(60)들의 위치에 따라 기울어진 각도와 직경을 변화시키면, 노즐 커버(50)에 형성되어 있는 가스유입구(60)들이 가지는 위치상의 차이로 인하여 생길 수 있는 불균형을 완화하여 보다 균일하게 반도체 기판(w)에 막을 증착할 수 있게 된다.
한편, 본 실시예의 경우 상기 노즐 커버(50)에 가스유입구(60)들이 형성되어 있는 영역에 대응하는 노즐 본체 수평부(42)의 하부면은 안쪽으로 일정 깊이만큼 패어져 있는데, 이는 가스공급유로를 통과한 제2공정가스가 상기 가스유입구(60)들로 분배되기 위하여 요구되는 가스유입공간(53)을 형성하기 위함이다.
도 7에 도시된 바와 같이, 본 발명의 제5실시예에 따른 상부 가스공급노즐(40)은 그 노즐 커버(50)의 형상이 평편한 디스크 형상인 것을 제외하고는 제4실시예의 경우와 대동소이하므로 상세한 설명은 생략한다.
한편, 도 1에 도시된 바와 같이, 공정챔버(10)의 내부로 NF3와 같은 클리닝 가스가 공급될 수 있도록 상부 가스공급노즐(40)의 둘레에 클리닝 가스유로(70)가 더 마련될 수 있다. 이와 같은 경우 상기 노즐 본체의 수평부(42)가 공정챔버의 챔버 덮개(12)와 일정한 거리만큼 이격되도록 하면 상기 수평부(42)와 챔버 덮개(12) 사이에 상기 클리닝 가스유로(70)와 연통되는 진공채널(71)이 공정챔버(10)의 내부에 형성된다. 따라서 상기 클리닝 가스유로(70)를 통과한 클리닝 가스가 진공채널 (71)에서 본체 수평부(42)에 의해 굴절되어 공정챔버(10)의 내부면 쪽으로 공급됨으로써, 클리닝 공정에서 공정챔버(10)의 내부면을 효과적으로 클리닝 할 수 있게 된다. 한편, 상기 클리닝 가스유로(70)에는 클리닝 가스를 공급하는 클리닝 가스공급부(72)가 배관(73)을 통해 연결된다
위에서 설명한 바와 같이, 본 발명은 공정챔버 내부로 공정가스를 균일하게 분배할 수 있도록 설계된 상부 가스공급노즐을 구비하여 반도체 기판(W) 상에서 막 증착 공정 등과 같은 가공공정이 균일하게 이루어질 수 있도록 하는 효과가 있다.
특히 본 발명은 측방 노즐에서 공급되는 공정가스가 닿지 않는 반도체 기판의 중간부(W1)와 다른 반응영역 사이의 불균형을 해소하여 전체적인 균일성을 향상시키는 효과가 있다.
또한 반도체 기판의 크기가 커질수록 반응영역 사이의 불균형은 더욱 커지게 되므로 본 발명의 위와 같은 효과는 직경이 300mm인 웨이퍼에 대해서는 더욱 효과적으로 작용하여 반도체 제조 공정이 보다 경제적이고 효율적으로 이루어질 수 있게 하는 효과가 있다.

Claims (11)

  1. 삭제
  2. 삭제
  3. 공정챔버와; 상기 공정챔버의 내부로 공정가스를 공급하기 위하여 상기 공정챔버의 상부에 마련되는 상부 가스공급노즐;을 포함하고, 상기 상부 가스공급노즐은
    판상의 수평부를 가지는 노즐 본체;와
    상기 노즐 본체의 내부에 형성되는 가스공급유로;와
    상기 노즐 본체에 부착되고, 커버 바닥을 가지는 노즐 커버;와
    상기 공정챔버 내의 반도체 기판 쪽으로 균일하게 공정가스를 공급할 수 있도록 상기 노즐 커버에 형성되는 복수의 가스유입구;와
    상기 노즐 커버의 중앙 하면에 부착되는 노즐 캡(cap);을 포함하고,
    상기 커버 바닥에는 상기 가스공급유로와 같은 중심축을 가지면서 상기 커버 바닥을 관통하는 커버 유로가 형성되며, 상기 노즐 캡에는 상기 커버 유로와 연통되는 한편 수평 방향에 대하여 소정각도 경사를 가지는 복수의 가스유입구가 형성되어 있는 것을 특징으로 하는 고밀도 플라즈마 화학 기상 증착 장치.
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 제3항에 있어서, 상기 가스공급유로는 중심부에 배치되어 상기 커버 유로에 공정가스를 공급하는 제1공급유로와, 상기 제1공급유로의 외곽에 위치하여 상기 노즐 커버에 형성된 가스유입구에 공정가스를 공급하는 제2공급유로와, 상기 제1공급유로와 제2공급유로를 구분하는 중간부재를 포함하는 것을 특징으로 하는 고밀도 플라즈마 화학 기상 증착 장치.
  9. 제8항에 있어서, 상기 제1공급유로와 제2공급유로에는 실란 가스나 산소 등과 같은 서로 다른 종류의 공정가스가 공급되는 것을 특징으로 하는 고밀도 플라즈마 화학 기상 증착 장치.
  10. 챔버 본체와 챔버 덮개를 구비하는 공정챔버와; 상기 공정챔버의 내부로 공정가스를 공급하기 위하여 상기 공정챔버의 상부에 마련되는 상부 가스공급노즐과; 상기 공정챔버의 내부로 클리닝 가스가 공급될 수 있도록 상기 상부 가스공급노즐의 둘레에 마련되는 클리닝 가스유로를 포함하고, 상기 상부 가스공급노즐은
    판상의 수평부를 가지는 노즐 본체;와
    상기 노즐 본체의 내부에 상하 방향으로 형성되는 가스공급유로;와
    상기 노즐 본체 수평부의 하면에 부착되는 노즐 커버;와
    상기 공정챔버 내의 반도체 기판 쪽으로 균일하게 공정가스를 공급할 수 있도록 상기 노즐 커버에 형성되는 복수의 가스유입구;를 포함하며,
    상기 노즐 본체의 수평부는 상기 공정챔버의 챔버 덮개와 일정한 거리만큼 이격되어 있어 상기 수평부와 챔버 덮개 사이에 상기 클리닝 가스유로와 연통되는 진공채널이 형성됨으로써, 상기 클리닝 가스유로를 통과한 클리닝 가스가 상기 진공채널에서 상기 본체 수평부에 의해 굴절되어 공정챔버의 내부로 공급되는 것을 특징으로 하는 상기 고밀도 플라즈마 화학 기상 증착 장치.
  11. 공정챔버와, 상기 공정챔버의 상부에 마련되는 상부 가스공급노즐을 포함하고, 상기 상부 가스공급노즐은
    노즐 본체;와
    상기 노즐 본체의 내부에 형성되는 가스공급유로;와
    상기 노즐 본체의 하부에 배치되는 커버 바닥을 가지는 노즐 커버;와
    상기 노즐 커버의 하부에 배치되는 노즐 캡;을 포함하고,
    상기 노즐 커버는 상기 가스공급유로로부터 공급되는 가스를 상기 공정챔버의 내부로 공급하는 복수의 가스유입구와 상기 커버 바닥을 관통하는 커버 유로를 포함하며, 상기 노즐 캡은 상기 커버 유로에 연통되는 복수의 가스유입구를 포함하는 것을 특징으로 하는 고밀도 플라즈마 화학 기상 증착 장치.
KR1020050017420A 2005-03-02 2005-03-02 고밀도 플라즈마 화학 기상 증착 장치 KR100854995B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020050017420A KR100854995B1 (ko) 2005-03-02 2005-03-02 고밀도 플라즈마 화학 기상 증착 장치
US11/246,252 US20060196420A1 (en) 2005-03-02 2005-10-11 High density plasma chemical vapor deposition apparatus
JP2005320135A JP4430003B2 (ja) 2005-03-02 2005-11-02 高密度プラズマ化学気相蒸着装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050017420A KR100854995B1 (ko) 2005-03-02 2005-03-02 고밀도 플라즈마 화학 기상 증착 장치

Publications (2)

Publication Number Publication Date
KR20060096713A KR20060096713A (ko) 2006-09-13
KR100854995B1 true KR100854995B1 (ko) 2008-08-28

Family

ID=36942894

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050017420A KR100854995B1 (ko) 2005-03-02 2005-03-02 고밀도 플라즈마 화학 기상 증착 장치

Country Status (3)

Country Link
US (1) US20060196420A1 (ko)
JP (1) JP4430003B2 (ko)
KR (1) KR100854995B1 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011043961A2 (en) * 2009-10-05 2011-04-14 Applied Materials, Inc. Epitaxial chamber with cross flow
KR101087974B1 (ko) * 2009-03-25 2011-12-01 엘아이지에이디피 주식회사 화학기상증착장치
KR20140044130A (ko) 2012-10-04 2014-04-14 세메스 주식회사 가스 분사 유닛 및 이를 포함하는 기판 처리 장치
KR20140073687A (ko) 2012-12-06 2014-06-17 세메스 주식회사 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
WO2022164076A1 (ko) * 2021-01-29 2022-08-04 주성엔지니어링(주) 기판처리장치

Families Citing this family (338)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7740706B2 (en) * 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US8123902B2 (en) * 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
KR100860588B1 (ko) * 2007-04-06 2008-09-26 세메스 주식회사 노즐 어셈블리 및 이를 구비하는 기판처리장치, 그리고기판을 처리하는 방법
KR100888185B1 (ko) * 2007-08-14 2009-03-10 주식회사 테스 가스 분사 장치 및 이를 구비하는 기판 처리 장치
KR100925061B1 (ko) 2007-11-19 2009-11-03 삼성전기주식회사 화학 기상 증착 장치용 방산노즐
KR100928061B1 (ko) * 2007-12-13 2009-11-23 세메스 주식회사 가스 분사 장치 및 이를 포함하는 기판 처리 장치
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
KR100952673B1 (ko) * 2007-12-27 2010-04-13 세메스 주식회사 기판 처리 장치 및 이의 유체 공급 방법
KR100941073B1 (ko) * 2007-12-27 2010-02-09 세메스 주식회사 탑 노즐 및 기판 처리 장치
JP5233734B2 (ja) * 2008-02-20 2013-07-10 東京エレクトロン株式会社 ガス供給装置、成膜装置及び成膜方法
US20090221149A1 (en) * 2008-02-28 2009-09-03 Hammond Iv Edward P Multiple port gas injection system utilized in a semiconductor processing system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
MY165356A (en) * 2009-09-10 2018-03-21 Lam Res Corp Replaceable upper chamber parts of plasma processing apparatus
KR101139821B1 (ko) * 2009-09-30 2012-04-30 주식회사 뉴파워 프라즈마 분배 효율이 향상된 가스분사노즐 및 이를 구비한 플라즈마 반응기
KR101102329B1 (ko) * 2009-10-26 2012-01-03 주식회사 케이씨텍 가스분사유닛 및 이를 구비하는 유기금속 화학기상증착장치
KR101603176B1 (ko) * 2010-02-12 2016-03-14 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버 가스 유동 개선들
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
WO2012144673A1 (ko) * 2011-04-22 2012-10-26 주식회사 위너 반도체 소자 제조장치
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103103499A (zh) * 2011-11-11 2013-05-15 中国科学院沈阳科学仪器研制中心有限公司 一种大型板式pecvd设备真空腔室的迷宫进气装置
US20130133701A1 (en) * 2011-11-28 2013-05-30 Intermolecular, Inc. Method and apparatus for dispensing an inert gas
US9679751B2 (en) * 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
KR101411993B1 (ko) * 2012-09-25 2014-06-26 (주)젠 안테나 어셈블리 및 이를 구비한 플라즈마 처리 챔버
JP5937475B2 (ja) * 2012-09-28 2016-06-22 小島プレス工業株式会社 プラズマcvd装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103068137A (zh) * 2012-11-21 2013-04-24 中国科学院微电子研究所 一种进气结构及等离子体工艺设备
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10163606B2 (en) 2013-03-15 2018-12-25 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
JP6210762B2 (ja) * 2013-07-08 2017-10-11 株式会社アルバック ドライエッチング装置
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10465288B2 (en) * 2014-08-15 2019-11-05 Applied Materials, Inc. Nozzle for uniform plasma processing
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016158054A1 (ja) * 2015-03-30 2016-10-06 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
JP6545053B2 (ja) * 2015-03-30 2019-07-17 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
WO2016204974A1 (en) * 2015-06-17 2016-12-22 Applied Materials, Inc. Gas control in process chamber
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
CN106034371A (zh) * 2016-06-17 2016-10-19 西安交通大学 等离子体射流阵列协同机械旋转运动的材料处理装置
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
JP6308318B2 (ja) * 2017-04-06 2018-04-11 東京エレクトロン株式会社 成膜装置
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
JP7176860B6 (ja) * 2017-05-17 2022-12-16 アプライド マテリアルズ インコーポレイテッド 前駆体の流れを改善する半導体処理チャンバ
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
KR101765822B1 (ko) 2017-06-20 2017-08-10 주식회사 월덱스 사파이어 소재를 이용한 고수명 이체형 가스분사장치
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20210127967A (ko) * 2019-03-19 2021-10-25 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP7313269B2 (ja) 2019-12-23 2023-07-24 東京エレクトロン株式会社 プラズマ処理装置
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TWI767244B (zh) * 2020-05-29 2022-06-11 朗曦科技股份有限公司 半導體製程腔體之氣體噴頭
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114737170B (zh) * 2022-04-15 2024-01-19 北京格安利斯气体管道工程技术有限公司 一种用于化学气相沉积的气体管道反应器、使用其制备的材料及用途
CN116752106B (zh) * 2023-08-17 2023-11-10 上海陛通半导体能源科技股份有限公司 用于反应溅射的物理气相沉积设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05152208A (ja) * 1991-11-29 1993-06-18 Fujitsu Ltd 半導体製造装置
JPH073462A (ja) * 1993-06-17 1995-01-06 Murata Mfg Co Ltd Cvd装置用ガス噴出ノズル
JPH09316644A (ja) * 1996-05-23 1997-12-09 Nippon Sanso Kk Cvd装置のシャワーヘッドノズル
JP2001189308A (ja) 1999-12-28 2001-07-10 Toshiba Corp プラズマ処理装置及びプラズマ処理方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6358324B1 (en) * 1999-04-27 2002-03-19 Tokyo Electron Limited Microwave plasma processing apparatus having a vacuum pump located under a susceptor
JP2007525822A (ja) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド ガス分配システム

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05152208A (ja) * 1991-11-29 1993-06-18 Fujitsu Ltd 半導体製造装置
JPH073462A (ja) * 1993-06-17 1995-01-06 Murata Mfg Co Ltd Cvd装置用ガス噴出ノズル
JPH09316644A (ja) * 1996-05-23 1997-12-09 Nippon Sanso Kk Cvd装置のシャワーヘッドノズル
JP2001189308A (ja) 1999-12-28 2001-07-10 Toshiba Corp プラズマ処理装置及びプラズマ処理方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101087974B1 (ko) * 2009-03-25 2011-12-01 엘아이지에이디피 주식회사 화학기상증착장치
WO2011043961A2 (en) * 2009-10-05 2011-04-14 Applied Materials, Inc. Epitaxial chamber with cross flow
WO2011043961A3 (en) * 2009-10-05 2011-07-14 Applied Materials, Inc. Epitaxial chamber with cross flow
CN102549718A (zh) * 2009-10-05 2012-07-04 应用材料公司 具有交叉流的外延腔室
KR20140044130A (ko) 2012-10-04 2014-04-14 세메스 주식회사 가스 분사 유닛 및 이를 포함하는 기판 처리 장치
KR20140073687A (ko) 2012-12-06 2014-06-17 세메스 주식회사 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
WO2022164076A1 (ko) * 2021-01-29 2022-08-04 주성엔지니어링(주) 기판처리장치

Also Published As

Publication number Publication date
US20060196420A1 (en) 2006-09-07
KR20060096713A (ko) 2006-09-13
JP4430003B2 (ja) 2010-03-10
JP2006245533A (ja) 2006-09-14

Similar Documents

Publication Publication Date Title
KR100854995B1 (ko) 고밀도 플라즈마 화학 기상 증착 장치
US11264213B2 (en) Chemical control features in wafer process equipment
TWI662640B (zh) 氣體供應單元及包括氣體供應單元的基板處理裝置
US8097120B2 (en) Process tuning gas injection from the substrate edge
KR100782369B1 (ko) 반도체 제조장치
KR100862658B1 (ko) 반도체 처리 시스템의 가스 주입 장치
KR100614648B1 (ko) 반도체 소자 제조에 사용되는 기판 처리 장치
JP6811732B2 (ja) 処理チャンバ中のガス制御
TW201841208A (zh) 基板處理設備
KR20240019194A (ko) 반도체 설비의 실링 장치 및 기류 산포 제어 장치
KR100484945B1 (ko) 멀티 홀 앵글드 가스분사 시스템을 갖는 반도체소자 제조장치
US20060196417A1 (en) Gas distribution systems for deposition processes
KR20100071604A (ko) 분사각도의 조절이 가능한 분사노즐을 가지는 고밀도 플라즈마 화학기상증착장치
JP2023520035A (ja) 調節ガスの局所供給用エッジリング
KR20070002218A (ko) 화학기상증착장치
KR102349006B1 (ko) 박막 증착 장치
KR101114247B1 (ko) 반도체 소자 제조 장치
KR200476047Y1 (ko) 배플이 구비된 기판처리장치
KR20210114552A (ko) ALD (Atomic Layer Deposition) 기판 프로세싱 챔버들의 막 특성들을 조절하기 위한 페데스탈들
KR20180074350A (ko) Ald 박막 증착 장치
KR20170133670A (ko) 가스 분배기 및 기판 처리 장치
KR20050112371A (ko) 샤워헤드 및 이를 갖는 원자층 증착장치
KR20060001082A (ko) 증착 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120801

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130731

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee