KR100862658B1 - 반도체 처리 시스템의 가스 주입 장치 - Google Patents

반도체 처리 시스템의 가스 주입 장치 Download PDF

Info

Publication number
KR100862658B1
KR100862658B1 KR1020020071047A KR20020071047A KR100862658B1 KR 100862658 B1 KR100862658 B1 KR 100862658B1 KR 1020020071047 A KR1020020071047 A KR 1020020071047A KR 20020071047 A KR20020071047 A KR 20020071047A KR 100862658 B1 KR100862658 B1 KR 100862658B1
Authority
KR
South Korea
Prior art keywords
gas
reaction chamber
injector
reaction
nozzles
Prior art date
Application number
KR1020020071047A
Other languages
English (en)
Other versions
KR20040043049A (ko
Inventor
김태완
톨마체프유리
마동준
나발라세르기야고블레비키
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020020071047A priority Critical patent/KR100862658B1/ko
Priority to CNB031390919A priority patent/CN100336165C/zh
Priority to US10/713,258 priority patent/US7252716B2/en
Priority to JP2003386766A priority patent/JP2004172622A/ja
Publication of KR20040043049A publication Critical patent/KR20040043049A/ko
Application granted granted Critical
Publication of KR100862658B1 publication Critical patent/KR100862658B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Abstract

반도체 처리 시스템의 반응챔버 내부로 반응가스를 주입하기 위한 가스 주입 장치가 개시된다. 개시된 가스 주입 장치는, 반응챔버의 벽의 내면에 접촉되도록 설치되며 반응챔버 내부로 반응가스를 분배하여 주입하는 다수의 노즐이 관통 형성된 인젝터와, 반응챔버의 벽을 관통하여 설치되는 가스 유입구와, 반응챔버의 벽과 인젝터 사이에 마련되어 가스 유입구를 통해 유입된 반응가스를 다수의 노즐 각각까지 공급하는 매니폴드를 구비한다. 그리고, 매니폴드는 가스 유입구로부터 다수의 노즐 각각까지 이어지는 가스 경로들의 길이가 모두 동일하도록 복수의 레벨로 배열된 가스채널들로 구성된다. 이와 같은 구성에 의하면, 다수의 노즐 각각을 통해 반응챔버 내부로 주입되는 반응가스의 유량이 균일하며, 반응챔버의 크기나 가스 압력 및 유량에 의해 제한을 받지 않고 다양한 종류의 반도체 처리 시스템에 채용될 수 있는 가스 주입 장치를 구현할 수 있다.

Description

반도체 처리 시스템의 가스 주입 장치{Gas injection apparatus for semiconductor processing system}
도 1은 반도체 처리 시스템에 사용되는 종래의 링 형상의 가스 주입 장치의 일례를 도시한 부분 절개 사시도이다.
도 2는 도 1에 도시된 가스 주입 장치에 있어서 각 노즐에서의 가스 압력과 가스 유량을 보여주는 그래프이다.
도 3a는 본 발명에 따른 반도체 처리 시스템의 가스 주입 장치의 기본적인 구성을 도시한 도면이다.
도 3b는 도 3a에 표시된 A-A'선을 따른 확대 단면도이다.
도 4는 본 발명에 따른 가스 주입 장치에 있어서 각 노즐에서의 가스 압력과 가스 유량을 보여주는 그래프이다.
도 5 내지 도 9는 본 발명의 제1 내지 제5 실시예에 따른 가스 주입 장치와 이를 채용한 플라즈마 처리 시스템을 도시한 수직 단면도들이다.
<도면의 주요 부분에 대한 부호의 설명>
110,210,310,410...가스 유입구 120,220,320,420...매니폴드
121~124,221~224,321~324,421~424...가스채널
130,230,330,430...노즐 140,240,340,440...인젝터
180,280...반응챔버 182,282...상부벽
183...측벽 182a,183a...홈
184,284...정전척 186,286...플라즈마 소스
188,288...진공흡입구 350,450...지지부재
560...샤워헤드 방식의 인젝터
본 발명은 반도체 처리 시스템에 관한 것으로, 보다 상세하게는 반도체 기판이 장입된 반응 챔버의 내부에 기판의 처리를 위한 반응가스를 주입하는데 사용되는 가스 주입 장치에 관한 것이다.
현재 반도체 소자나 평판 디스플레이 장치(flat display panel) 등의 제조를 위한 반도체 기판의 미세가공공정에는 플라즈마 처리 시스템 또는 마그네트론 스퍼터링 시스템과 같은 반도체 처리 시스템이 많이 사용되고 있다. 예컨대, 화학기상증착(CVD; Chemical Vapor Deposition)에 의해 기판 상에 소정 물질층을 증착하기 위한 시스템으로서 플라즈마 화학기상증착(PECVD; Plasma Enhanced CVD) 시스템 또는 고밀도플라즈마 화학기상증착(HDP-CVD; High Density Plasma CVD) 시스템이 많이 사용되고 있으며, 물리적기상증착(PVD; Physical Vapor Deposition)에 의해 기판 상에 소정 물질층을 증착하기 위한 시스템으로서 마그네트론 스퍼터링 시스템이 널리 사용되고 있다.
이러한 반도체 처리 시스템들은 다양한 반도체 처리 공정의 특성에 따라 이에 적합하도록 개발되고 있다. 특히, 최근의 반도체 처리 시스템의 개발에 있어서 가장 큰 주안점은 수율의 향상을 위한 기판의 대면적화 추세에 부응하는 것이다. 즉, 기존의 200mm 웨이퍼에서 최근의 300mm 웨이퍼로의 대면적화에 따른 웨이퍼 처리 공정의 균일도 향상은 가장 먼저 해결되어야 하는 요소기술이 되고 있다. 이를 위해서는, 먼저 반도체 처리 시스템의 가스 주입 장치를 통해 반응챔버 내부로 주입되는 반응가스가 반응챔버 내부에 균일하게 분배될 수 있도록 하여야 한다.
따라서, 가스 주입 장치를 통한 가스 분배에 있어서의 균일성을 확보하기 위하여 다양한 형태의 가스 주입 장치들이 개발되어 왔다.
그 일례로서, 미국 특허 US 5,522,931호에는 기판에 대해 수직방향으로 다수의 레벨에 위치한 다수의 노즐을 포함하는 가스 주입 장치가 개시되어 있다. 높은 레벨에 위치한 가스 공급 노즐은 낮은 레벨에 위치한 가스 공급 노즐에 비해 반응 챔버의 중심쪽으로 보다 길게 연장되어 있다. 이러한 가스 주입 장치는 균일한 가스 분배를 성취하는데 효과적일 수 있으나, 반면에 다수의 노즐의 반응 챔버 내부쪽으로 연장된 부분에 의해 기판쪽으로의 이온 플럭스가 방해되는 문제점이 있다.
다른 예로서, 미국 특허 US 6,432,831호에는 샤워헤드 형상의 가스 주입 장치가 개시되어 있다. 이러한 가스 주입 장치에 있어서, 샤워헤드로의 가스의 공급은 샤워헤드의 배면에서의 균일한 가스 압력 분포를 위해 배플들(baffles)과 홀들(holes)을 통해 이루어진다. 또 다른 예로서, 미국 특허 US 6,415,736호에도 샤워헤드 형상의 가스 주입 장치가 개시되어 있다. 여기에서는, 배플 플레이트에 마련되는 홀들을 다양한 크기로 형성함으로써 샤워헤드 배면에서의 균일한 가스 압력 분포를 얻을 수 있도록 되어 있다. 그러나, 이러한 시스템을 넓은 범위의 가스 압력과 가스 유량에 적합하도록 최적화시키는 것은 매우 어렵다. 그리고, 샤워헤드 형상의 가스 주입 장치는 평행판 플라즈마 반응기(parallel plate plasma reactor)에만 적합할 뿐 마그네트론 스퍼터링 시스템에는 적용될 수 없는 문제점이 있다.
또한, ECR(Electron Cyclotron Resonance) 플라즈마 반응기에 있어서, 반응 챔버의 상부에 설치되는 샤워헤드는 마이크로웨이브의 전파(propagation)를 방해한다. 따라서, 이 경우 그리고 다른 실제적인 경우에 있어서 링 형상의 가스 주입 장치가 많이 사용되고 있으며, 도 1에는 그 일례가 도시되어 있다.
도 1에 도시된 가스 주입 장치(10)는 링 형상으로 되어 있으며, 그 내부에는 반응가스가 통과하는 채널(14)이 형성되어 있고, 그 외주면에는 채널(14)과 연통된 가스 유입구(gas inlet, 12)가 마련되어 있으며, 그 내주면에는 채널(14)과 연통되어 반응챔버 내부로 가스를 주입하기 위한 다수의 노즐(16)이 마련되어 있다. 상기 다수의 노즐은(16)은 가스 주입 장치(10)의 내주면을 따라 등간격으로 배치되어 있다.
그런데, 상기한 바와 같은 가스 주입 장치(10)에 있어서, 가스 유입구(12)로부터 가스채널(14)을 통해 각 노즐(16)로 이어지는 가스 경로(gas path)가 형성되며, 이러한 가스 경로의 길이는 다수의 노즐(16)에 있어서 각각 다르게 된다. 이와 같은 가스 경로의 차이는 반응가스와 가스채널(14) 벽면과의 마찰에 의한 압력 강하(pressure drop)에 의해 각 노즐(16)에서의 반응가스의 압력 차이를 발생시키고, 이에 따라 각 노즐(16)을 통한 가스 유량(flow rate)의 불균일을 초래하게 된다.
도 2에는 도 1에 도시된 가스 주입 장치에 있어서, 각 노즐에서의 가스 압력과 가스 유량을 보여주는 그래프이다. 도 2의 그래프에서, 가스 유입구에는 0의 번호를 붙였고, 각 노즐에는 가스 유입구로부터 가스 주입 장치의 내주면을 따라 배열된 순서대로 1에서 16까지의 번호를 붙였다.
그리고, 도 2의 그래프는 반응챔버 내부 압력 10 mTorr 하에서 O2 가스를 100 SCCM(Standard Cubic Centimeters per Minute)의 유량으로 유입시켰을 때의 가스 압력과 유량을 계산한 결과를 나타낸 것이다. 이 때, 각 가스채널은 1x4mm의 사각형 단면을 가지고, 노즐은 0.5mm의 직경과 2mm의 길이를 가지며, 가스채널의 직경은 241mm로 설정되었다.
도 2의 그래프를 보면, 가스 압력은 가스 유입구로부터 멀어질수록, 즉 가스 경로의 길이가 길수록 낮아지게 되고, 이에 따라 가스 유입구와 가장 가까운 곳에 위치한 노즐에서의 가스 유량은 가장 먼 곳에 위치한 노즐에서의 가스 유량에 비해 대략 4배 정도 많음을 알 수 있다. 이와 같이 종래의 링 형상의 가스 주입 장치에서는, 가스 유입구로부터 가스채널을 통해 각 노즐까지 이어지는 가스 경로의 길이의 차이에 기인하여, 각 노즐에서의 가스 압력과 가스 유량이 매우 불균일하게 된다.
가스 주입 장치의 설계는 기판 처리 공정의 균일도에 큰 영향을 미치게 되며, 가스 주입 장치의 크기가 커질수록 그리고 가스 채널의 단면적이 작아질수록 가스 분배에 있어서의 불균일성은 더욱 심해지게 된다는 것은 잘 알려져 있다. 한 편, 전술한 바와 같이 이온 플럭스나 마이크로웨이브의 전파를 방해하지 않도록 하기 위해서는 가스 주입 장치는 가능한 한 얇거나 반응챔버의 벽 내로 몰입되는 것이 바람직하다. 이와 같이, 구조적으로는 좁은 단면적의 가스 채널이 필요하게 되나, 좁은 단면적의 가스 채널을 가진 대형의 가스 주입 장치에 있어서는 균일한 압력 분배에 있어서 문제점이 발생하게 된다.
따라서, 최근에 웨이퍼의 크기가 대형화되어 가는 추세에 있어서, 종래의 가스 주입 장치로는 반응 챔버 내부로의 가스 분배의 균일성을 확보하기가 더욱 더 어려워지고 있으며, 이는 반도체 기판의 처리 공정의 균일도를 저하시켜 반도체 소자의 품질이나 수율을 현저하게 떨어뜨리게 된다.
본 발명은 상기와 같은 종래 기술의 문제점을 해결하기 위하여 창출된 것으로, 그 일 목적은 반응 챔버 내부로 주입되는 반응가스의 균일한 분배를 위해 가스 유입구로부터 다수의 노즐 각각까지의 가스 경로의 길이가 동일하도록 배열된 매니폴드를 가진 반도체 처리 시스템의 가스 주입 장치를 제공하는데 있다.
그리고, 본 발명의 다른 목적은 PECVD, HDP-CVD 및 마그네트론 스퍼터링 시스템 등 다양한 종류의 반도체 처리 시스템에 채용될 수 있는 가스 주입 장치를 제공하는데 있다.
또한, 본 발명의 또 다른 목적은 반응챔버의 크기와 가스 압력 및 유량에 의해 제한을 받지 않고 반응가스의 균일한 분배를 이룰 수 있어서 대형의 반응챔버를 가진 반도체 처리 시스템에 채용될 수 있는 가스 주입 장치를 제공하는데 있다.
상기한 목적을 달성하기 위하여 본 발명은,
반도체 처리 시스템의 반응챔버 내부로 반응가스를 주입하기 위한 가스 주입 장치에 있어서,
상기 반응챔버의 벽의 내면에 접촉되도록 설치되며, 상기 반응챔버 내부로 반응가스를 분배하여 주입하는 다수의 노즐이 관통 형성된 인젝터;
상기 반응챔버의 벽을 관통하여 설치되는 가스 유입구; 및
상기 반응챔버의 벽과 상기 인젝터 사이에 마련되어 상기 가스 유입구를 통해 유입된 반응가스를 상기 다수의 노즐 각각까지 공급하는 매니폴드;를 구비하며,
상기 매니폴드는 상기 가스 유입구로부터 상기 다수의 노즐 각각까지 이어지는 가스 경로들의 길이가 모두 동일하도록 복수의 레벨로 배열된 가스채널들로 구성되어, 상기 다수의 노즐 각각을 통해 상기 반응챔버 내부로 주입되는 반응가스의 유량이 균일하도록 된 것을 특징으로 하는 반도체 처리 시스템의 가스 주입 장치를 제공한다.
여기에서, 상기 복수 레벨의 가스채널들 중 하위 레벨의 가스채널들은 차상위 레벨의 가스채널의 양단부로부터 양쪽으로 동일한 길이를 가진 두 개의 가지로 갈라져 형성되며, 최상위 레벨의 가스채널은 상기 가스 유입구와 연결되는 부위로부터 양쪽으로 동일한 길이를 가진 두개의 가지로 갈라져 형성되고, 최하위 레벨의 가스채널들의 양단부 각각에 상기 노즐이 연결되는 것이 바람직하다.
상기 반응챔버의 벽의 내면에는 홈이 형성되고, 상기 인젝터는 상기 홈 내부 에 삽입되는 것이 바람직하다.
상기 가스채널들은 상기 인젝터의 상기 반응챔버의 벽의 내면과 접촉되는 면에 소정 깊이를 가진 그루브 형상으로 형성될 수 있다.
한편, 상기 가스채널들은 상기 반응챔버의 벽의 내면에 소정 깊이를 가진 그루브 형상으로 형성될 수 있다. 이 경우, 상기 인젝터는 세라믹과 같은 유전체 라이너로 이루어질 수 있다.
상기 노즐들의 출구들은 상기 인젝터의 상기 반응챔버의 내부를 향한 면에 원주방향을 따라 등간격으로 배열되는 것이 바람직하다.
본 발명의 제1 실시예에 의하면, 상기 인젝터는 평판 링 형상을 가지며, 상기 반응챔버의 상부벽의 저면에 접촉되도록 설치될 수 있다.
상기 제1 실시예에 있어서, 상기 가스채널들은 상기 인젝터의 바깥쪽부위에서 안쪽부위로 가면서 상위 레벨에서 하위 레벨로 배열되는 것이 바람직하다.
본 발명의 제2 실시예에 의하면, 상기 인젝터는 실린더 형상을 가지며, 상기 반응챔버의 측벽의 내면에 접촉되도록 설치될 수 있다.
본 발명의 제3 실시예에 의하면, 상기 인젝터는 원뿔 형상을 가지며, 상기 반응챔버의 상부벽의 경사진 내면에 접촉되도록 설치될 수 있다.
상기 제2 및 제3 실시예에 있어서, 상기 가스채널들은 상기 인젝터의 아래쪽부위에서 위쪽부위로 가면서 상위 레벨에서 하위 레벨로 배열되는 것이 바람직하다.
그리고, 상기 가스 주입 장치는 상기 반응챔버의 상부에 설치되어 상기 반응 챔버의 중심부쪽으로 상기 반응가스를 주입하는 샤워헤드 방식 인젝터를 더 구비할 수 있다.
이와 같은 구성에 의하면, 가스 유입구로부터 다수의 노즐 각각까지 이어지는 가스 경로들의 길이가 모두 동일하여 각 노즐을 통해 반응챔버로 주입되는 가스의 유량이 균일하며, 반응챔버의 크기나 가스 압력 및 유량에 의해 제한을 받지 않고 다양한 종류의 반도체 처리 시스템, 예컨대 플라즈마 처리 시스템 뿐만 아니라 마그네트론 스퍼터링 시스템에도 채용될 수 있는 가스 주입 장치를 구현할 수 있다.
이하, 첨부된 도면을 참조하면서 본 발명에 따른 반도체 처리 시스템의 가스 주입 장치의 바람직한 실시예들을 상세히 설명한다.
도 3a는 본 발명에 따른 반도체 처리 시스템의 가스 주입 장치의 기본적인 구성을 도시한 도면이며, 도 3b는 도 3a에 표시된 A-A'선을 따른 확대 단면도이다.
도 3a와 도 3b를 함께 참조하면, 본 발명에 따른 가스 주입 장치는, 외부로부터 반응가스가 유입되는 통로인 가스 유입구(gas inlet, 110)와, 반응챔버 내부로 반응가스를 주입하는 다수의 노즐(130)과, 상기 가스 유입구(110)로부터 상기 다수의 노즐(130) 각각까지 반응가스를 공급하는 매니폴드(120)를 구비한다. 그리고, 상기 매니폴드(120)는 가스 유입구(110)로부터 다수의 노즐(130) 각각까지 이어지는 가스 경로들의 길이가 모두 동일하도록 구성된다. 이를 위해, 상기 매니폴드(120)는 복수의 레벨로 배치된 가스채널들(121, 122, 123, 124)을 구비한다.
상기 가스 유입구(110)는 반응챔버의 벽을 관통하여 형성되며, 상기 다수의 노즐(130)은 반응챔버의 벽의 내면에 밀착되도록 설치되는 링 형상의 인젝터(140)에 형성된다. 상기 매니폴드(120)의 가스채널들(121, 122, 123, 124)은 인젝터(140)와 반응챔버의 벽과의 사이에 형성된다. 구체적으로, 가스채널들(121, 122, 123, 124)은 인젝터(140)의 일측면, 즉 반응챔버의 벽의 내면과 접촉되는 면에 소정 깊이를 가진 그루브 형상으로 형성될 수 있다. 한편, 후술하는 바와 같이 가스채널들은 반응챔버의 벽의 내면에 소정 깊이를 가진 그루브 형상으로 형성될 수도 있다.
상기 다수의 노즐(130)은 최하위 레벨의 가스채널들(124) 각각의 양단부로부터 반응챔버의 내부쪽으로 인젝터(140)를 관통하여 형성된다. 즉, 상기 다수의 노즐(130) 각각의 입구는 최하위 레벨의 가스채널(124)에 연통되고, 그 각각의 출구는 반응챔버 내부를 향하여 열려져 있다. 그리고, 상기 다수의 노즐(130) 각각의 출구는 인젝터(140)의 타측면, 즉 반응챔버의 내부를 향한 면에 원주방향을 따라 등간격으로 배열된다.
상기 가스채널들(121, 122, 123, 124)은 전술한 바와 같이 복수의 레벨로 배치되며, 바람직하게는 도시된 바와 같이 4개의 레벨로 배치될 수 있다. 최상위 레벨인 제1 레벨에 배치된 제1 가스채널(121)은 가스 유입구(110)로부터 양쪽으로 갈라지며, 갈라진 두 개의 가지(branch)는 각각 원주방향으로 동일한 길이로 연장된다. 제2 레벨에 배치된 제2 가스채널(122)은 제1 가스채널(121)의 양단부 각각으로부터 다시 양쪽으로 갈라지며, 갈라진 두 개의 가지는 각각 원주방향으로 동일한 길이로 연장된다. 제3 레벨에 배치된 제3 가스채널(123)은 제2 가스채널(122)의 양 단부 각각으로부터 또 다시 양쪽으로 갈라지며, 갈라진 두 개의 가지는 각각 원주방향으로 동일한 길이로 연장된다. 최하위 레벨인 제4 레벨에 배치된 제4 가스채널(124)도 위와 동일한 방식으로 배치된다. 그리고, 상기 제4 가스채널(124) 각각의 양단부에 상기한 바와 같이 노즐(130)이 배치된다. 도시된 바와 같이 가스채널들(121, 122, 123, 124)의 레벨의 수가 4인 경우에, 노즐들(130)의 수는 24개, 즉 16개가 되며, 레벨의 수가 n인 경우에는 노즐(130)의 수는 2n개가 된다. 이와 같이 복수의 레벨로 배치된 가스채널들(121, 122, 123, 124)로 인해 가스 유입구(110)로부터 다수의 노즐(130) 각각까지 이어지는 가스 경로들의 길이가 모두 동일하게 된다.
도 4는 본 발명에 따른 가스 주입 장치에 있어서 각 노즐에서의 가스 압력과 가스 유량을 보여주는 그래프이다. 도 4의 그래프에서, 가스 유입구에는 0의 번호를 붙였고, 제1 레벨의 가스채널의 양단부에는 a1과 a2, 제2 레벨의 가스채널들 각각의 양단부에는 b1에서 b4까지, 제3 레벨의 가스채널들 각각의 양단부에는 c1에서 c8까지의 번호를 붙였으며, 각 노즐에는 가스 유입구로부터 인젝터의 내측면에 원주방향을 따라 배열된 순서대로 1에서 16까지의 번호를 붙였다.
그리고, 도 4의 그래프는, 도 2에 도시된 그래프와의 비교를 위해 이와 동일한 조건, 즉 반응챔버 내부 압력 10 mTorr 하에서 O2 가스를 100 SCCM의 유량으로 유입시켰을 때의 본 발명에 따른 가스 주입 장치에서의 가스 압력과 유량을 계산한 결과를 나타낸 것이다. 그리고, 각 가스채널은 1x4mm의 사각형 단면을 가지고, 노 즐은 0.5mm의 직경과 2mm의 길이를 가지며, 각 레벨의 가스채널들의 직경은 각각 282mm, 268mm, 255mm, 241mm로 설정되었다.
도 4의 그래프를 보면, 가스와 가스채널의 내측 벽면과의 마찰로 인한 압력 강하로 인해 가스 유입구로부터 가스 경로를 따라 멀어질수록 가스 압력은 낮아지게 되나, 가스 유입구로부터의 각 레벨의 가스채널의 양단부 각각까지의 가스 경로들의 길이가 모두 동일하므로 각 가스 경로에서의 압력 강하도 동일하게 이루어지게 된다. 따라서, 각 레벨의 가스채널의 양단부 각각에서의 가스 압력은 동일하고, 마찬가지로 다수의 노즐 각각에서의 가스 압력은 모두 동일하게 된다. 이에 따라, 다수의 노즐 각각을 통해 반응챔버 내부로 주입되는 가스 유량도 모두 동일함을 알 수 있다. 도시된 바와 같이, 가스 유입구를 통해 유입되는 가스의 유량이 100 SCCM인 경우에는, 16개의 노즐 각각을 통해 반응챔버 내부로 주입되는 가스 유량은 100/16, 즉 6.25 SCCM이 된다.
그리고, 위에서 가스 유입구를 통해 유입되는 가스 유량은 100 SCCM으로, 반응챔버 내부 압력은 10 mTorr로 가정하였다. 이는 HDP-CVD 시스템에 있어서 전형적인 것이다. 그러나, 가스 유량이나 반응챔버 내부 압력이 달라지더라도 본 발명에 따른 가스 주입 장치를 통해 반응챔버 내부로 주입되는 반응가스의 분배는 균일하게 유지될 수 있다. 또한, 가스 주입 장치의 각 레벨의 가스채널의 직경이 달라지더라도, 상기한 바와 같은 가스채널들의 배열 구조에 의해 반응가스의 균일한 분배는 유지된다. 따라서, 본 발명에 따른 가스 주입 장치는 반응챔버의 크기와 가스 압력 및 유량에 의해 제한을 받지 않고 반응가스의 균일한 분배를 이룰 수 있어서, 대형의 반응챔버를 가진 반도체 처리 시스템에도 용이하게 채용될 수 있다.
그리고, 다수의 노즐 각각까지 이르는 가스 경로들 사이에 균일한 압력 분포가 이루어져 각각의 가스 경로 내에서 가스 성분들이 균일하게 혼합될 수 있으므로, 하나의 가스 주입 장치를 통해 두 가지 또는 그 이상의 가스 혼합물을 반응챔버 내에 균일하게 분배하여 주입할 수 있다.
이하에서는, 도 5 내지 도 9를 참조하며 본 발명에 따른 가스 주입 장치의 바람직한 실시예들을 설명하기로 한다. 이하의 도면들에서 동일한 참조부호는 동일한 구성요소를 가리킨다.
도 5에는 본 발명의 제1 실시예에 따른 가스 주입 장치가 도시되어 있다. 도 5에 도시된 제1 실시예는 도 3a에 도시된 가스 주입 장치가 변형 없이 그대로 플라즈마 처리 시스템에 적용된 예이다.
플라즈마 처리 시스템은, 그 내부에 플라즈마 형성 공간이 마련된 반응챔버(180)를 구비한다. 반응챔버(180)의 내부는 진공상태로 유지되며, 이를 위해 반응챔버(180)의 바닥벽(181)에는 진공펌프(미도시)에 연결되는 진공흡입구(188)가 형성된다. 반응챔버(180)의 하부에는 기판, 예컨대 웨이퍼(W)를 지지하는 정전척(184)이 마련되며, 반응챔버(180)의 상부에는 반응챔버(180) 내부로 주입된 반응가스를 이온화하여 플라즈마를 생성시키는 플라즈마 소스(186)가 마련된다. 한편, 마그네트론 스퍼터링 시스템인 경우에는, 상기 플라즈마 소스(186)는 마그네트론 건으로 대체될 수 있다.
본 발명의 제1 실시예에 따른 가스 주입 장치는, 반응챔버(180)의 상부벽(182)을 관통하여 설치되는 가스 유입구(110)와, 상부벽(182)의 저면에 부착되는 평판 링 형상의 인젝터(140)를 구비한다. 상기 인젝터(140)에는 복수의 레벨로 배열된 가스채널들(121, 122, 123, 124)로 이루어진 매니폴드(120)와 다수의 노즐(130)이 마련된다. 상기 가스채널들(121, 122, 123, 124)은 인젝터(140)의 바깥 부위에서 안쪽부위로 가면서 상위 레벨에서 하위 레벨로 배열된다. 상기 가스 주입 장치의 상세한 구성과 그 작용 및 효과는 전술한 바와 같다.
그리고, 본 발명에 따른 가스 주입 장치의 인젝터(140)는, 도시된 바와 같이 반응챔버(180)의 상부벽(182) 저면에 형성된 홈(182a) 내부에 삽입되어 설치된다. 따라서, 반응챔버(180) 내부로 돌출된 부분이 없으므로 플라즈마 소스(186)로부터 웨이퍼(W)쪽으로 향하는 이온 플럭스를 방해하지 않는다.
도 6에는 본 발명의 제2 실시예에 따른 가스 주입 장치가 도시되어 있다. 도 6에 도시된 제2 실시예는 도 3a에 도시된 가스 주입 장치의 인젝터가 실린더 형상으로 변형되어 플라즈마 처리 시스템에 적용된 예이다.
본 발명의 제2 실시예에 따른 가스 주입 장치는, 반응챔버(180)의 측벽(183)을 관통하여 설치되는 가스 유입구(210)와, 측벽(183)의 내면에 부착되는 실린더 형상의 인젝터(240)를 구비한다. 상기 인젝터(240)에는 복수의 레벨로 배열된 가스채널들(221, 222, 223, 224)로 이루어진 매니폴드(220)와 다수의 노즐(230)이 마련된다. 상기 인젝터(240)는 도시된 바와 같이 반응챔버(180)의 측벽(183) 내면에 형성된 홈(183a) 내부에 삽입되어 설치될 수 있다.
상기 가스채널들(221, 222, 223, 224)은 인젝터(240)의 외주면에 소정 깊이 를 가진 그루브 형상으로 형성된다. 가스채널들(221, 222, 223, 224)은 실린더 형상의 인젝터(240)의 외주면에 아래쪽에서 위쪽으로 가면서 상위 레벨로부터 하위 레벨로 배열된다. 가스채널들(221, 222, 223, 224)의 구체적인 배열 구조는 도 3a에 도시된 배열 구조와 동일하다.
다수의 노즐(230)은 최하위 레벨의 가스채널들(224) 각각의 양단부로부터 반응챔버(180)의 내부쪽으로 인젝터(240)를 관통하여 형성된다. 그리고, 상기 다수의 노즐(230) 각각의 출구는 인젝터(240)의 내주면에 원주방향을 따라 등간격으로 배열된다.
도 7에는 본 발명의 제3 실시예에 따른 가스 주입 장치가 도시되어 있다. 도 7에 도시된 제3 실시예는 도 3a에 도시된 가스 주입 장치의 인젝터가 원뿔 형상으로 변형되어 플라즈마 처리 시스템에 적용된 예이다.
본 발명의 제3 실시예에 따른 가스 주입 장치는, 반응챔버(280)의 상부벽(282) 내면이 원뿔 형상으로 경사진 경우에 적용될 수 있도록 원뿔 형상의 인젝터(340)를 구비한다. 가스 유입구(310)는 반응챔버(280)의 상부벽(282)을 관통하여 설치된다. 상기 인젝터(340)에는 복수의 레벨로 배열된 가스채널들(321, 322, 323, 324)로 이루어진 매니폴드(320)와 다수의 노즐(330)이 마련된다. 상기 인젝터(340)는 반응챔버(280)의 상부벽(282)의 경사진 내면에 밀착되며, 지지부재(350)에 의해 지지된다. 지지부재(350)는 나사(352)에 의해 상부벽(282)에 고정 설치된다. 한편, 참조부호 286은 플라즈마 소스를 가리키고, 참조부호 288은 진공흡입구를 가리킨다.
상기 가스채널들(321, 322, 323, 324)은 인젝터(340)의 외측면에 소정 깊이를 가진 그루브 형상으로 형성된다. 가스채널들(321, 322, 323, 324)은 원뿔 형상의 인젝터(340)의 경사진 외측면에 아래쪽에서 위쪽으로 가면서 상위 레벨로부터 하위 레벨로 배열된다. 가스채널들(321, 322, 323, 324)의 구체적인 배열 구조는 도 3a에 도시된 구조와 동일하다.
다수의 노즐(330)은 최하위 레벨의 가스채널들(324) 각각의 양단부로부터 반응챔버(280)의 내부쪽으로 인젝터(340)를 관통하여 형성된다. 그리고, 상기 다수의 노즐(330) 각각의 출구는 인젝터(340)의 내측면에 원주방향을 따라 등간격으로 배열된다.
도 8에는 본 발명의 제4 실시예에 따른 가스 주입 장치가 도시되어 있다. 도 8에 도시된 가스 주입 장치는 도 7에 도시된 가스 주입 장치와 매니폴드의 형성 위치만 제외하고 나머지 구성은 모두 동일하다.
본 발명의 제4 실시예에 따른 가스 주입 장치는, 반응챔버(280)의 상부벽(282)을 관통하여 설치되는 가스 유입구(410)와, 반응챔버(280)의 상부벽(282)의 경사진 내면에 밀착 설치되는 원뿔 형상의 인젝터(440)를 구비한다. 상기 인젝터(440)는 나사(452)에 의해 상부벽(282)에 고정 설치된 지지부재(450)에 의해 지지된다. 그리고, 본 실시예에서 매니폴드(420)를 구성하는 복수 레벨의 가스채널들(421, 422, 423, 424)은 인젝터(440)의 외측면이 아니라 반응챔버(280)의 상부벽(282)의 경사진 내면에 소정 깊이를 가진 그루브 형상으로 형성된다. 가스채널들(421, 422, 423, 424)의 구체적인 배열 구조는 제3 실시예에서와 동일하다. 이 와 같은 인젝터(440)는 스퍼터링에 대한 저항성을 가진 세라믹과 같은 유전체 라이너(dielectric liner)로 이루어질 수 있다. 이와 같은 인젝터(440)는 최소한의 스퍼터링도 반도체 처리 공정에 심각한 악영향을 미치는 경우에 유용하다.
다수의 노즐(430)은 최하위 레벨의 가스채널들(424) 각각의 양단부에 대응하는 인젝터(440) 외측면의 소정 위치로부터 반응챔버(280)의 내부쪽으로 인젝터(440)를 관통하여 형성된다. 그리고, 상기 다수의 노즐(430) 각각의 출구는 인젝터(440)의 내측면에 원주방향을 따라 등간격으로 배열된다.
도 9에는 본 발명의 제5 실시예에 따른 가스 주입 장치가 도시되어 있다.
도 9를 참조하면, 본 발명의 제5 실시예에 따른 가스 주입 장치는, 도 7에 도시된 것과 동일한 가스 유입구(310)와 원뿔 형상의 인젝터(340)를 구비한다. 그리고, 원뿔 형상의 인젝터(340)에는 복수 레벨의 가스채널들(321, 322, 323, 324)로 구성된 매니폴드(320)와 다수의 노즐(330)이 형성된다. 이들에 대한 상세한 설명은 제3 실시예에서와 동일하므로 생략하기로 한다.
그리고, 본 발명의 제5 실시예에 따른 가스 주입 장치는 반응챔버(280)의 상부에 설치되어 반응챔버(280)의 중심부쪽으로 반응가스를 주입하는 샤워헤드 방식(showerhead type)의 인젝터(560)를 더 구비한다. 이와 같은 구성에 의하면, 반응챔버(280)의 반경방향의 가스 분배의 균일성이 보다 향상될 수 있다.
한편, 샤워헤드 방식의 인젝터(560)는 본 발명의 제5 실시예 뿐만 아니라 제1 내지 제4 실시예에도 추가될 수 있다.
본 발명은 개시된 실시예를 참고로 설명되었으나, 이는 예시적인 것에 불과 하며, 당해 분야에서 통상적 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다. 즉, 본 발명에 따른 가스 주입 장치는 반도체 처리 시스템의 종류, 반응챔버의 형상 및 크기 등에 따라 다양하게 변형되어 사용될 수 있다. 따라서, 본 발명의 진정한 기술적 보호 범위는 첨부된 특허청구범위에 의해서 정해져야 할 것이다.
이상에서 설명된 바와 같이 본 발명에 따른 반도체 처리 시스템의 가스 주입 장치에 의하면 다음과 같은 효과가 있다.
첫째, 가스 유입구로부터 매니폴드를 거쳐 다수의 노즐 각각까지 이어지는 가스 경로들의 길이가 모두 동일하여 각 노즐을 통해 반응챔버로 주입되는 가스의 압력과 유량이 균일하게 되므로, 반도체 기판의 처리 공정의 균일도가 향상된다.
둘째, 반응챔버의 크기와 가스 압력 및 유량에 의해 제한을 받지 않고 반응가스의 균일한 분배를 이룰 수 있어서, 대형의 반응챔버를 가진 반도체 처리 시스템에 채용될 수 있다.
셋째, 본 발명에 따른 가스 주입 장치는 반응챔버의 벽 내면에 밀착되거나 몰입되도록 설치되어 반응챔버 내부쪽으로 돌출된 부분이 없으므로, 이온 플럭스나 마이크로웨이브의 전파를 방해하지 않으며 PECVD, HDP-CVD 및 마그네트론 스퍼터링 시스템 등 다양한 종류의 반도체 처리 시스템에 채용될 수 있다.
넷째, 다수의 노즐 각각까지 이르는 가스 경로들 사이에 균일한 압력 분포가 이루어져 각각의 가스 경로 내에서 가스 성분들이 균일하게 혼합될 수 있으므로, 하나의 가스 주입 장치를 통해 두 가지 또는 그 이상의 가스 혼합물을 반응챔버 내에 균일하게 분배하여 주입할 수 있다.

Claims (18)

  1. 반도체 처리 시스템의 반응챔버 내부로 반응가스를 주입하기 위한 가스 주입 장치에 있어서,
    상기 반응챔버의 벽의 내면에 접촉되도록 설치되며, 상기 반응챔버 내부로 반응가스를 분배하여 주입하는 다수의 노즐이 관통 형성된 인젝터;
    상기 반응챔버의 벽을 관통하여 설치되는 가스 유입구; 및
    상기 반응챔버의 벽과 상기 인젝터 사이에 마련되어 상기 가스 유입구를 통해 유입된 반응가스를 상기 다수의 노즐 각각까지 공급하는 매니폴드;를 구비하며,
    상기 매니폴드는 상기 가스 유입구로부터 상기 다수의 노즐 각각까지 이어지는 가스 경로들의 길이가 모두 동일하도록 복수의 레벨로 배열된 가스채널들로 구성되어, 상기 다수의 노즐 각각을 통해 상기 반응챔버 내부로 주입되는 반응가스의 유량이 균일하도록 된 것을 특징으로 하는 반도체 처리 시스템의 가스 주입 장치.
  2. 제 1항에 있어서,
    상기 복수 레벨의 가스채널들 중 하위 레벨의 가스채널들은 차상위 레벨의 가스채널의 양단부로부터 양쪽으로 동일한 길이를 가진 두 개의 가지로 갈라져 형성되며, 최상위 레벨의 가스채널은 상기 가스 유입구와 연결되는 부위로부터 양쪽 으로 동일한 길이를 가진 두개의 가지로 갈라져 형성되고, 최하위 레벨의 가스채널들의 양단부 각각에 상기 노즐이 연결되는 것을 특징으로 하는 반도체 처리 시스템의 가스 주입 장치.
  3. 제 2항에 있어서,
    상기 가스채널들은 4 개의 레벨로 배열되는 것을 특징으로 하는 반도체 처리 시스템의 가스 주입 장치.
  4. 제 1항에 있어서,
    상기 반응챔버의 벽의 내면에는 홈이 형성되고, 상기 인젝터는 상기 홈 내부에 삽입되는 것을 특징으로 하는 반도체 처리 시스템의 가스 주입 장치.
  5. 제 1항에 있어서,
    상기 가스채널들은 상기 인젝터의 상기 반응챔버의 벽의 내면과 접촉되는 면에 소정 깊이를 가진 그루브 형상으로 형성되는 것을 특징으로 하는 반도체 처리 시스템의 가스 주입 장치.
  6. 제 1항에 있어서,
    상기 가스채널들은 상기 반응챔버의 벽의 내면에 소정 깊이를 가진 그루브 형상으로 형성되는 것을 특징으로 하는 반도체 처리 시스템의 가스 주입 장치.
  7. 제 6항에 있어서,
    상기 인젝터는 유전체 라이너로 이루어진 것을 특징으로 하는 반도체 처리 시스템의 가스 주입 장치.
  8. 제 7항에 있어서,
    상기 유전체 라이너는 세라믹으로 이루어진 것을 특징으로 하는 특징으로 하는 반도체 처리 시스템의 가스 주입 장치.
  9. 제 1항에 있어서,
    상기 노즐들의 출구들은 상기 인젝터의 상기 반응챔버의 내부를 향한 면에 원주방향을 따라 등간격으로 배열되는 것을 특징으로 하는 반도체 처리 시스템의 가스 주입 장치.
  10. 제 1항에 있어서,
    상기 인젝터는 평판 링 형상을 가지며, 상기 반응챔버의 상부벽의 저면에 접촉되도록 설치되는 것을 특징으로 하는 반도체 처리 시스템의 가스 주입 장치.
  11. 제 1항에 있어서,
    상기 가스채널들은 상기 인젝터의 바깥쪽부위에서 안쪽부위로 가면서 상위 레벨에서 하위 레벨로 배열되는 것을 특징으로 하는 반도체 처리 시스템의 가스 주입 장치.
  12. 제 1항에 있어서,
    상기 인젝터는 실린더 형상을 가지며, 상기 반응챔버의 측벽의 내면에 접촉되도록 설치되는 것을 특징으로 하는 반도체 처리 시스템의 가스 주입 장치.
  13. 제 1항에 있어서,
    상기 인젝터는 원뿔 형상을 가지며, 상기 반응챔버의 상부벽의 경사진 내면에 접촉되도록 설치되는 것을 특징으로 하는 반도체 처리 시스템의 가스 주입 장치.
  14. 제 12항 또는 제 13항에 있어서,
    상기 가스채널들은 상기 인젝터의 아래쪽부위에서 위쪽부위로 가면서 상위 레벨에서 하위 레벨로 배열되는 것을 특징으로 하는 반도체 처리 시스템의 가스 주입 장치.
  15. 제 13항에 있어서,
    상기 인젝터는 상기 반응챔버의 벽에 고정 설치되는 지지부재에 의해 지지되는 것을 특징으로 하는 반도체 처리 시스템의 가스 주입 장치.
  16. 제 1항에 있어서,
    상기 반응챔버의 상부에 설치되어 상기 반응챔버의 중심부쪽으로 상기 반응가스를 주입하는 샤워헤드 방식 인젝터를 더 구비하는 것을 특징으로 하는 반도체 처리 시스템의 가스 주입 장치.
  17. 제 1항에 있어서,
    상기 매니폴드를 통과하면서 적어도 두가지의 반응가스가 혼합되고, 혼합된 반응가스가 상기 노즐들을 통해 상기 반응챔버 내부로 주입되는 것을 특징으로 하는 반도체 처리 시스템의 가스 주입 장치.
  18. 제 1항에 있어서,
    상기 가스 주입 장치는 플라즈마 처리 시스템 또는 마그네트론 스퍼터링 시스템에 채용되는 것을 특징으로 하는 반도체 처리 시스템의 가스 주입 장치.
KR1020020071047A 2002-11-15 2002-11-15 반도체 처리 시스템의 가스 주입 장치 KR100862658B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020020071047A KR100862658B1 (ko) 2002-11-15 2002-11-15 반도체 처리 시스템의 가스 주입 장치
CNB031390919A CN100336165C (zh) 2002-11-15 2003-09-30 用于半导体处理系统的注气装置
US10/713,258 US7252716B2 (en) 2002-11-15 2003-11-17 Gas injection apparatus for semiconductor processing system
JP2003386766A JP2004172622A (ja) 2002-11-15 2003-11-17 半導体処理システムのガス注入装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020020071047A KR100862658B1 (ko) 2002-11-15 2002-11-15 반도체 처리 시스템의 가스 주입 장치

Publications (2)

Publication Number Publication Date
KR20040043049A KR20040043049A (ko) 2004-05-22
KR100862658B1 true KR100862658B1 (ko) 2008-10-10

Family

ID=32322234

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020020071047A KR100862658B1 (ko) 2002-11-15 2002-11-15 반도체 처리 시스템의 가스 주입 장치

Country Status (4)

Country Link
US (1) US7252716B2 (ko)
JP (1) JP2004172622A (ko)
KR (1) KR100862658B1 (ko)
CN (1) CN100336165C (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190136660A (ko) * 2018-05-31 2019-12-10 세메스 주식회사 기판 처리 방법 및 장치
KR20200037896A (ko) * 2018-10-01 2020-04-10 세메스 주식회사 기판 처리 장치
WO2020117400A1 (en) * 2018-12-07 2020-06-11 Applied Materials, Inc. A component, method of manufacturing a component, and method of cleaning a component

Families Citing this family (199)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4553471B2 (ja) * 2000-09-19 2010-09-29 東京エレクトロン株式会社 処理装置及び処理システム
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
KR100455430B1 (ko) * 2002-03-29 2004-11-06 주식회사 엘지이아이 열교환기 표면처리장비의 냉각장치 및 그 제조방법
JP3861036B2 (ja) * 2002-08-09 2006-12-20 三菱重工業株式会社 プラズマcvd装置
KR101070353B1 (ko) * 2003-06-25 2011-10-05 주성엔지니어링(주) 반도체 소자 제조장치의 가스 인젝터
KR100614648B1 (ko) * 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
KR20060059305A (ko) * 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
KR101463581B1 (ko) * 2005-01-18 2014-11-20 에이에스엠 아메리카, 인코포레이티드 박막 성장용 반응 시스템
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
JP5179476B2 (ja) * 2007-04-17 2013-04-10 株式会社アルバック 成膜装置
KR101177983B1 (ko) * 2007-10-11 2012-08-29 발렌스 프로세스 이큅먼트, 인코포레이티드 화학 기상 증착 반응기
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
JP4731580B2 (ja) * 2008-03-27 2011-07-27 東京エレクトロン株式会社 成膜方法および成膜装置
JP2009302324A (ja) * 2008-06-13 2009-12-24 Tokyo Electron Ltd ガスリング、半導体基板処理装置および半導体基板処理方法
US20100101491A1 (en) * 2008-10-29 2010-04-29 Asm Japan K.K. Wafer lift pins suspended and supported at underside of susceptor
CN101738088B (zh) * 2008-11-20 2011-09-07 中芯国际集成电路制造(上海)有限公司 炉管隔热装置及利用该装置的炉管预防维护保养方法
EP2356672B1 (en) * 2008-12-04 2018-09-12 Veeco Instruments Inc. Chemical vapor deposition flow inlet elements
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
KR200478069Y1 (ko) * 2009-09-10 2015-08-24 램 리써치 코포레이션 플라즈마 처리 장치의 교체가능한 상부 체임버 부품
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
CN102206813A (zh) * 2010-08-20 2011-10-05 浙江正泰太阳能科技有限公司 Pecvd系统中的气体混合装置、方法和系统
KR101165326B1 (ko) * 2010-10-06 2012-07-18 주식회사 유진테크 대칭형 유입구 및 유출구를 통해 반응가스를 공급하는 기판 처리 장치
KR20120035559A (ko) * 2010-10-06 2012-04-16 주식회사 유진테크 반원 형상의 안테나를 구비하는 기판 처리 장치
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US9499905B2 (en) * 2011-07-22 2016-11-22 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
HUP1100436A2 (en) * 2011-08-15 2013-02-28 Ecosolifer Ag Gas flow system for using in reaction chamber
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
CN103510071A (zh) * 2012-06-21 2014-01-15 Tel太阳能公司 用于奇数个处理模块的均等气体分配的系统、方法及装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP2014057047A (ja) * 2012-08-10 2014-03-27 Tokyo Electron Ltd 基板処理装置及びガス供給装置
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
WO2014083400A1 (en) * 2012-11-27 2014-06-05 Soitec Deposition systems having interchangeable gas injectors and related methods
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10008368B2 (en) * 2013-03-12 2018-06-26 Applied Materials, Inc. Multi-zone gas injection assembly with azimuthal and radial distribution control
JP5602903B2 (ja) * 2013-03-14 2014-10-08 アプライド マテリアルズ インコーポレイテッド エピタキシャル成長による成膜方法、および、エピタキシャル成長装置
US9957601B2 (en) * 2013-03-15 2018-05-01 Applied Materials, Inc. Apparatus for gas injection in a physical vapor deposition chamber
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP6359627B2 (ja) 2013-03-15 2018-07-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高対称四重ガス注入によるプラズマリアクタ
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) * 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150345019A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. Method and apparatus for improving gas flow in a substrate processing chamber
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US10269599B2 (en) * 2014-06-20 2019-04-23 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor manufacturing apparatus
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
JP6258184B2 (ja) * 2014-11-13 2018-01-10 東京エレクトロン株式会社 基板処理装置
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160362813A1 (en) * 2015-06-12 2016-12-15 Applied Materials, Inc. Injector for semiconductor epitaxy growth
WO2016204974A1 (en) * 2015-06-17 2016-12-22 Applied Materials, Inc. Gas control in process chamber
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN108292588B (zh) * 2015-12-04 2022-02-18 应用材料公司 用以防止hdp-cvd腔室电弧放电的先进涂层方法及材料
CN106876299B (zh) * 2015-12-11 2019-08-23 北京北方华创微电子装备有限公司 半导体加工设备
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6696322B2 (ja) * 2016-06-24 2020-05-20 東京エレクトロン株式会社 ガス処理装置、ガス処理方法及び記憶媒体
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
KR102301873B1 (ko) * 2016-09-05 2021-09-14 신에쯔 한도타이 가부시키가이샤 기상 성장 장치, 에피택셜 웨이퍼의 제조 방법 및 기상 성장 장치용의 어태치먼트
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
JP6796450B2 (ja) * 2016-10-25 2020-12-09 東京エレクトロン株式会社 プラズマ処理装置
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
WO2018106627A1 (en) * 2016-12-08 2018-06-14 Applied Materials, Inc. Temporal atomic layer deposition processing chamber
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10689757B2 (en) 2017-01-03 2020-06-23 Applied Materials, Inc. Gas injection apparatus with heating channels
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
CN207031544U (zh) * 2017-05-03 2018-02-23 深圳市捷佳伟创新能源装备股份有限公司 一种pecvd设备炉口进气结构
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
JP6680271B2 (ja) * 2017-06-23 2020-04-15 日新イオン機器株式会社 プラズマ源
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN108149223B (zh) * 2017-12-27 2020-08-07 长沙新材料产业研究院有限公司 一种mpcvd腔体结构及mpcvd设备
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR20210027268A (ko) 2018-07-31 2021-03-10 어플라이드 머티어리얼스, 인코포레이티드 Cvd 챔버를 위한 가스 박스
CN214848503U (zh) * 2018-08-29 2021-11-23 应用材料公司 注入器设备、基板处理设备及在机器可读介质中实现的结构
JP7119779B2 (ja) * 2018-08-30 2022-08-17 住友金属鉱山株式会社 成膜装置と成膜方法
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11881384B2 (en) * 2019-09-27 2024-01-23 Applied Materials, Inc. Monolithic modular microwave source with integrated process gas distribution
CN110904438A (zh) * 2019-12-04 2020-03-24 沈阳拓荆科技有限公司 用于多种化学源之气体分配装置
TW202230438A (zh) * 2020-10-05 2022-08-01 日商東京威力科創股份有限公司 氣體供給環及基板處理裝置
KR102467433B1 (ko) * 2020-10-08 2022-11-16 에스케이실트론 주식회사 에피택셜 성장 장치
US11674227B2 (en) * 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US20220307129A1 (en) * 2021-03-23 2022-09-29 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers
CN114959647A (zh) * 2022-04-07 2022-08-30 江苏微导纳米科技股份有限公司 薄膜沉积装置及其进气机构

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61263118A (ja) * 1985-05-15 1986-11-21 Sharp Corp プラズマcvd装置
KR20000006129A (ko) * 1998-06-12 2000-01-25 조지 트리식, 롤프 베이크 막히터및/또는센서를이용하는성형시스템
KR20000063388A (ko) * 2000-07-05 2000-11-06 우상엽 에이치엠디에스 공급 장치

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4929322A (en) * 1985-09-30 1990-05-29 Union Carbide Corporation Apparatus and process for arc vapor depositing a coating in an evacuated chamber
JPH05104053A (ja) * 1991-10-11 1993-04-27 Konica Corp 塗布装置
WO1994021372A1 (en) * 1993-03-19 1994-09-29 E.I. Du Pont De Nemours And Company Integrated chemical processing apparatus and processes for the preparation thereof
CH687258A5 (de) * 1993-04-22 1996-10-31 Balzers Hochvakuum Gaseinlassanordnung.
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
JP3501930B2 (ja) * 1997-12-01 2004-03-02 株式会社ルネサステクノロジ プラズマ処理方法
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
DE19961257C2 (de) * 1999-12-18 2002-12-19 Inst Mikrotechnik Mainz Gmbh Mikrovermischer
KR100360401B1 (ko) * 2000-03-17 2002-11-13 삼성전자 주식회사 슬릿형 공정가스 인입부와 다공구조의 폐가스 배출부를포함하는 공정튜브 및 반도체 소자 제조장치
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
DE10100670A1 (de) * 2001-01-09 2002-08-14 Univ Braunschweig Tech Zuführvorrichtung für eine CVD-Anlage
US6886491B2 (en) * 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61263118A (ja) * 1985-05-15 1986-11-21 Sharp Corp プラズマcvd装置
KR20000006129A (ko) * 1998-06-12 2000-01-25 조지 트리식, 롤프 베이크 막히터및/또는센서를이용하는성형시스템
KR20000063388A (ko) * 2000-07-05 2000-11-06 우상엽 에이치엠디에스 공급 장치

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190136660A (ko) * 2018-05-31 2019-12-10 세메스 주식회사 기판 처리 방법 및 장치
KR102081705B1 (ko) 2018-05-31 2020-02-27 세메스 주식회사 기판 처리 방법 및 장치
KR20200037896A (ko) * 2018-10-01 2020-04-10 세메스 주식회사 기판 처리 장치
KR102151810B1 (ko) * 2018-10-01 2020-09-04 세메스 주식회사 기판 처리 장치
WO2020117400A1 (en) * 2018-12-07 2020-06-11 Applied Materials, Inc. A component, method of manufacturing a component, and method of cleaning a component
US11694879B2 (en) 2018-12-07 2023-07-04 Applied Materials, Inc. Component, method of manufacturing the component, and method of cleaning the component

Also Published As

Publication number Publication date
CN100336165C (zh) 2007-09-05
US7252716B2 (en) 2007-08-07
CN1501435A (zh) 2004-06-02
KR20040043049A (ko) 2004-05-22
US20040099378A1 (en) 2004-05-27
JP2004172622A (ja) 2004-06-17

Similar Documents

Publication Publication Date Title
KR100862658B1 (ko) 반도체 처리 시스템의 가스 주입 장치
US10354843B2 (en) Chemical control features in wafer process equipment
JP4430003B2 (ja) 高密度プラズマ化学気相蒸着装置
US7622005B2 (en) Uniformity control for low flow process and chamber to chamber matching
KR100728651B1 (ko) 플라즈마 강화 cvd 프로세스들에 대한 플라즈마 에지 효과의 감소
KR100726381B1 (ko) 반도체 처리용 가스 분배 장치
US6015591A (en) Deposition method
KR20060044039A (ko) 반도체 제조장치
US20060011298A1 (en) Showerhead with branched gas receiving channel and apparatus including the same for use in manufacturing semiconductor substrates
US20120135609A1 (en) Apparatus and Process for Atomic Layer Deposition
KR101172334B1 (ko) 샤워 플레이트, 플라즈마 처리 장치, 및 제품의 제조방법
KR20060059305A (ko) 반도체 공정 장비
JP2005033167A (ja) シャワープレート、プラズマ処理装置、及び、製品の製造方法
KR100943431B1 (ko) 플라즈마 처리장치
KR20060107683A (ko) 화학 기상 증착 장치
KR20080035284A (ko) 가스 분사 장치 및 이를 포함하는 기판 처리 장치
KR100484945B1 (ko) 멀티 홀 앵글드 가스분사 시스템을 갖는 반도체소자 제조장치
KR100433285B1 (ko) 멀티 홀 앵글드 가스분사 시스템을 갖는 반도체소자제조장치
US20180258531A1 (en) Diffuser design for flowable cvd
KR20070002218A (ko) 화학기상증착장치
KR20150069661A (ko) 기판처리장치
KR100444753B1 (ko) 반도체 소자 제조에 사용되는 증착 장치
KR20010076521A (ko) 화학 기상 증착 장치
KR100450286B1 (ko) 플라즈마를 이용한 화학기상증착 장치
KR20050050209A (ko) 균일한 박막 형성을 위한 고밀도 플라즈마 화학기상증착장비

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120914

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130924

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140922

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee