KR100614648B1 - 반도체 소자 제조에 사용되는 기판 처리 장치 - Google Patents

반도체 소자 제조에 사용되는 기판 처리 장치 Download PDF

Info

Publication number
KR100614648B1
KR100614648B1 KR1020040055131A KR20040055131A KR100614648B1 KR 100614648 B1 KR100614648 B1 KR 100614648B1 KR 1020040055131 A KR1020040055131 A KR 1020040055131A KR 20040055131 A KR20040055131 A KR 20040055131A KR 100614648 B1 KR100614648 B1 KR 100614648B1
Authority
KR
South Korea
Prior art keywords
line
gas
plate
lines
space
Prior art date
Application number
KR1020040055131A
Other languages
English (en)
Other versions
KR20060006219A (ko
Inventor
임지은
배병재
최영배
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020040055131A priority Critical patent/KR100614648B1/ko
Priority to US11/177,890 priority patent/US20060011298A1/en
Publication of KR20060006219A publication Critical patent/KR20060006219A/ko
Application granted granted Critical
Publication of KR100614648B1 publication Critical patent/KR100614648B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Abstract

본 발명은 반도체 기판 제조에 사용되는 기판 처리 장치에 관한 것이다. 장치는 챔버 내에 놓여진 웨이퍼 상으로 가스를 분사하는 샤워헤드를 가진다. 샤워 헤드는 서로 적층되어 배치된 복수의 분사판들을 가지며, 각각의 분사판의 상부면에는 가스가 유입되는 공간이 제공된다. 각각의 분사판에는 그 상부면에 형성된 공간으로 가스가 공급되는 통로인 가스유입로가 형성되며, 가스유입로는 유입라인과 이로부터 서로 대칭이 되도록 분기되는 2개의 제 1분기라인들, 그리고 각각의 제 1분기라인으로부터 서로 대칭이 되도록 2개씩 분기되며, 공간의 바닥면에 형성된 분출구와 연결되는 제 2분기라인들을 가진다.
샤워헤드, 증착, MOCVD

Description

반도체 소자 제조에 사용되는 기판 처리 장치{APPARATUS FOR TREATING SUBSTRATES USED IN MANUFACTURING SEMICONDUCTOR DEVICES}
도 1은 본 발명의 바람직한 일 실시예에 따른 증착 장치의 일 예를 보여주는 단면도;
도 2는 제 1분사판과 제 1측벽이 분리된 상태를 보여주는 사시도;
도 3은 샤워헤드에서 제 1분사판의 내부를 보여주는 사시도;
도 4는 제 2분사판과 제 2측벽이 분리된 상태를 보여주는 사시도;
도 5는 도 2의 제 1분사판의 평면도;
도 6은 도 4의 제 2분사판의 평면도;
도 7은 소스가스의 흐름 방향이 도시된 도 1의 증착 장치의 단면도;
도 8은 샤워헤드에서 제 1분사판의 내부의 다른 예를 보여주는 사시도;
도 9는 다른 실시예에 의한 샤워헤드가 결합된 증착 장치의 단면도;
도 10은 도 9의 제 1분사판의 사시도;
도 11은 도 9의 제 2분사판의 사시도; 그리고
도 12는 도 9의 증착 장치의 변형된 예를 보여주는 단면도이다.
* 도면의 주요 부분에 대한 부호의 설명 *
100 : 챔버 120 : 지지대
140 : 라이너 200 : 샤워헤드
202 : 제 1공간 204 : 제 2공간
240 : 제 1분사판 260 : 제 2분사판
300 : 가스유입로 320 : 유입라인
340 : 연결라인 342 : 제 1분기라인
344 : 제 2분기라인 360 : 유출라인
본 발명은 집적 회로 제조에 사용되는 장치에 관한 것으로, 더 상세하게는 기판 상에 박막을 증착하는 공정을 수행하는 기판 처리 장치에 관한 것이다.
일반적으로 반도체 소자를 제조하기 위해서는 증착, 사진, 식각, 이온주입 등과 같은 다수의 공정들이 요구된다. 이러한 공정들 중에서 증착공정을 수행하기 위해 주로 사용되는 화학 기상 증착법(chemical vapor deposition method)은 반응챔버 내의 압력 및 온도를 일정한 조건으로 유지시킨 상태에서 특정의 소스가스를 챔버 내로 투입함으로써 웨이퍼 표면에 소정의 박막을 증착시키는 것을 말한다.
일반적인 화학 기상 증착 장치는 고진공으로 유지되는 챔버를 가지며, 챔버 내에는 웨이퍼가 놓여지는 지지대와 웨이퍼 상으로 소스가스를 공급하는 샤워 헤드를 가진다. 일반적으로 샤워 헤드는 분사판에 의해 제공되는 하나의 공간을 가지며, 상부벽에는 외부로부터 공간 내로 가스가 유입되는 통로인 유입로가 형성되고, 분사판에는 공간 내에 유입된 가스를 웨이퍼 상으로 분사하기 위해 복수의 홀들이 형성된다.
그리고 일반적인 샤워헤드에서 공간 내로 가스가 유입되는 유입로는 상부벽의 중앙에 형성되어 있어, 공간 내에서 가스가 불균일하게 분포된다. 따라서 웨이퍼 상의 중앙부는 가장자리부에 비해 상대적으로 박막이 두껍게 증착된다. 상술한 문제는 웨이퍼가 대구경화됨에 따라 더욱 커진다.
또한, 웨이퍼 상에 PZT박막 등을 증착시, 사용되는 소스가스는 원자량이 큰 유기금속 소스가스를 포함한다. 이들 가스들은 그 무게로 인해 샤워헤드 내 공간에서 충분한 시간동안 머무르지 못하고 곧바로 웨이퍼를 향해 분사된다. 따라서 샤워헤드 내 공간에서 소스가스가 균일하게 분포되지 않아 증착 균일도는 더욱 낮아진다. 또한, 샤워 헤드의 둘레에는 그 내부로 유입되는 소스가스를 가열하는 히터블록이 설치되는 데, 소스가스가 샤워헤드 내에 머무르는 시간이 짧아 가스의 온도를 제어하기 어렵다.
또한, 일반적으로 샤워헤드는 스테인리스 스틸(stainless steel)을 재질로 하여 만들어져 샤워 헤드의 분사판과 인접한 부분에서 PZT 박막 형성을 위한 소스가스들이 스테인리스 스틸과 반응이 일어나며, 이로 인해 챔버 내에 파티클이 유발된다.
본 발명은 웨이퍼 상에 박막을 균일한 두께로 증착할 수 있는 기판 처리 장치를 제공하는 것을 목적으로 한다.
또한, 본 발명은 내부에서 가스의 온도 제어가 용이한 형상을 가지는 샤워 헤드를 포함하는 기판 처리 장치를 제공하는 것을 목적으로 한다.
상술한 목적을 달성하기 위하여 본 발명인 증착 장치는 증착공정이 수행되는 공간을 제공하는 챔버를 가진다. 상기 챔버의 내부에는 기판이 놓여지는 지지부와 제 1가스가 유입되는 공간을 제공하는 제 1분사판을 가지는 샤워헤드가 배치된다. 상기 제 1분사판은 상기 제 1가스가 상기 공간으로 흐르는 통로인 가스유입로의 적어도 일부를 제공하고, 상기 제 1분사판에는 상기 공간으로 유입된 상기 제 1가스가 아래로 분사되는 통로인 제 1홀들이 형성되며, 상기 공간의 바닥면에는 상기 가스유입로를 흐르는 상기 제 1가스가 분출되는 복수의 분출구들이 형성된다.
일 예에 의하면, 상기 가스유입로는 상기 제 1가스가 유입되는 유입라인, 상기 분출구를 가지는 유출라인들, 그리고 상기 유입라인으로부터 분기되어 상기 유출라인과 연결되는 연결라인들을 포함한다. 상기 가스유입로는 상기 연결라인을 2개 구비하며, 상기 연결라인들은 상기 유입라인을 기준으로 서로 대칭으로 형성된다. 상기 연결라인들 각각은 상기 유입라인으로부터 분기되는 제 1분기라인과 상기 제 1분기라인으로부터 양쪽으로 분기되는 2개의 제 2분기라인들을 가지며, 상기 제 2분기라인들은 상기 제 1분기라인을 기준으로 서로 대칭으로 형성된다.
상기 제 1분기라인은 원호로 형성된 곡선부와 이로부터 연장되어 상기 분사판의 반경방향으로 안쪽을 향해 일정거리 직선으로 연장된 직선부를 포함하며, 상기 제 1분기라인들의 직선부가 동일 직선 상에 배치되도록 상기 제 1분기라인의 곡선부는 중심각이 약 90도가 되는 원호로 형성되는 것이 바람직하다. 상기 제 1분기라인으로부터 분기되는 2개의 제 2분기라인들은 원호로 형성된 곡선부와 이로부터 연장되어 상기 분사판의 반경방향으로 안쪽을 향해 일정거리 직선으로 연장된 직선부를 포함하며, 상기 제 2분기라인의 곡선부는 중심각이 약 45도가 되는 원호로 형성되는 것이 바람직하다. 상기 제 1분기라인의 곡선부는 상기 공정챔버의 측벽과 상기 제 1분사판의 외벽 사이에 형성된 공극에 의해 이루어지질 수 있다. 상기 연결라인들은 상기 제 1가스가 수평방향으로 흐르도록 형성되고, 상기 유출라인은 상기 제 1가스가 수직방향으로 흐르도록 형성되는 것이 바람직하다.
또한, 상기 가스유입부는 외부의 가스 공급관과 연결되는 유입라인을 가지는 유입부, 상기 분출구와 연결되는 유출라인을 가지는 유출부, 그리고 상기 유입라인과 상기 유출라인들을 연결하는 연결라인들을 가지는 분기부를 가진다. 상기 연결라인들은 유입라인으로부터 상기 유출라인에 이르기까지 하나의 라인이 2개의 라인으로 분기되고, 분기된 라인이 다시 2개의 라인으로 분기되는 과정이 적어도 1회 이상 반복되어 형성된다. 상기 유출라인으로부터 분사되는 가스의 압력이 동일하도록 분기되는 라인들은 분기 전 라인을 기준으로 대칭을 이루도록 형성된다.
또한, 상기 샤워헤드에는 제 2가스가 유입되는 제 2공간을 제공하며 상기 제 1분사판의 상부 또는 하부에 배치되는 제 2분사판이 더 제공된다. 상기 제 2분사판에는 상기 제 2가스가 상기 제 2공간으로 흐르는 통로인 제 2가스유입로와 상기 제 2공간으로 유입된 상기 제 2가스가 아래로 분사되는 통로인 제 2홀들이 형성된다.
일 예에 의하면, 상기 제 1공간은 상기 제 1분사판의 상부면에 형성된 홈에 의해 이루어지고, 상기 제 2공간은 상기 제 2분사판의 상부면에 형성된 홈에 의해 이루어진다. 상기 제 2분사판은 상면으로부터 돌출되어 상기 제 1분사판에 형성된 홀에 삽입되며 내부에 제 3홀을 가지는 돌기들을 가진다.
다른 예에 의하면, 상기 샤워헤드는 상기 제 1분사판의 둘레를 감싸도록 배치되며 상기 제 1분사판보다 상부로 돌출된 제 1측벽과 상기 제 2분사판의 둘레를 감싸도록 배치되며 상기 제 2분사판보다 상부로 돌출된 제 2측벽을 더 포함한다. 상기 제 1홀들과 상기 제 2분사판에 형성된 제 3홀들은 삽입관에 의해 연결된다.
일 예에 의하면, 상기 장치는 증착 장치이다. 상기 제 1가스는 유기금속 소스 가스일 수 있다. 예컨대, 상기 제 1가스는 납(Pb), 지르코늄(Zr), 그리고 티타늄(Ti)을 포함하고, 상기 제 2가스는 산소를 포함할 수 있다. 상기 제 2분사판은 상기 제 1가스 및 제 2가스와 반응하지 않도록 알루미늄을 재질로 하는 것이 바람직하다. 상기 장치에는 상기 지지대로부터 일정거리 이격되어 상기 지지대를 감싸도록 배치되는 라이너와 상기 샤워헤드 내부로 유입되는 가스를 가열하는 히터가 더 제공될 수 있다.
이하, 본 발명의 실시예를 첨부된 도면 도 1 내지 도 12를 참조하여 보다 상세히 설명한다. 본 발명의 실시예는 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 상술하는 실시예로 인해 한정되어 지는 것으로 해석되어서는 안 된다. 본 실시예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 보다 완전하게 설명하기 위해 제공되어지는 것이다. 따라서 도면에서의 요소의 형상은 보 다 명확한 설명을 위해 과장되어진 것이다.
본 실시예에서 샤워헤드는 증착 공정을 수행하는 장치에 사용되는 것으로 설명한다. 그러나 이에 한정되지 않고, 식각 공정 등과 같이 샤워 헤드를 사용하여 공정을 수행하는 모든 장치에 사용될 수 있다. 또한, 본 실시예에서 화학 기상 증착 장치 중 유기금속 화학 기상 증착 장치를 예로 들어 설명한다. 그러나 본 발명의 기술적 사상은 이에 한정되지 않으며 모든 종류의 화학 기상 증착 장치에 사용될 수 있다.
도 1은 본 발명의 바람직한 일 실시예에 따른 유기 금속 화학 기상 증착(metal organic chemical vapor deposition, 이하 MOCVD) 장치의 단면도이다. 도 2를 참조하면, 장치는 외부로부터 구획된 내부공간을 가지는 챔버(100)를 포함한다. 챔버(100)의 측벽 또는 분사판에는 펌프(도시되지 않음)가 연결된 배기관(126)이 결합되어, 챔버(100) 내부를 공정에 적합한 압력으로 유지하고, 챔버(100) 내부에서 발생되는 반응부산물들을 배기한다.
챔버(100) 내 하부에는 웨이퍼와 같은 반도체 기판이 놓여지는 지지대(120)가 배치된다. 지지대(120)는 대체로 원판형의 형상을 가지며 지지축(122)에 의해 지지된다. 지지대(120) 내부에는 히터(124)가 설치되며, 히터(124)는 그 상부로 공급되는 소스가스들을 분해하고, 소스가스가 웨이퍼(W) 상에 원활하게 증착할 수 있도록 챔버(100) 내부에 열을 제공한다. 챔버(100)의 내벽과 지지대(120) 사이에는 지지대(120)를 감싸도록 링 형상으로 형성된 라이너(140)가 배치된다. 라이너(140)는 챔버(100)의 내벽이 소스가스와 반응되는 것을 방지하고, 챔버(100) 내벽에 반 응 부산물이 증착되는 것을 방지한다.
챔버(100) 내 상부에는 지지대(120)에 놓여진 웨이퍼 상으로 소스가스를 공급하는 샤워헤드(200)가 배치된다. 샤워헤드(200)는 지지대(120)와 대향되도록 배치되는 것이 바람직하다. 샤워헤드(200)의 외측 둘레에는 히터(160)가 설치되며, 히터(160)는 샤워헤드(200) 내로 유입된 소스가스를 가열하여 소스가스가 공정에 적합한 온도를 유지하도록 하며, 소스가스가 유기금속 전구체 가스인 경우 소스가스가 샤워헤드(200) 내에서 액화되거나 분해되지 않도록 한다.
챔버(100)의 외부에는 샤워헤드(200)로 소스가스들을 공급하는 소스가스 공급부가 배치된다. 소스가스 공급부는 샤워헤드(200)로 제 1소스가스를 공급하는 제 1가스 공급부(420)와 제 2소스가스를 공급하는 제 2가스 공급부(440)를 가진다. 일 예에 의하면, 제 1소스가스는 낮은 증기압을 가지며 상온에서 액체/고체 상태로 존재하는 물질로써 기화된 상태로 공급되는 유기금속 전구체 가스(metal organic precursors gas)를 포함하며, 제 2소스가스는 상온에서 기체 상태로 존재하는 가스로 제 1소스가스와 반응하는 가스이다. 예컨대, 웨이퍼 상에 PZT 막을 증착하는 경우, 제 1소스가스는 납(Pb), 지르코늄(Zr), 그리고 티타늄(Ti)을 포함하고, 제 2소스가스는 산소(O)를 포함한다. 제 1가스 공급부(420)는 기화기(424)가 설치되며 유기금속 전구체 가스를 샤워헤드(200)로 공급하는 가스공급관(422)을 포함하고, 가스공급관(422)의 소정 위치에는 기화된 유기금속 전구체 가스를 운반하는 캐리어 가스를 공급되는 관(426)과 퍼지가스를 공급하는 관(도시되지 않음)이 연결될 수 있다. 제 2가스 공급부(440)는 샤워헤드(200)로 산소(O)를 포함하는 가스를 공급하 는 가스공급관(442)을 가진다. 각각의 관에는 내부 통로를 개폐하는 개폐밸브(422a, 426a, 442a)나 유량을 조절하는 유량 조절 밸브(도시되지 않음)가 설치될 수 있다.
샤워헤드(200)는 대체로 원통 형상을 가지는 몸체를 가지며, 몸체의 내부에는 제 1소스가스가 유입되는 제 1공간(202)과 제 2소스가스가 유입되는 제 2공간(204)이 제공된다. 제 1공간(202)과 제 2공간(204)은 각각 상부벽(290, 240)), 분사판(240, 260), 그리고 측벽(250, 270)에 의해 둘러싸여져 제공되며, 서로 층으로 구획되도록 배치된다. 분사판(240)은 제 1공간(202)의 분사판으로서 기능함과 동시에 제 2공간(204)의 상부벽으로서 기능할 수 있다. 제 1공간(202)의 형성을 위해 제공되는 분사판을 제 1분사판(240)이라 하고, 제 2공간(204)의 형성을 위해 제공되는 분사판을 제 2분사판(260)이라 칭한다. 제 1공간(202)의 형성을 위한 상부벽은 도 1에서와 같이 별도로 제공될 수 있으나, 선택적으로 챔버(100)의 상부면이 제 1공간(202)의 형성을 위한 상부벽으로서 제공될 수 있다.
일반적으로 유기금속 소스가스는 증착에 사용되는 다른 가스들보다 매우 무겁다. 따라서 이들을 포함하는 제 1소스가스가 위에서 아래 방향으로 분사되는 경우 제 1공간(202) 내에서 넓은 영역으로 퍼지지 못하고, 곧바로 샤워헤드(200)로부터 분사된다. 이로 인해 웨이퍼(W)의 영역에 따라 불균일한 증착이 이루어질 뿐 아니라 샤워헤드(200) 내에서 제 1소스가스의 온도를 제어하기 어렵다. 본 실시예에 의하면 제 1소스가스는 제 1공간(202)의 아래에서 제 1가스유입로(도 3의 300)를 통해 제 1공간(202)으로 분출된다. 제 1소스가스는 분수처럼 퍼지면서 제 1공간(202)으로 유입되므로 넓은 영역으로 균일하게 공급될 수 있다. 일반적으로 제 2소스가스에 비해 제 1소스가스의 온도 제어가 어렵다. 따라서 제 1소스가스가 샤워헤드(200) 내에 비교적 장시간 머무를 수 있도록 제 1공간(202)은 제 2공간(204)보다 상부에 배치되는 것이 바람직하다.
제 1가스유입로(300)는 유입부, 분기부, 유출부들을 가진다. 유입부는 외부로부터 샤워헤드(200)내로 제 1소스가스를 도입하는 부분으로, 외부의 가스공급관(422)과 연결되는 유입라인을 가진다. 유출부는 샤워헤드(200) 내로 도입된 제 1소스가스를 제 1공간(202)으로 분출하는 부분으로 복수의 유출라인들을 가진다. 유출라인들은 제 1공간(202)으로 균일하게 가스가 유입될 수 있도록 균등한 간격으로 형성되는 것이 바람직하다. 분기부는 유입라인으로부터 분기되며, 유입라인과 유출라인을 연결하는 연결라인들을 가진다.
각각의 연결라인들은 복수의 분기라인들을 가진다. 예컨대, 각각의 연결라인들은 유입라인으로부터 분기된 하나의 제 1분기라인을 포함한다. 유출라인은 제 1분기라인과 연결될 수 있다. 그러나 이와 달리 각각의 연결라인은 제 1분기라인으로부터 분기된 복수의 제 2분기라인들을 더 포함하고, 유출라인은 제 2분기라인과 연결될 수 있다. 또한, 이와 달리 각각의 연결라인은 제 2분기라인으로부터 분기된 복수의 제 3분기라인들을 더 포함하고, 유출라인은 제 3분기라인과 연결될 수 있다. 즉, 각각의 연결라인은, 제 1분기라인, 제 2분기라인들, …, 제 (k-1)분기라인들, …, 제 n분기라인들을 포함할 수 있으며, 하나의 제 n분기라인은 하나의 유출라인과 연결된다. 연결라인들은 제 1소스가스가 수평으로 흐르도록 형상지어지고, 유출라인들은 제 1소스가스가 수직으로 흐르도록 형상지어지는 것이 바람직하다. 그러나 선택적으로 연결라인들 또는 유출라인들은 제 1소스가스가 경사져 흐르도록 형상지어질 수 있다.
제 1공간(202)내로 가스가 균일하게 도입될 수 있도록 제 1소스가스는 모두 동일한 압력으로 유출라인으로부터 분출되는 것이 바람직하다. 제 (k-1)분기라인으로부터 3개 이상의 제 k분기라인들이 분기되거나, 제 k분기라인들이 제 (k-1)분기라인을 기준으로 서로 대칭이 되지 않는 경우 제 k분기라인들 내부를 흐르는 가스의 압력이 상이해진다. 따라서 유입라인으로부터 분기되는 연결라인들의 수는 2개이고, 이들 연결라인들은 유입라인을 기준으로 서로 대칭이 되도록 형성되는 것이 바람직하다. 또한, 제 (k-1)분기라인으로부터 2개의 제 k분기라인들이 분기되며, 제 k분기라인들은 제 (k-1)분기라인을 기준으로 서로 대칭이 되도록 분기되고, 유출라인들은 분사판(240)의 중심을 기준으로 모두 대칭이 되도록 형성되는 것이 바람직하다.
유입라인은 하나 또는 복수개가 제공될 수 있다. 그러나 유입라인이 복수개 제공되는 경우 가스공급관을 복수개 설치하여야 하므로 설비가 복잡해지고 각각의 가스공급관(422)을 흐르는 제 1소스가스의 압력, 온도 등이 불균일해질 수 있다. 따라서 유입라인은 샤워헤드(200) 내에 하나만 설치되는 것이 바람직하다.
유출라인의 수가 너무 작으면 제 1공간 전체에 제 1소스가스를 균일하게 공급하기 어렵다. 또한, 유출라인의 수가 너무 많으면, 분사판 내에 분기라인들의 수가 많아져 가공이 어려우며, 분기가 복수회 이루어지면서 가스의 흐름이 원활하지 못할 수 있다. 따라서 분사판에 형성된 제 n분기라인에서 n의 값은 분사판의 면적(웨이퍼의 크기)에 의존되며, 300mm 웨이퍼에 대해 공정을 수행하는 경우 n은 2 또는 3인 것이 바람직하다.
도 2는 제 1분사판(240)과 제 1측벽(250)이 분리된 상태를 보여주는 도면이고, 도 3은 제 1분사판(240)의 내부에 형성된 제 1가스유입로(300)의 일부가 도시된 도면이다. 가스유입로(300)는 하나의 유입라인(320), 연결라인들(340), 그리고 4개의 유출라인들(360)을 가진다. 유입라인(320)은 수평으로 직선을 이루도록 형성되며, 2개의 연결라인들(340)로 나누어진다. 유입라인(320)은 챔버(100)의 측벽과 샤워헤드의 제 1측벽(250)에 홀로서 형성될 수 있다. 유출라인(360)은 제 1공간(202)의 바닥면에 형성된 분출구(362)와 연결된다.
2개의 연결라인들(340)은 상술한 바와 같이 유입라인(320)을 기준으로 대칭으로 형성된다. 각각의 연결라인(340)은 유입라인(320)으로부터 분기되는 제 1분기라인(342) 및 제 1분기라인(342)으로부터 분기된 2개의 제 2분기라인들(344)을 가진다. 제 1분기라인(342)은 원호로 형성된 곡선부(342a) 및 곡선부(342a)로부터 연장되어 분사판(240)의 반경방향으로 안쪽을 향해 일정거리 직선으로 연장된 직선부(342b)를 가진다. 유입라인(320)으로부터 분기된 두 개의 제 1분기라인들(342)의 직선부(342b)가 동일 직선 상에 배치되도록 제 1분기라인(342)의 곡선부(342a)는 중심각이 약 90도가 되는 원호로 형성되는 것이 바람직하다. 각각의 제 1분기라인(342)으로부터 양쪽으로 서로 대칭이 되도록 2개의 제 2분기라인들(344)이 분기된다. 제 2분기라인들(344)은 각각 원호로 형성된 곡선부(344a) 및 곡선부(344a)로부 터 연장되어 분사판(240)의 반경방향으로 안쪽을 향해 일정거리 직선으로 연장된 직선부(344b)를 가진다. 제 2분기라인(344)의 곡선부(344a)는 중심각이 약 45도가 되는 원호로 형성되는 것이 바람직하다. 유출라인들(360)은 각각의 제 2분기라인(344)의 끝단으로부터 제 1공간(202)과 통하도록 형성된다. 연결라인들(340)은 수평면 상에 형성되고, 유출라인(360)은 연결라인(340)과 수직으로 형성되는 것이 바람직하다.
제 1측벽(250)은 제 1분사판(240)의 둘레를 감싸도록 배치되며, 제 1분사판(240)의 상단보다 높은 위치까지 연장된다. 제 1측벽(250)은 제 1분사판(240)과 탈착 가능하며, 나사 등 일반적으로 사용되는 결합 수단에 의해 제 1분사판(240)과 결합된다. 일 예에 의하면 제 1분사판(240)과 제 1측벽(250)은 결합시 이들 사이에 공극(도 1의 341)이 형성되도록 형상지어지며, 공극(341)은 상술한 분기라인들 중 어느 하나의 분기라인으로 제공될 수 있다. 도 3에는 공극(341) 형성을 위한 분사판(240) 구조의 일 예가 도시되어 있다.
도 2를 참조하면, 제 1측벽(250)의 내측면은 복수의 단들(steps)을 가지도록 형성되고, 제 1분사판(240)의 측면은 제 1측벽(250)에 형성된 단들(steps)과 서로 맞물리도록 형상지어지는 복수의 단들을 가진다. 제 1분사판(240)의 단들 중 중앙에 위치되는 어느 하나의 단(245)은 제 1분사판(240)의 둘레 중 절반에만 형성된다. 따라서 제 1분사판(240)과 제 1측벽(250)이 결합될 때 이들 사이에 공극(도 3의 341)이 형성될 수 있다. 유입라인(320)은 제 1측벽(250) 내에 형성되고, 공극(341)은 제 1분기라인(342) 중 곡선부(342a)로 제공되며, 제 1분사판(240) 내에는 제 1분기라인 중 직선부(342b), 제 2분기라인(344), 그리고 유출라인(360)이 홀로서 형성되는 것이 바람직하다.
본 실시예에서 제 1분기라인(342)과 제 2분기라인(344)의 배치, 길이, 그리고 구조와 유출라인(360)의 배치 등은 유출라인(360)들로부터 제 1소스가스가 균일한 압력으로 배치되도록 하기 위한 일 예를 보여주는 것이다. 따라서 제 1분기라인(342)과 제 2분기라인(344)의 배치, 길이, 그리고 구조와 유출라인(360)의 배치 등은 상술한 예와 달리 다양하게 형상지어질 수 있다.
또한, 제 2소스가스는 샤워헤드(200) 아래로 균일하게 분사되는 것이 바람직하다. 이를 위해 제 2분사판(260)에는 제 2공간(204)으로 가스가 이동되는 통로인 가스유입로(300′)가 형성된다. 도 4는 제 2분사판(260)과 제 2측벽(270)이 분리된 상태를 보여주는 사시도이다. 도 4를 참조하면, 제 2분사판(260)에 형성된 가스유입로(300′)는 상기 제 1분사판(240)에 형성된 가스유입로(300)와 동일한 구조를 가지므로 상세한 설명은 생략한다. 제 2분사판(260)에 형성된 가스유입로(300′)는 가스공급관들(도 1의 422, 442)의 배치가 복잡해지지 않도록 제 1분사판(240)에 형성된 가스유입로(300)와 반대측에 배치되도록 형성된다. 예컨대, 가스유입로(300)가 제 1분사판(240) 내의 우측영역에 형성되었다면, 가스유입로(300′)는 제 2분사판(260) 내의 좌측 영역에 형성될 수 있다. 선택적으로, 제 2분사판(260)에 형성된 가스유입로(300′)는 제 1분사판(240)에 형성된 가스유입로(300)와 대향되는 위치에 배치될 수 있다.
도 5와 도 6은 각각 제 1분사판(240)과 제 2분사판(260)의 평면도이다. 도 5 와 도 6을 참조하면, 제 1분사판(240)에는 복수의 제 1홀들(244a)이 형성되고, 제 1분사판(240)에는 복수의 제 2홀들(264a)과 제 3홀들(264b)이 형성된다. 제 3홀들(264b)은 제 1홀들(244a)과 상하로 대향되도록 형성되며, 서로 대향되는 각각의 제 1홀(244a)과 제 3홀(264b)은 삽입관(도 1의 280)에 의해 연결된다. 제 1홀들(244a)은 제 1분사판(240) 전체 영역에 균등한 간격으로 배치되고, 제 2홀들(264a)은 제 3홀들(264b) 사이에서 형성되어 제 1분사판(240) 전체 영역에 균등한 간격으로 배치된다.
본 실시예에 의하면, 제 1분사판(250)과 제 2분사판(260)은 소스가스들과 반응이 잘 일어나지 않는 재질로 이루어지고, 제 1측벽은 변형이 잘 일어나지 않는 재질로 이루어진다. 예컨대, 제 1분사판(240)과 제 2분사판(260)은 알루미늄을 재질로 하여 제조되고, 제 1측벽과 제 2측벽은 스테인리스 스틸을 재질로 하여 제조될 수 있다. 특히, 샤워헤드(200)의 아래 영역에서는 납(Pb), 지르코늄(Zr), 그리고 티타늄(Ti)을 포함하는 가스와 산소를 포함하는 가스가 공존하므로, 이들과 반응하지 않도록 제 2분사판(260)의 내측판(264)은 알루미늄으로 제조되는 것이 바람직하다.
도 7은 도 1의 장치에서 소스가스들의 흐름 방향을 보여주는 도면이다. 도 7을 참조하면, 제 1소스가스는 제 1분사판(240)에 형성된 제 1가스유입로(300)를 통해 제 1공간(202)으로 분출되어 제 1공간(202) 내에서 고르게 퍼지며, 이후 삽입관들(280)을 통해 샤워헤드(200)로부터 아래로 분사된다. 제 2소스가스는 제 2분사판(260)에 형성된 제 2가스유입로(300′)를 통해 제 2공간(204)으로 분출되어 제 2공 간(204) 내에서 고르게 퍼지며, 이후 제 2홀들(264a)을 통해 샤워헤드(200)로부터 아래로 분사된다. 화학 기상 증착법에 의해 공정이 수행되는 경우 제 1소스가스와 제 2소스가스가 동시에 공급되어 공정이 진행되며, 원자층 증착법에 의해 공정이 수행되는 경우 제 1소스가스와 제 2소스가스가 순차적으로 공급되면서 공정이 진행된다.
본 실시예에서 샤워헤드(200) 내에는 2개의 공간(202, 204)이 형성되어 있는 것으로 설명하였다. 그러나 이는 증착에 사용되는 소스가스가 2종인 경우를 일반적인 예로 들어 설명한 것이다. 따라서 공정에 사용되는 소스가스가 3종 이상인 경우, 샤워헤드(200) 내에는 3개 이상의 공간이 형성될 수 있다. 또한, 원자층 증착법에 의해 공정이 수행되는 경우, 상술한 구조를 가지는 샤워헤드(200)가 사용되거나 선택적으로 샤워헤드(200) 내에는 1개의 공간이 형성되고, 제 1소스가스, 퍼지가스, 그리고 제 2소스가스가 순차적으로 공간으로 공급될 수 있다. 상술한 예에서는 제 1분기라인(342a)이 분사판의 제 1측벽(242)과 제 1분사판(240) 사이에 형성되는 것으로 설명하였다. 이와 달리 도 8에 도시된 바와 같이 제 1분기라인(342a)은 다른 분기라인들과 함께 제 1분사판(240)에 홀로써 형성될 수 있다.
도 9는 다른 실시예에 의한 샤워헤드(200)가 결합된 증착 장치의 단면도이며, 도 10은 제 1분사판(240)의 사시도이고, 도 11은 제 2분사판(260)의 사시도이다. 도 9의 장치에서 샤워헤드(200)의 구조를 제외한 다른 요소들은 도 1에 도시된 장치와 거의 동일하다. 또한, 제 1가스유입로(300)와 제 2가스유입로(300′)의 형상, 구조, 배치 등은 제 1실시예와 동일하므로 상세한 설명은 생략한다. 이하 도 1 에 도시된 장치와의 차이점을 중심으로 설명한다. 도 9 내지 도 11을 참조하면, 샤워헤드(200)는 제 1분사판(240)과 제 2분사판(260)을 가진다. 제 1분사판(240)과 제 2분사판(260)은 상하로 적층되도록 배치된다. 제 1분사판(240)의 상부면에는 제 1공간(202)을 제공하기 위한 홈이 형성되며, 제 2분사판(260)의 상부면에는 제 2공간(204)을 제공하기 위한 홈이 형성된다. 챔버(100)의 내측벽 중 샤워헤드(200)가 결합되는 부분은 단차지도록 형성된다. 샤워헤드(200)는 도 1의 제 1측벽(250) 및 제 2측벽(270)을 구비하지 않으며, 제 1분사판(240)과 제 2분사판(260)은 직접 챔버(100)에 결합될 수 있다.
제 1가스유입로(300)와 제 2가스유입로(300′)의 유입라인(320)은 챔버(100)의 측벽에 형성되며, 제 1분기라인(344)의 곡선부(344a)는 제 1분사판(240)과 챔버(100)의 측벽, 그리고 제 2분사판(260)과 챔버(100)의 측벽 사이에 형성된 공극(341, 341′)에 의해 이루어진다. 제 1분기라인(344)의 곡선부(344a)로 유입된 가스가 외부로 유출되는 것을 방지하기 위해 공극의 상하로 오링(170)이 설치된다.
본 실시예에 의하면, 제 1분사판(240)에는 제 1홀들(244a)이 형성되고, 제 2분사판(260)에는 제 2홀들(264a)과 제 3홀들(264b)이 형성된다. 제 2분사판(260)의 상부면에는 제 1홀(244a)에 삽입되는 돌기들(266)이 형성되며, 돌기(266) 내에는 상술한 제 3홀들(264b)이 형성된다. 제 1공간(202)으로 유입된 제 1소스가스는 제 3홀들(264b)을 통해서 아래로 분사되고, 제 2공간(204)으로 유입된 제 2소스가스는 제 2홀들(264a)을 통해서 아래로 분사된다.
본 실시예에서 제 2분사판(260)은 제 1소스가스 및 제 2소스가스에 의해 반응되지 않도록 알루미늄을 재질로 하여 이루어진다. 제 1분사판(240)은 알루미늄, 또는 스테인리스 스틸을 재질로 하여 이루어질 수 있다.
도 12는 도 9의 장치의 변형된 예를 보여준다. 제 1공간(202)과 제 2공간(204)으로 유입된 가스가 각각의 공간 내에서 고르게 분산될 수 있도록, 제 1공간(202)과 제 2공간(204)이 충분한 높이를 가지는 것이 바람직하다. 특히, 유기금속 전구체 가스인 제 1소스가스가 유입되는 제 1공간(202)은 충분한 높이를 가져야 한다. 이를 위해, 제 1분사판(240)과 함께 제 1공간(202)을 제공하는 챔버(100)의 상부면에는 홈이 형성될 수 있다.
본 발명에 의하면, 일반적인 장치에 비해 샤워헤드로부터 가스들이 웨이퍼 상으로 균일하게 분사되므로 웨이퍼 전체 영역에 균일한 박막의 증착이 이루어진다.
또한, 본 발명에 의하면, 유기금속 소스 가스가 샤워 헤드 내에 머무르는 시간이 길어 소스가스의 온도 제어가 용이하다.
또한, 본 발명에 의하면, 샤워헤드의 분사판들 중 가장 아래에 위치되는 분사판은 알루미늄으로 이루어져 소스가스들과 반응이 일어나는 것을 방지할 수 있다.

Claims (27)

  1. 반도체 기판 제조에 사용되는 장치에 있어서,
    챔버와;
    상기 챔버 내에 배치되며 기판이 놓여지는 지지부와; 그리고
    상기 챔버 내에 배치되며, 제 1가스가 유입되는 공간을 제공하는 제 1분사판을 가지는 샤워헤드를 포함하되,
    상기 제 1분사판은 상기 제 1가스가 상기 공간으로 흐르는 통로인 가스유입로의 적어도 일부를 제공하고, 상기 제 1분사판에는 상기 공간으로 유입된 상기 제 1가스가 아래로 분사되는 통로인 제 1홀들이 형성되며, 상기 공간의 바닥면에는 상기 가스유입로를 흐르는 상기 제 1가스가 분출되는 복수의 분출구들이 형성된 것을 특징으로 하는 기판 처리 장치.
  2. 제 1항에 있어서,
    상기 가스유입로는,
    상기 제 1가스가 유입되는 유입라인과;
    상기 분출구를 가지는 유출라인들과; 그리고
    상기 유입라인으로부터 분기되어 상기 유출라인과 연결되는 연결라인들을 포함하는 것을 특징으로 하는 기판 처리 장치.
  3. 제 2항에 있어서,
    상기 가스유입로는 상기 연결라인을 2개 구비하며,
    상기 연결라인들은 상기 유입라인을 기준으로 서로 대칭으로 형성되는 것을 특징으로 하는 기판 처리 장치.
  4. 제 3항에 있어서,
    상기 연결라인들 각각은,
    상기 유입라인으로부터 분기되는 제 1분기라인과;
    상기 제 1분기라인으로부터 양쪽으로 분기되는 2개의 제 2분기라인들을 가지며, 상기 제 2분기라인들은 상기 제 1분기라인을 기준으로 서로 대칭인 것을 특징으로 하는 기판 처리 장치.
  5. 제 4항에 있어서,
    상기 제 1분기라인은 원호로 형성된 곡선부 및 상기 곡선부로부터 연장되어 상기 분사판의 반경방향으로 안쪽을 향해 일정거리 직선으로 연장된 직선부를 포함하며,
    상기 제 1분기라인들의 직선부가 동일 직선 상에 배치되도록 상기 제 1분기라인의 곡선부는 중심각이 90도가 되는 원호로 형성되는 것을 특징으로 하는 기판 처리 장치.
  6. 제 5항에 있어서,
    상기 제 1분기라인으로부터 분기되는 2개의 제 2분기라인들은 원호로 형성된 곡선부 및 상기 제 2분기라인의 곡선부로부터 연장되어 상기 분사판의 반경방향으로 안쪽을 향해 일정거리 직선으로 연장된 직선부를 포함하며,
    상기 제 2분기라인의 곡선부는 중심각이 45도가 되는 원호로 형성되는 것을 특징으로 하는 기판 처리 장치.
  7. 제 4항에 있어서,
    상기 제 1분기라인의 곡선부는 상기 공정챔버의 측벽과 상기 제 1분사판의 외벽 사이에 형성된 공극에 의해 이루어지는 것을 특징으로 하는 기판 처리 장치.
  8. 제 2항에 있어서,
    상기 연결라인들은 상기 제 1가스가 수평방향으로 흐르도록 형성되고, 상기 유출라인은 상기 제 1가스가 수직방향으로 흐르도록 형성되는 것을 특징으로 하는 기판 처리 장치.
  9. 제 1항에 있어서,
    상기 가스유입로는,
    외부의 가스 공급관과 연결되는 유입라인을 가지는 유입부와;
    상기 분출구와 연결되는 유출라인을 가지는 유출부와;
    상기 유입라인과 상기 유출라인들을 연결하며, 상기 유입라인으로부터 상기 유출라인에 이르기까지 하나의 라인이 2개의 라인으로 분기되고, 분기된 라인이 다시 2개의 라인으로 분기되는 과정이 적어도 1회 이상 반복되어 형성된 연결라인들을 가지는 분기부를 포함하는 것을 특징으로 하는 기판 처리 장치.
  10. 제 9항에 있어서,
    상기 유출라인으로부터 분사되는 가스의 압력이 동일하도록 분기되는 라인들은 분기 전 라인을 기준으로 대칭을 이루는 것을 특징으로 하는 기판 처리 장치.
  11. 제 1항 내지 제 10항 중 어느 한 항에 있어서,
    상기 샤워헤드는 제 2가스가 유입되는 제 2공간을 제공하며 상기 제 1분사판의 상부 또는 하부에 배치되는 제 2분사판을 더 포함하되,
    상기 제 2분사판에는 상기 제 2가스가 상기 제 2공간으로 흐르는 통로인 제 2가스유입로와 상기 제 2공간으로 유입된 상기 제 2가스가 아래로 분사되는 통로인 제 2홀들이 형성되는 것을 특징으로 하는 기판 처리 장치.
  12. 제 11항에 있어서,
    상기 제 1공간은 상기 제 1분사판의 상부면에 형성된 홈에 의해 이루어지고,
    상기 제 2공간은 상기 제 2분사판의 상부면에 형성된 홈에 의해 이루어지는 것을 특징으로 하는 기판 처리 장치.
  13. 제 11항에 있어서,
    상기 제 2분사판은 상면으로부터 돌출되어 상기 제 1분사판에 형성된 홀에 삽입되며 내부에 제 3홀을 가지는 돌기들을 가지는 것을 특징으로 하는 기판 처리 장치.
  14. 제 11항에 있어서,
    상기 샤워헤드는,
    상기 제 1분사판의 둘레를 감싸도록 배치되며, 상기 제 1분사판보다 상부로 돌출된 제 1측벽과;
    상기 제 2분사판의 둘레를 감싸도록 배치되며, 상기 제 2분사판보다 상부로 돌출된 제 2측벽을 더 포함하며,
    상기 샤워헤드는 상기 제 1홀들과 상기 제 2분사판에 형성된 제 3홀들을 연결하는 삽입관을 더 포함하는 것을 특징으로 하는 기판 처리 장치.
  15. 제 11항에 있어서,
    상기 제 2가스유입로는,
    외부의 공급관과 연결되는 유입라인과;
    상기 제 2공간의 바닥면에 형성된 분출구들과 연결되는 유출라인들과; 그리고
    상기 유입라인으로부터 분기되어 상기 유출라인과 연결되는 연결라인들을 포 함하고,
    상기 가스유입로는 상기 연결라인을 2개 구비하며, 상기 연결라인들은 상기 유입라인을 기준으로 서로 대칭으로 형성되는 것을 특징으로 하는 기판 처리 장치.
  16. 제 15항에 있어서,
    상기 연결라인들 각각은,
    상기 유입라인으로부터 분기되는 제 1분기라인과;
    상기 제 1분기라인으로부터 양쪽으로 분기되는 2개의 제 2분기라인들을 가지며, 상기 제 2분기라인들은 상기 제 1분기라인을 기준으로 서로 대칭인 것을 특징으로 하는 기판 처리 장치.
  17. 제 11항에 있어서,
    상기 장치는 증착 장치인 것을 특징으로 하는 기판 처리 장치.
  18. 제 17항에 있어서,
    상기 제 1가스는 상기 제 2가스에 포함되는 물질에 비해 원자량이 큰 물질을 포함하는 것을 특징으로 하는 기판 처리 장치.
  19. 제 17항에 있어서,
    상기 제 1가스는 유기금속 소스 가스인 것을 특징으로 하는 기판 처리 장치.
  20. 제 19항에 있어서,
    상기 제 1가스는 납(Pb), 지르코늄(Zr), 그리고 티타늄(Ti)을 포함하고,
    상기 제 2가스는 산소를 포함하는 것을 특징으로 하는 기판 처리 장치.
  21. 제 20항에 있어서,
    상기 제 2분사판은 상기 제 1가스 및 제 2가스와 반응하지 않도록 알루미늄을 재질로 하는 것을 특징으로 하는 기판 처리 장치.
  22. 제 1항 내지 제 10항 중 어느 한 항에 있어서,
    상기 장치는 상기 지지대로부터 일정거리 이격되어 상기 지지대를 감싸도록 배치되는 라이너를 더 포함하는 것을 특징으로 하는 기판 처리 장치.
  23. 제 1항 내지 제 10항 중 어느 한 항에 있어서,
    상기 장치는 상기 샤워헤드의 외측에 배치되며 상기 샤워헤드 내부로 유입되는 가스를 가열하는 히터를 더 포함하는 것을 특징으로 하는 기판 처리 장치.
  24. 기판 상에 박막을 형성하는 증착 공정을 수행하는 기판 처리 장치에 있어서,
    챔버와;
    상기 챔버 내에 배치되며 기판이 놓여지는 지지대와; 그리고
    상기 챔버 내에 배치되며, 상기 지지대에 놓여진 기판 상으로 가스를 공급하는 샤워 헤드를 포함하되,
    상기 샤워 헤드는 복수의 층을 이루도록 배치되는 분사판들을 가지며, 각각의 상기 분사판의 상부면에는 가스가 유입되는 공간들이 형성되고,
    각각의 분사판에는 그 상부에 형성된 상기 공간으로 가스가 공급되는 통로인 가스유입로와 상기 공간으로부터 가스가 유출되는 통로인 홀들이 형성되는 것을 특징으로 하는 기판 처리 장치.
  25. 제 24항에 있어서,
    상기 가스유입로는,
    외부의 공급관과 연결되는 유입라인과;
    상기 공간의 바닥면에 형성된 분출구들과 연결되는 유출라인들과; 그리고
    상기 유입라인으로부터 분기되어 상기 유출라인과 연결되는 연결라인들을 포함하고,
    상기 가스유입로는 상기 연결라인을 2개 구비하며, 상기 연결라인들은 상기 유입라인을 기준으로 서로 대칭으로 형성되는 것을 특징으로 하는 기판 처리 장치.
  26. 제 25항에 있어서,
    상기 연결라인은 상기 유입라인으로부터 2개의 라인으로 분기되며, 분기된 각각의 상기 라인이 서로 대칭이 되는 2개의 라인으로 다시 분기되는 과정을 적어도 1회 이상 반복되어 형성되는 것을 특징으로 하는 기판 처리 장치.
  27. 제 24항 내지 제 26항 중 어느 한 항에 있어서,
    상기 샤워 헤드는,
    상부에 배치되는 제 1분사판과;
    상기 제 1분사판의 하부에 배치되는 제 2분사판을 포함하며,
    상기 제 2분사판의 상부면에는 상기 제 1분사판에 형성된 홀에 삽입되며, 내부에 홀들이 형성된 돌기가 형성된 것을 특징으로 하는 기판 처리 장치.
KR1020040055131A 2004-07-15 2004-07-15 반도체 소자 제조에 사용되는 기판 처리 장치 KR100614648B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020040055131A KR100614648B1 (ko) 2004-07-15 2004-07-15 반도체 소자 제조에 사용되는 기판 처리 장치
US11/177,890 US20060011298A1 (en) 2004-07-15 2005-07-08 Showerhead with branched gas receiving channel and apparatus including the same for use in manufacturing semiconductor substrates

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040055131A KR100614648B1 (ko) 2004-07-15 2004-07-15 반도체 소자 제조에 사용되는 기판 처리 장치

Publications (2)

Publication Number Publication Date
KR20060006219A KR20060006219A (ko) 2006-01-19
KR100614648B1 true KR100614648B1 (ko) 2006-08-23

Family

ID=35598197

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040055131A KR100614648B1 (ko) 2004-07-15 2004-07-15 반도체 소자 제조에 사용되는 기판 처리 장치

Country Status (2)

Country Link
US (1) US20060011298A1 (ko)
KR (1) KR100614648B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140032468A (ko) * 2011-03-01 2014-03-14 다이닛뽕스크린 세이조오 가부시키가이샤 노즐, 기판처리장치, 및 기판처리방법

Families Citing this family (167)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101070353B1 (ko) * 2003-06-25 2011-10-05 주성엔지니어링(주) 반도체 소자 제조장치의 가스 인젝터
US7743730B2 (en) * 2005-12-21 2010-06-29 Lam Research Corporation Apparatus for an optimized plasma chamber grounded electrode assembly
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
KR100837625B1 (ko) * 2006-07-04 2008-06-12 세메스 주식회사 기판 처리 장치 및 방법
CN102174693B (zh) * 2007-01-12 2014-10-29 威科仪器有限公司 气体处理系统
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
KR100967612B1 (ko) * 2008-07-09 2010-07-05 주식회사 메카로닉스 삼중 샤워헤드 및 이를 포함하는 원자층 증착장치
CN102246274A (zh) * 2008-10-10 2011-11-16 奥塔装置公司 用于气相沉积的同轴喷头
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
JP2012519956A (ja) * 2009-03-03 2012-08-30 ジュソン エンジニアリング カンパニー リミテッド ガス分配装置およびこれを備える基板処理装置
JP5777615B2 (ja) * 2009-07-15 2015-09-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Cvdチャンバの流れ制御機構
US9449859B2 (en) 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
KR101113469B1 (ko) * 2009-11-30 2012-02-29 주식회사 케이씨텍 샤워헤드 및 이를 구비하는 유기금속 화학기상증착장치
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN102352492A (zh) * 2011-11-10 2012-02-15 中微半导体设备(上海)有限公司 一种带冷却系统的气体注入装置
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US20130269612A1 (en) * 2012-04-16 2013-10-17 Hermes-Epitek Corporation Gas Treatment Apparatus with Surrounding Spray Curtains
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US9976215B2 (en) 2012-05-01 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film formation apparatus and process
US8944086B2 (en) * 2012-07-02 2015-02-03 James F. Park Plumbing freeze protection system
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
USD799646S1 (en) * 2016-08-30 2017-10-10 Asm Ip Holding B.V. Heater block
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
USD859484S1 (en) * 2017-06-12 2019-09-10 Asm Ip Holding B.V. Heater block
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
FI128427B (en) * 2018-04-12 2020-05-15 Beneq Oy Nozzle head and device
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
USD858192S1 (en) 2018-04-27 2019-09-03 Applied Materials, Inc. Gas distribution plate
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11600517B2 (en) * 2018-08-17 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Screwless semiconductor processing chambers
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
USD927575S1 (en) 2019-01-18 2021-08-10 Shinkawa Ltd. Heater block for bonding apparatus
WO2022114583A1 (ko) * 2020-11-24 2022-06-02 한국전자기술연구원 샤워 헤드 및 그를 포함하는 박막 증착 장치

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
KR100360401B1 (ko) * 2000-03-17 2002-11-13 삼성전자 주식회사 슬릿형 공정가스 인입부와 다공구조의 폐가스 배출부를포함하는 공정튜브 및 반도체 소자 제조장치
JP4799748B2 (ja) * 2001-03-28 2011-10-26 忠弘 大見 マイクロ波プラズマプロセス装置、プラズマ着火方法、プラズマ形成方法及びプラズマプロセス方法
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
KR100550342B1 (ko) * 2004-02-24 2006-02-08 삼성전자주식회사 가스 산포 방법, 및 샤워 헤드, 및 샤워 헤드를 구비하는반도체 기판 가공 장치

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140032468A (ko) * 2011-03-01 2014-03-14 다이닛뽕스크린 세이조오 가부시키가이샤 노즐, 기판처리장치, 및 기판처리방법
KR101686290B1 (ko) 2011-03-01 2016-12-13 가부시키가이샤 스크린 홀딩스 노즐, 기판처리장치, 및 기판처리방법

Also Published As

Publication number Publication date
US20060011298A1 (en) 2006-01-19
KR20060006219A (ko) 2006-01-19

Similar Documents

Publication Publication Date Title
KR100614648B1 (ko) 반도체 소자 제조에 사용되는 기판 처리 장치
US20230175134A1 (en) Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
TWI736840B (zh) 基底處理方法
US7510624B2 (en) Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US7104476B2 (en) Multi-sectored flat board type showerhead used in CVD apparatus
KR100782369B1 (ko) 반도체 제조장치
US6884296B2 (en) Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
TWI490366B (zh) Cvd腔室之流體控制特徵結構
US20080072821A1 (en) Small volume symmetric flow single wafer ald apparatus
KR100550342B1 (ko) 가스 산포 방법, 및 샤워 헤드, 및 샤워 헤드를 구비하는반도체 기판 가공 장치
US6821347B2 (en) Apparatus and method for depositing materials onto microelectronic workpieces
KR100634451B1 (ko) 반도체 소자 제조 장치
EP1957688A2 (en) Small volume symmetric flow single wafer ald apparatus
US6818249B2 (en) Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
KR102102320B1 (ko) 기판 처리 장치 및 그것을 이용한 박막 증착 방법
JP2023509386A (ja) Ald前駆体送達用シャワーヘッド
CN112242324A (zh) 用于半导体处理系统的喷淋头装置
KR20210092693A (ko) 샤워헤드 어셈블리 및 부품
KR20060100961A (ko) 샤워헤드 및 이를 구비한 원자층 증착설비
KR100697267B1 (ko) 화학기상 증착장치
KR100917475B1 (ko) 샤워헤드를 채용한 증착장치
KR100444753B1 (ko) 반도체 소자 제조에 사용되는 증착 장치
KR100972112B1 (ko) 배치 방식 반도체 제조 장치
KR20040102600A (ko) 반도체 소자 제조를 위한 증착 장치
KR100972111B1 (ko) 배치 방식 반도체 제조 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120801

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130731

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee