JP3595853B2 - プラズマcvd成膜装置 - Google Patents

プラズマcvd成膜装置 Download PDF

Info

Publication number
JP3595853B2
JP3595853B2 JP7294499A JP7294499A JP3595853B2 JP 3595853 B2 JP3595853 B2 JP 3595853B2 JP 7294499 A JP7294499 A JP 7294499A JP 7294499 A JP7294499 A JP 7294499A JP 3595853 B2 JP3595853 B2 JP 3595853B2
Authority
JP
Japan
Prior art keywords
shower head
susceptor
center
distance
vacuum chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP7294499A
Other languages
English (en)
Other versions
JP2000269146A (ja
Inventor
信雄 松木
佳紀 森貞
Original Assignee
日本エー・エス・エム株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日本エー・エス・エム株式会社 filed Critical 日本エー・エス・エム株式会社
Priority to JP7294499A priority Critical patent/JP3595853B2/ja
Priority to US09/531,254 priority patent/US6631692B1/en
Priority to KR1020000013794A priority patent/KR100687530B1/ko
Priority to TW089105041A priority patent/TW484188B/zh
Publication of JP2000269146A publication Critical patent/JP2000269146A/ja
Priority to US10/328,331 priority patent/US6740367B2/en
Application granted granted Critical
Publication of JP3595853B2 publication Critical patent/JP3595853B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes

Description

【0001】
【発明の属する技術分野】
本発明は,プラズマを用いた気相成長法により半導体基板上に薄膜を形成するための装置に関し,とくに,シャワーヘッド及び/またはサセプタの形状に特徴のある半導体処理装置に関する。
【0002】
【従来の技術】
図1は従来の平行平板型プラズマCVD成膜装置の概略図である。従来のプラズマCVD成膜装置は,真空チャンバ1と,該真空チャンバ内の上方に実質的に水平に設置されたシャワーヘッド2と,真空チャンバ1内部において該シャワーヘッドに対向して実質的に平行に設置されたサセプタ3とから成る。
【0003】
真空チャンバ1にはチャンバ内部を真空排気するための真空ポンプ(図示せず)に通じる排気口5が設けられている。
【0004】
シャワーヘッド2の底面には材料ガスを噴射するための複数の細孔11が設けられている。またシャワーヘッド2はライン10を通じて材料ガス供給タンク6に結合されている。ライン10上には材料ガスの流量を制御するための質量流量制御器8が設けられている。さらにシャワーヘッド2にはRF電圧源4が電気的に結合されており,一方の電極として機能する。
【0005】
サセプタ3は通常アルミニウム製の円柱体であって内部にヒーター14が埋設されている。またサセプタ3は支持体12によって支持され,例えば回転機構によって回転することもできる。さらにサセプタ3は接地13されており,もう一方の電極として機能する。サセプタ3の表面上には半導体基板9が載置され,真空チャックなどにより固定されている。
【0006】
ここで従来のプラズマCVD成膜装置の作用について説明する。
【0007】
まず,真空ポンプによって排気口5からチャンバ1内のガスが真空排気され,チャンバ1の内部は所望の低圧に維持される。
【0008】
次に,材料ガス供給タンク6から流れ出した所定の材料ガスが,質量流量制御装置8によって所望の流量に制御される。
所望の流量に制御された材料ガスは,ライン10を通じてシャワーヘッド2に運ばれ,底面上に設けられた複数の細孔11から半導体基板に向かって噴射される。
【0009】
その後流量が安定した後,RF電源に接続されたシャワーヘッドと,接地13されたサセプタ3との間には高周波電場が生成され,チャンバ1内の上記材料ガスがイオン化して,いわゆるプラズマ状態が発生する。イオン化された材料ガスの原子が半導体基板上の反応領域で化学反応を起こし,半導体基板上に所望の薄膜が形成される。
【0010】
材料ガスとしては,SiH,DM−DMOS[(CHSi(OCH]若しくはTEOSのようなシリコンソースガス,Cのようなフッ素系ソースガス,酸素のような酸化性ガス及びAr若しくはHe等の不活性ガスがある。
【0011】
半導体基板9の表面上に形成される膜の種類及び膜質は,材料ガスの種類及び流量,温度,RF周波数の種類並びにプラズマの空間的一様性等により変化する。
【0012】
【発明が解決しようとする課題】
半導体基板上に成膜される膜の一様性は反応領域でのプラズマ密度の一様性と関係が深い。図1に示すように,従来のプラズマCVD成膜装置はサセプタ3とシャワーヘッド2との間の距離,すなわち半導体基板9とシャワーヘッド2との間の距離は一定である。一般に,平行平板型プラズマCVD成膜装置において,二枚の平面電極間(φ250mm)に生じる電場強度分布は,中心が一番強く,半径方向外側に徐々に弱まっていく性質がある。φ200mmの半導体基板の成膜領域では約±5%の強度分布となる。したがって,半導体基板9の中心付近の電場は半径方向外側の電場より相対的に大きく,プラズマ密度も高くなり,材料ガスの反応が活発になる。その結果形成される薄膜は中心付近で厚くなり,膜質についても中心とその外側では不均一となる。
【0013】
これに対して,従来は供給するガスの流量若しくは混合比,印加するRF周波数の値,並びにRF電力量を制御することにより対応してきたが,これらのパラメータを変化させてしまうと生成される膜質及び成膜速度が変化してしまい,プロセス安定性が悪くなる。特に材料ガスの混合比及び流量が膜質に大きく影響する場合には問題がさらに大きくなる。
【0014】
また膜の均一性の問題は将来の半導体基板の大口径化に向けて重要な課題の一つである。
【0015】
したがって,本発明の目的は,膜質及び膜厚が均一になるような薄膜を,半導体基板上に成膜するプラズマCVD成膜装置を与えることである。
【0016】
本発明の他の目的は,口径が300mm以上の大口径基板に対しても膜厚及び膜質が均一になるようなプラズマCVD成膜装置を与えることである。
【0017】
さらに本発明の他の目的は,製造コストが安く,構成が簡単なプラズマCVD成膜装置を与えることである。
【0018】
【課題を解決するための手段】
上記目的を達成するために本発明に係るプラズマCVD成膜装置は以下の手段から成る。
【0019】
被処理体上に薄膜を形成するためのプラズマCVD成膜装置は,
真空チャンバと,
前記真空チャンバ内に設置されたシャワーヘッドと,
前記真空チャンバ内に,前記シャワーヘッドと実質的に平行に対向して設置された,前記被処理体を載置するサセプタと,
から成り,
前記シャワーヘッドと前記サセプタとの間隔距離が以下の関係を満足し,
fd= dc da /da×100 fd=1%〜100%
ここで,
fd:前記シャワーヘッドの前記被処理体に対向する面の中心部の変形率,
da:前記被処理体の外周位置での,前記シャワーヘッドと前記サセプタ間の平均距離,
dc:前記被処理体の中心からdaの距離の点における前記シャワーヘッドと前記サセプタ間の平均距離である。
【0020】
具体的には,前記シャワーヘッドの前記サセプタに対向する表面は窪んだ回転面として形成されている。
【0021】
また,本発明に係る被処理体上に薄膜を形成するためのプラズマCVD成膜装置は,
真空チャンバと,
前記真空チャンバ内に設置されたシャワーヘッドと,
前記真空チャンバ内に,前記シャワーヘッドと実質的に平行に対向して設置された,前記被処理体を載置するサセプタと,
から成り,
前記シャワーヘッドと前記サセプタとの間隔距離が以下の関係を満足し,
fd'= dc' da' /da'×100 fd'=1%〜100%
ここで,
fd':前記サセプタの前記被処理体に対向する面の中心部の変形率,
da':前記被処理体の外周位置での,前記シャワーヘッドと前記サセプタ間の平均距離,
dc':前記被処理体の中心からda'の距離の点における前記シャワーヘッドと前記サセプタ間の平均距離である。
具体的には,前記サセプタの前記シャワーヘッドに対向する表面が窪んだ回転面として形成されている。
【0022】
さらに,本発明に係る被処理体上に薄膜を形成するためのプラズマCVD成膜装置は,
真空チャンバと,
前記真空チャンバ内に設置されたシャワーヘッドと,
前記真空チャンバ内に,前記シャワーヘッドと実質的に平行に対向して設置された,前記被処理体を載置するサセプタと,
から成り,
前記シャワーヘッドと前記サセプタとの間隔距離が以下の関係を満足し,
fd= dc da /da×100 fd=1%〜100%
ここで,
fd:前記シャワーヘッドの前記被処理体に対向する面の中心部の変形率,
da:前記被処理体の外周位置での,前記シャワーヘッドと前記サセプタ間の平均距離,
dc:前記被処理体の中心からdaの距離の点における前記シャワーヘッドと前記サセプタ間の平均距離であり,かつ
前記シャワーヘッドと前記サセプタとの間隔距離がさらに以下の関係を満足し,
fd'= dc' da' /da'×100 fd'=1%〜100%
ここで,
fd':前記サセプタの前記被処理体に対向する面の中心部の変形率,
da':前記被処理体の外周位置での,前記シャワーヘッドと前記サセプタ間の平均距離,
dc':前記被処理体の中心からda'の距離の点における前記シャワーヘッドと前記サセプタ間の平均距離である,
ところの装置であって,
前記シャワーヘッドの前記サセプタに対向する表面及び前記サセプタの前記シャワーヘッドに対向する表面が窪んだ回転面として形成されている。
【0023】
好適には,シャワーヘッドとサセプタとの間隔距離は中心方向に向かうに従って長くなり,中心部で最も長くなる。
【0024】
【発明の実施の形態】
以下,図面を参照して本発明を説明する。
【0025】
図2は本発明に係る第1の実施例を略示したものである。同一の部材は図1と同一の符号を使用している。本発明に係る半導体基板上に薄膜を形成するためのプラズマCVD成膜装置の第1の実施例は,真空チャンバ1と,前記真空チャンバ内に設置されたシャワーヘッド20と,前記真空チャンバ内に前記シャワーヘッドと実質的に平行に対向して設置された前記被処理体を載置するサセプタ3とから成り,前記シャワーヘッドと前記サセプタとの間の距離が中心方向に向かうに従って長くなり,中心部で最も長くなるケースを示している。
【0026】
図2に記載のプラズマCVD成膜装置の作用は図1に記載の従来のプラズマCVD成膜装置と同様である。しかし,本発明においては,電極の表面形状を変形することにより,電場強度の面内の分布を改良し形成される膜の均一性を改善する。
【0027】
好適には,シャワーヘッド20の底面21は凹状に窪んだ回転面から成る。ここで,回転面とは平面上の一つの曲線を同じ平面上にある直線の回りに回転することにより生じる曲面と定義される。
【0028】
図2では,シャワーヘッド20,すなわち上部電極と半導体基板9との間の距離は中心点22において最も長く,半径方向外側に向かって徐々に短くなる。
【0029】
上部電極面21の中心24の変形率fdを以下のように定義する。
【0030】
fd= dc da /da×100
ここで,
fd:シャワーヘッド20の半導体基板9に対向する面の中心24の変形率,
da:半導体基板9の外周位置23での,シャワーヘッド20とサセプタ3との間の平均距離,
dc:半導体基板9の中心22からdaの距離の点におけるシャワーヘッド20とサセプタ3との間の平均距離である。本発明に係る変形率fd値はfd=1〜100%であり,好適には5〜35%である。変形率fd値は供給する反応ガスの種類,混合比,印加するRF電力などにより異なり,最適値が選択される。
【0031】
図3は,上記本発明の第1の実施例の変形例を示したものである。図3(a)に示した第1の変形例において,シャワーヘッド20aの底面は半導体基板に対向する部分が大きく内側に窪み,その中心24aが突起した回転面から成る。図3(b)に示した第2の変形例において,シャワーヘッド20bの底面は略円錐形状に窪み,その中心24bが突起している。図3(c)に示した第3の変形例において,シャワーヘッド20cの底面は内側に窪んだ2つの凹部及びほぼ平坦な中心24cを有する。
【0032】
このように本発明のシャワーヘッド20の構造は,第1の実施例に示されるような,シャワーヘッド20とサセプタ3の間隔距離が中心部で最も長くなるものに限定されない。すなわち,本発明に係るシャワーヘッドの構造は,半導体基板に対向する部分が窪んでいる点に最大の特徴を有するのであって,その窪み構造はシャワーヘッドやサセプタの仕様,RF電力及びその他の成膜条件により最適なものが選択される。
【0033】
次に,図4は,本発明の第2の実施例を略示したものである。従来のプラズマCVD成膜装置と作用は同様であるが,第2の実施例においては,サセプタ30の表面31が凹状に窪んだ回転面から成る。シャワーヘッド2は従来のものと同様な平板型シャワーヘッドであり,上部電極を構成する。サセプタ30,すなわち下部電極とシャワーヘッド2との間の距離は中心点33において最も長く,半径方向外側に向かって徐々に短くなる。半導体基板9はその周縁部分32のみがサセプタと接触しており,例えば真空チャックによって固定される。
【0034】
下部電極面30の中心部の変形率fd’を以下のように定義する。
【0035】
fd'= dc' da' /da'×100
ここで,
fd':サセプタ30の半導体基板9に対向する面の中心部の変形率,
da':半導体基板9の外周位置34での,シャワーヘッド2とサセプタ30との間の平均距離,
dc':半導体基板9の中心22からda'の距離の点におけるシャワーヘッド20とサセプタ30との間の平均距離である。本発明に係る変形率fd'値はfd'=1〜100%であり,好適には5〜35%である。変形率fd'値は供給する反応ガスの種類,混合比,印加するRF電力などにより異なり,最適値が選択される。
【0036】
ここで,注意すべきは図3で示した第1の実施例の変形例と同様な変形例を本発明のサセプタ30に応用することが可能であるということである。すなわち,本発明のサセプタ30の構造は,第2の実施例に示されるような,シャワーヘッドとサセプタ間距離が中心部で最も長くなるようなものに限定されない。
【0037】
次に,本発明の第3の実施例が図5に略示されている。従来のプラズマCVD成膜装置と作用は同様であるが,第3の実施例においては,シャワーヘッド20及びサセプタ30のそれぞれの表面21及び表面31が凹状に窪んだ回転面から成る。シャワーヘッド20は第1の実施例と同様な中央が窪んだ回転面21を有し,上部電極を構成する。同じくサセプタ30は実施例2と同様に中央が窪んだ回転面31から成る。サセプタ30とシャワーヘッド20との間の距離は,それぞれの中心点33と24との間において最も長く,半径方向外側に向かって徐々に短くなる。半導体基板9はその周縁部分32のみがサセプタと接触しており,例えば真空チャックによって固定される。
【0038】
本発明に係る第3の実施例の変形率fdはfd=1〜100%であり,好適には5〜35%である。一方変形率fd’はfd’=1〜100%であり,好適には5〜35%である。変形率fd値及びfd’値は供給する反応ガスの種類,混合比,印加するRF電力などにより異なり,最適値が選択される。
【0039】
【実施例】
次に,本発明の実験結果について説明する。
【0040】
実験は,本発明の第1の実施例に関して2種類のシャワーヘッドを使用して,それぞれの膜厚分布を測定することを目的とするものである。
【0041】
図6は各シャワーヘッドの表面の構造を示したグラフである。これらの曲線a及びbを電極中心を回転軸として回転することによってシャワーヘッド底面に回転面が形成される。その結果半径方向に電極間隔に差が生じることになる。
【0042】
実験は以下の条件で行われた。
【0043】
・半導体基板外周部での電極間距離da=10mm,
・シャワーヘッドaの中心24の表面窪み=1mm,変形率fd=11%,
・シャワーヘッドbの中心24の表面窪み=3mm,変形率fd=32%,
・使用した半導体基板φ=200mm,
・下部電極の温度=400℃,
・使用したRF電源の周波数f=13.56MHz
・材料ガス=DM−DMOS,流量=20sccm
・材料ガス=Ar,流量=10sccm
・材料ガス=He,流量=10sccm
図7に示された実験結果から,従来の平行平板型プラズマCVD装置ではシャワーヘッド電極中心部付近の半導体基板上に堆積される薄膜の膜厚が平均膜厚より6%ほど厚いのに対し,本発明に係るシャワーヘッドaの中心部付近の半導体基板上に堆積された薄膜の膜厚は平均膜厚より1.5%だけ厚くなるにとどまるよう改善され,本発明に係るシャワーヘッドbの中心部付近の半導体基板上に堆積された薄膜の膜厚は平均膜厚より逆に2.5%薄くなるという結果になった。
【0044】
これらの実験結果から,半導体基板中央部付近の電極間隔が長くなるように電極を形成し,そこに集中するプラズマ電場強度を補正することにより膜の均一性は改善され得ることがわかった。
【0045】
また,半導体基板上に成膜する場合の電極の熱膨張の方向は,電極外周の固定方法,製作段階での電極面の残留応力,表面形状の微妙な撓み,または反応ガス供給用の細孔の形状等により,電極間隔を狭くする方向若しくは逆に広げる方向に変化する。
【0046】
従来はこの変化の方向を常に一定に管理することが困難であった。電極間隔を狭くする方向に撓んだ場合,半導体基板中心部付近の電場は非常に強くなり膜の成長速度も増加して膜の均一性をさらに悪化させていた。
【0047】
しかし,本発明のように,最初から中央部が窪んだ構造とすることで,電極は電極間隔を広げる方向のみに膨張するため半導体基板中心付近の膜の均一性はより一層改善されることとなった。
【0048】
【発明の効果】
本発明に従うプラズマCVD成膜装置によって,半導体基板上に薄膜を均一に形成することができるようになった。その結果半導体素子の高集積化及び高性能化への要求に答えることができる。
【0049】
また本発明に従うプラズマCVD成膜装置によれば,膜厚及び膜質の均一化及び安定化の要求に答えることができる。
【0050】
さらに本発明に従うプラズマCVD成膜装置は,将来の半導体基板の大口径化にも十分に対応することでき,広い面積にわたって均一に薄膜を形成することができる。
【図面の簡単な説明】
【図1】図1は,従来のプラズマCVD成膜装置を略示したものである。
【図2】図2は,本発明に係るシャワーヘッドを有するプラズマCVD成膜装置の第1の実施例を示したものである。
【図3】図3は,本発明に係るシャワーヘッドの変形例を示したものである。
【図4】図4は,本発明に係るプラズマCVD成膜装置の第2の実施例を示したものである。
【図5】図5は,本発明に係るプラズマCVD成膜装置の第3の実施例を示したものである。
【図6】図6は,シャワーヘッド下面形状の違いによる,表面深さと,電極中心からの距離の関係を示すグラフである。
【図7】図7は,電極の中心部の窪みと半導体基板の中心の膜厚の関係を示すグラフである。
【符号の説明】
1 真空チャンバ
3 サセプタ
4 RF電源
5 排気口
6 材料ガス供給タンク
8 質量流量制御装置
9 半導体基板
10 ライン
12 支持体
13 接地
14 ヒーター
20 シャワーヘッド
21 凹状に湾曲したシャワーヘッドの電極面
22 半導体基板の中心点
23 半導体基板の外周位置
24 シャワーヘッドの中心

Claims (3)

  1. 被処理体上に薄膜を形成するためのプラズマCVD成膜装置であって、
    真空チャンバと、
    前記真空チャンバ内に設置されたシャワーヘッドと、
    前記真空チャンバ内に、前記シャワーヘッドと実質的に平行に対向して設置された、前記被処理体を平板状に載置するサセプタと、
    から成り、
    前記シャワーヘッドと前記サセプタとの間隔距離が以下の関係を満足し、
    fd’= dc ’− da ’)/da’×100 fd’=1%〜35%
    ここで、
    fd’:前記サセプタの前記被処理体に対向する面の中心部の変形率、
    da’:前記被処理体の外周位置での、前記シャワーヘッドと前記サセプタ間の平均距離、
    dc’:前記被処理体の中心からda’の距離の点における前記シャワーヘッドと前記サセプタ間の平均距離であり、
    前記サセプタの前記シャワーヘッドに対向する表面が窪んだ回転面として形成されている、
    ところの装置。
  2. 被処理体上に薄膜を形成するためのプラズマCVD成膜装置であって、
    真空チャンバと、
    前記真空チャンバ内に設置されたシャワーヘッドと、
    前記真空チャンバ内に、前記シャワーヘッドと実質的に平行に対向して設置された、前記被処理体を平板状に載置するサセプタと、
    から成り、
    前記シャワーヘッドと前記サセプタとの間隔距離が以下の関係を満足し、
    fd= dc da /da×100 fd=1%〜35%
    ここで、
    fd:前記シャワーヘッドの前記被処理体に対向する面の中心部の変形率、
    da:前記被処理体の外周位置での、前記シャワーヘッドと前記サセプタ間の平均距離、
    dc:前記被処理体の中心からdaの距離の点における前記シャワーヘッドと前記サセプタ間の平均距離であり、かつ
    前記シャワーヘッドと前記サセプタとの間隔距離がさらに以下の関係を満足し、
    fd’= dc ’− da ’)/da’×100 fd’=1%〜35%
    ここで、
    fd’:前記サセプタの前記被処理体に対向する面の中心部の変形率、
    da’:前記被処理体の外周位置での、前記シャワーヘッドと前記サセプタ間の平均距離、
    dc’:前記被処理体の中心からda’の距離の点における前記シャワーヘッドと前記サセプタ間の平均距離である、
    ところの装置であって、
    前記シャワーヘッドの前記サセプタに対向する表面及び前記サセプタの前記シャワーヘッドに対向する表面が窪んだ回転面として形成されている、
    ところの装置。
  3. 請求項1または2に記載の装置であって、シャワーヘッドとサセプタとの間隔距離が中心方向に向かうに従って長くなり、中心部で最も長くなるところの装置。
JP7294499A 1999-03-18 1999-03-18 プラズマcvd成膜装置 Expired - Lifetime JP3595853B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP7294499A JP3595853B2 (ja) 1999-03-18 1999-03-18 プラズマcvd成膜装置
US09/531,254 US6631692B1 (en) 1999-03-18 2000-03-17 Plasma CVD film-forming device
KR1020000013794A KR100687530B1 (ko) 1999-03-18 2000-03-18 플라즈마 cvd 막 형성장치
TW089105041A TW484188B (en) 1999-03-18 2000-04-25 Plasma CVD film forming device
US10/328,331 US6740367B2 (en) 1999-03-18 2002-12-23 Plasma CVD film-forming device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP7294499A JP3595853B2 (ja) 1999-03-18 1999-03-18 プラズマcvd成膜装置

Publications (2)

Publication Number Publication Date
JP2000269146A JP2000269146A (ja) 2000-09-29
JP3595853B2 true JP3595853B2 (ja) 2004-12-02

Family

ID=13504011

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7294499A Expired - Lifetime JP3595853B2 (ja) 1999-03-18 1999-03-18 プラズマcvd成膜装置

Country Status (4)

Country Link
US (2) US6631692B1 (ja)
JP (1) JP3595853B2 (ja)
KR (1) KR100687530B1 (ja)
TW (1) TW484188B (ja)

Families Citing this family (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3595853B2 (ja) 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
US6228438B1 (en) * 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
US7196283B2 (en) * 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
JP2002134484A (ja) * 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
JP2007184611A (ja) * 2001-01-22 2007-07-19 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
KR100922241B1 (ko) * 2001-02-09 2009-10-15 도쿄엘렉트론가부시키가이샤 성막 장치 및 샤워헤드 구조체
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US7138336B2 (en) * 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6953730B2 (en) 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
JP4485737B2 (ja) * 2002-04-16 2010-06-23 日本エー・エス・エム株式会社 プラズマcvd装置
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7135369B2 (en) * 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
KR20050013734A (ko) * 2003-07-29 2005-02-05 삼성전자주식회사 플라즈마 식각장치
JP4563729B2 (ja) * 2003-09-04 2010-10-13 東京エレクトロン株式会社 プラズマ処理装置
US7487740B2 (en) * 2003-09-10 2009-02-10 Oerlikon Trading Ag, Truebbach Voltage non-uniformity compensation method for high frequency plasma reactor for the treatment of rectangular large area substrates
KR101021876B1 (ko) * 2004-01-19 2011-03-17 주성엔지니어링(주) 액정표시소자 제조장치의 샤워헤드
KR100550342B1 (ko) 2004-02-24 2006-02-08 삼성전자주식회사 가스 산포 방법, 및 샤워 헤드, 및 샤워 헤드를 구비하는반도체 기판 가공 장치
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20050233092A1 (en) * 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
CH706979B1 (en) * 2004-04-30 2014-03-31 Tel Solar Ag Method for producing a disc-shaped workpiece based on a dielectric substrate and vacuum treatment plant therefor.
US7449220B2 (en) * 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20060005771A1 (en) * 2004-07-12 2006-01-12 Applied Materials, Inc. Apparatus and method of shaping profiles of large-area PECVD electrodes
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
CN101871099B (zh) * 2004-07-12 2013-09-25 应用材料公司 通过气体分散器弯曲性的等离子体均匀度控制
KR100614648B1 (ko) * 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
KR20060014495A (ko) * 2004-08-11 2006-02-16 주식회사 유진테크 화학기상증착장치의 샤워헤드
TWI287279B (en) * 2004-09-20 2007-09-21 Applied Materials Inc Diffuser gravity support
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
JP4778700B2 (ja) * 2004-10-29 2011-09-21 株式会社アルバック プラズマcvd方法及び装置
US7560144B2 (en) 2005-03-22 2009-07-14 Asm Japan K.K. Method of stabilizing film quality of low-dielectric constant film
WO2006106764A1 (ja) * 2005-03-30 2006-10-12 Matsushita Electric Industrial Co., Ltd. 伝送線路
FR2884044A1 (fr) * 2005-04-01 2006-10-06 St Microelectronics Sa Reacteur de depot et procede de determination de son diffuseur
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
KR100686724B1 (ko) * 2005-06-30 2007-02-26 삼성전자주식회사 화학기상증착장치
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
JP2007210875A (ja) * 2005-07-29 2007-08-23 Nuflare Technology Inc 気相成長装置及び気相成長方法
US7418921B2 (en) * 2005-08-12 2008-09-02 Asm Japan K.K. Plasma CVD apparatus for forming uniform film
US20070056843A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones
US20070056845A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Multiple zone sputtering target created through conductive and insulation bonding
US7525787B2 (en) * 2005-09-30 2009-04-28 Lam Research Corporation Electrostatic chuck assembly with dielectric material and/or cavity having varying thickness, profile and/or shape, method of use and apparatus incorporating same
JP5161450B2 (ja) * 2005-09-30 2013-03-13 財団法人高知県産業振興センター プラズマcvd装置及びプラズマ表面処理方法
US20070138134A1 (en) * 2005-12-19 2007-06-21 Chuan-Han Hsieh Etching apparatus and etching method
US20070227666A1 (en) * 2006-03-30 2007-10-04 Tokyo Electron Limited Plasma processing apparatus
KR100765390B1 (ko) * 2006-05-01 2007-10-10 세메스 주식회사 돔 형태의 샤워헤드를 이용한 박막 증착 장치
JP2008047869A (ja) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
KR100849929B1 (ko) 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
JP5010234B2 (ja) 2006-10-23 2012-08-29 北陸成型工業株式会社 ガス放出孔部材を一体焼結したシャワープレートおよびその製造方法
US20080226838A1 (en) * 2007-03-12 2008-09-18 Kochi Industrial Promotion Center Plasma CVD apparatus and film deposition method
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US20080299326A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Plasma cvd apparatus having non-metal susceptor
US20080302303A1 (en) * 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US8142606B2 (en) * 2007-06-07 2012-03-27 Applied Materials, Inc. Apparatus for depositing a uniform silicon film and methods for manufacturing the same
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
US9105449B2 (en) 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
KR101473510B1 (ko) * 2007-10-01 2014-12-16 텔 쏠라 아게 필름 소재에의 증착
KR101381208B1 (ko) * 2007-11-20 2014-04-04 주성엔지니어링(주) 박막처리장치
JP4533925B2 (ja) * 2007-12-17 2010-09-01 財団法人高知県産業振興センター 成膜装置及び成膜方法
JP4533926B2 (ja) * 2007-12-26 2010-09-01 財団法人高知県産業振興センター 成膜装置及び成膜方法
KR101404010B1 (ko) * 2008-03-06 2014-06-12 주성엔지니어링(주) 기판 가장자리 식각장치 및 이를 이용한 기판 가장자리식각방법
KR20090102955A (ko) 2008-03-27 2009-10-01 주식회사 유진테크 기판처리장치 및 기판처리방법
TWI409358B (zh) * 2008-04-11 2013-09-21 Innolux Corp 電漿輔助化學氣相沉積裝置
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8097082B2 (en) * 2008-04-28 2012-01-17 Applied Materials, Inc. Nonplanar faceplate for a plasma processing chamber
US8053036B2 (en) * 2008-06-02 2011-11-08 Asm Japan K.K. Method for designing shower plate for plasma CVD apparatus
WO2009154889A2 (en) * 2008-06-20 2009-12-23 Applied Materials, Inc. Gas distribution showerhead skirt
US9493875B2 (en) 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
WO2010051233A2 (en) * 2008-10-31 2010-05-06 Applied Materials, Inc. Adjustable gas distribution apparatus
KR101293434B1 (ko) * 2008-12-02 2013-08-05 가부시키가이샤 고베 세이코쇼 플라즈마 처리 장치용 부재 및 그의 제조방법
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
CN101800148A (zh) * 2010-03-17 2010-08-11 南开大学 可获得均匀电场的大面积vhf-pecvd反应室瓦片式功率电极
KR101373746B1 (ko) * 2010-08-17 2014-03-14 세메스 주식회사 플라즈마를 이용한 기판 처리 장치
US20120135609A1 (en) * 2010-11-30 2012-05-31 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
TWI507561B (zh) * 2010-12-10 2015-11-11 Ind Tech Res Inst 結合進氣和排氣的噴灑頭
JP5713842B2 (ja) * 2011-08-24 2015-05-07 富士フイルム株式会社 成膜装置
KR101804126B1 (ko) * 2011-12-21 2017-12-05 주식회사 원익아이피에스 가스분사장치 및 기판처리장치
EP2654070A1 (fr) 2012-04-16 2013-10-23 INDEOtec SA Réacteur plasma de type capacitif pour le dépôt de films minces
US9404183B2 (en) 2012-06-08 2016-08-02 Novellus Systems, Inc. Diagnostic and control systems and methods for substrate processing systems using DC self-bias voltage
KR101984524B1 (ko) * 2012-07-06 2019-05-31 주성엔지니어링(주) 기판 처리 장치
AT513190B9 (de) * 2012-08-08 2014-05-15 Berndorf Hueck Band Und Pressblechtechnik Gmbh Vorrichtung und Verfahren zur Plasmabeschichtung eines Substrats, insbesondere eines Pressblechs
JP2014063872A (ja) * 2012-09-21 2014-04-10 Sumitomo Electric Ind Ltd 半導体装置の製造方法および成膜装置
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP5798140B2 (ja) * 2013-02-15 2015-10-21 株式会社東芝 プラズマ処理装置
WO2015080900A1 (en) * 2013-11-26 2015-06-04 Applied Materials, Inc. Tilted plate for batch processing and methods of use
KR102215639B1 (ko) * 2014-03-05 2021-02-16 주성엔지니어링(주) 가스 분배 장치 및 이를 구비하는 기판 처리 장치
US9859088B2 (en) * 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
CN104918401A (zh) * 2015-05-26 2015-09-16 山东专利工程总公司 一种感应耦合型等离子体处理装置
CN108140551A (zh) * 2015-10-26 2018-06-08 应用材料公司 用于半导体制造的晶片处理的高生产率pecvd工具
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
US20190244793A1 (en) * 2018-02-05 2019-08-08 Lam Research Corporation Tapered upper electrode for uniformity control in plasma processing
US11339475B2 (en) * 2018-11-30 2022-05-24 Applied Materials, Inc. Film stack overlay improvement
JP7125058B2 (ja) * 2018-12-06 2022-08-24 東京エレクトロン株式会社 プラズマ処理装置、及び、プラズマ処理方法
US20220037117A1 (en) * 2018-12-06 2022-02-03 Tokyo Electron Limited Shower plate, plasma processing apparatus and plasma processing method
JP7308498B2 (ja) * 2018-12-06 2023-07-14 東京エレクトロン株式会社 プラズマ処理装置、及び、プラズマ処理方法
CN113939893A (zh) * 2019-06-07 2022-01-14 应用材料公司 具有弯曲表面的面板
JP7285152B2 (ja) * 2019-07-08 2023-06-01 東京エレクトロン株式会社 プラズマ処理装置
US20210287881A1 (en) * 2020-03-12 2021-09-16 Applied Materials, Inc. Methods and apparatus for tuning semiconductor processes
KR102275757B1 (ko) * 2020-08-24 2021-07-09 피에스케이 주식회사 기판 처리 장치
KR20220045708A (ko) 2020-10-06 2022-04-13 (주)포인트엔지니어링 증착 장비용 가스분사부재 및 이를 구비하는 증착 장비
CN114737172A (zh) * 2022-04-21 2022-07-12 成都高真科技有限公司 一种化学气相沉积装置

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5950095A (ja) 1982-09-10 1984-03-22 ジェミニ リサーチ, インコーポレイテッド 化学反応器
JPS624315A (ja) 1985-06-29 1987-01-10 Toshiba Corp 気相成長装置用サセプタ
JPS6413119U (ja) * 1987-07-14 1989-01-24
JPH01283813A (ja) 1988-05-10 1989-11-15 Matsushita Electron Corp エピタキシャル成長装置
US4986215A (en) 1988-09-01 1991-01-22 Kyushu Electronic Metal Co., Ltd. Susceptor for vapor-phase growth system
JPH0314228A (ja) * 1989-06-13 1991-01-22 Nec Corp プラズマ処理装置
JPH0399767A (ja) * 1989-09-12 1991-04-24 Toyota Motor Corp 鋳造金型内鋳包み配管製作方法
JP2833272B2 (ja) * 1991-07-17 1998-12-09 松下電器産業株式会社 Ic実装方法
KR100291108B1 (ko) * 1993-03-17 2001-06-01 히가시 데쓰로 플라즈마 처리 시스템
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
TW299559B (ja) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5628869A (en) * 1994-05-09 1997-05-13 Lsi Logic Corporation Plasma enhanced chemical vapor reactor with shaped electrodes
AU3553795A (en) * 1994-09-15 1996-03-29 Materials Research Corporation Apparatus and method for clampling a substrate
JPH08130207A (ja) * 1994-10-31 1996-05-21 Matsushita Electric Ind Co Ltd プラズマ処理装置
JP3220619B2 (ja) * 1995-05-24 2001-10-22 松下電器産業株式会社 ガス伝熱プラズマ処理装置
JPH09320799A (ja) * 1996-05-27 1997-12-12 Hitachi Ltd プラズマ処理装置およびプラズマ処理方法
US6001183A (en) 1996-06-10 1999-12-14 Emcore Corporation Wafer carriers for epitaxial growth processes
US6183565B1 (en) 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
KR100252210B1 (ko) * 1996-12-24 2000-04-15 윤종용 반도체장치 제조용 건식식각장치
JP4268231B2 (ja) * 1997-12-12 2009-05-27 忠弘 大見 プラズマ処理装置、表面処理方法および光学部品の製造法
US6094334A (en) 1999-03-02 2000-07-25 Applied Materials, Inc. Polymer chuck with heater and method of manufacture
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
US6228438B1 (en) * 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
JP2001127142A (ja) 1999-10-27 2001-05-11 Hitachi Kokusai Electric Inc 半導体製造装置
DE60037188T2 (de) * 2000-08-29 2008-10-02 Qimonda Dresden Gmbh & Co. Ohg Verfahren zum Betreiben eines Suszeptors für Halbleiterscheiben

Also Published As

Publication number Publication date
US20030089314A1 (en) 2003-05-15
KR20000062949A (ko) 2000-10-25
US6631692B1 (en) 2003-10-14
JP2000269146A (ja) 2000-09-29
US6740367B2 (en) 2004-05-25
TW484188B (en) 2002-04-21
KR100687530B1 (ko) 2007-02-27

Similar Documents

Publication Publication Date Title
JP3595853B2 (ja) プラズマcvd成膜装置
KR101094982B1 (ko) 플라즈마 에칭 처리 장치 및 플라즈마 에칭 처리 방법
US5015330A (en) Film forming method and film forming device
KR101336446B1 (ko) 기판 에지로부터의 가스 주입을 튜닝하는 프로세스
KR100861564B1 (ko) 반도체 기판 지지 장치
US7829159B2 (en) Method of forming organosilicon oxide film and multilayer resist structure
JP2014060440A (ja) べベル端部エッチングプラズマチャンバにおける端部除外制御のためのガス調整
JP3946640B2 (ja) プラズマ処理装置およびプラズマ処理方法
JPH0456770A (ja) プラズマcvd装置のクリーニング方法
JPH05320891A (ja) スパッタリング装置
JP7285152B2 (ja) プラズマ処理装置
JPH0610140A (ja) 薄膜堆積装置
JPH11312672A (ja) プラズマcvd装置及び成膜方法並びにクリーニング方法
WO2019235282A1 (ja) 基板処理装置およびシャワーヘッド
CN110846636A (zh) 用于处理腔室的涂覆材料
WO2011105163A1 (ja) プラズマ成膜装置及び方法
US5897711A (en) Method and apparatus for improving refractive index of dielectric films
TWI797833B (zh) 用於使用電容耦合電漿的氧化矽間隙填充的沉積方法
JP7210647B2 (ja) 薄膜蒸着方法及びこれを用いた半導体素子の製造方法
WO2023008295A1 (ja) Iii族窒化物半導体の製造方法
JP2001185494A (ja) マグネトロンプラズマ処理装置及びプラズマ処理方法
JPH08139037A (ja) 気相反応装置
JPH0620978A (ja) グロー放電方法及びグロー放電装置
KR20230081315A (ko) 기판 처리 장치 및 기판 처리 방법
KR100689655B1 (ko) 테트라에틸올쏘실리케이트를 이용한 플라즈마 씨브이디증착 방법

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040721

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040819

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080917

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080917

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090917

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100917

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100917

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110917

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120917

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130917

Year of fee payment: 9

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term