TWI507561B - 結合進氣和排氣的噴灑頭 - Google Patents

結合進氣和排氣的噴灑頭 Download PDF

Info

Publication number
TWI507561B
TWI507561B TW100127734A TW100127734A TWI507561B TW I507561 B TWI507561 B TW I507561B TW 100127734 A TW100127734 A TW 100127734A TW 100127734 A TW100127734 A TW 100127734A TW I507561 B TWI507561 B TW I507561B
Authority
TW
Taiwan
Prior art keywords
sprinkler head
intake
exhaust
exhaust port
central
Prior art date
Application number
TW100127734A
Other languages
English (en)
Other versions
TW201224195A (en
Inventor
Chih Yung Huang
Ching Chiun Wang
Chen Der Tsai
Wen Tung Hsu
Fu Ching Tung
Chien Chih Chen
Yi Tsung Pan
Chien Jen Sun
Original Assignee
Ind Tech Res Inst
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ind Tech Res Inst filed Critical Ind Tech Res Inst
Priority to TW100127734A priority Critical patent/TWI507561B/zh
Priority to US13/211,309 priority patent/US20120145078A1/en
Priority to CN2011103056128A priority patent/CN102534557A/zh
Publication of TW201224195A publication Critical patent/TW201224195A/zh
Application granted granted Critical
Publication of TWI507561B publication Critical patent/TWI507561B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps

Description

結合進氣和排氣的噴灑頭
本發明是有關於一種噴灑頭(showerhead)的設計,且特別是有關於一種結合進氣(intake)和排氣(exhaust)的噴灑頭。
有機金屬化學氣相沉積法(metal organic chemical vapor deposition,MOCVD)是目前在晶圓上進行磊晶製程的一種方法,目前MOCVD的進氣設備主要是以噴灑頭模式為主。噴灑頭的設計卻常有中央氣體濃度滯流現象發生,造成此區域不能使用之外,還會影響到晶圓邊緣波長均勻度(wavelength uniformity)分佈。由於上述現象為小尺寸腔體現象,將來要朝大尺寸發展時,均勻度的控制更為不易,不止會有中間滯流區的問題,還會因為氣體流動的路徑變長,造成越靠近抽氣的位置會有明顯濃度較低情況。
因此,近來有針對改善氣流場的均勻度之專利,如美國專利US 7,138,336 B2或是美國專利US 7,641,939 B2。前述專利都是採取噴灑頭進氣及腔體側邊抽氣的方式控制氣體進出。
本發明提供一種結合進氣和排氣的噴灑頭,可以解決氣體滯流在噴灑頭的問題之外,還可平衡基板表面的濃度分佈並能先將反應過程中的副產物排掉。
本發明提出一種結合進氣和排氣的噴灑頭,適於進行氣體的噴灑。這樣的噴灑頭至少包括一噴灑頭主體,其具有氣體作用表面、多數個進氣孔以及一中央排氣口。其中數個進氣孔位於氣體作用表面且中央排氣口位於氣體作用表面的中央。
在本發明之一實施例中,上述中央排氣口之面積與進氣孔之面積的比率為0.03~0.04。
在本發明之一實施例中,上述中央排氣口例如是由數個排氣孔所構成。
在本發明之一實施例中,上述中央排氣口之抽氣端向噴灑頭主體內凹。
在本發明之一實施例中,上述中央排氣口的排氣方向與進氣孔的進氣方向相互平行。
在本發明之一實施例中,上述中央排氣口之面積與該些進氣孔之面積的比率小於0.03。
在本發明之一實施例中,上述噴灑頭主體更包括至少一環狀排氣口,且環狀排氣口是以中央排氣口為中心呈同心圓狀地配置。
在本發明之一實施例中,上述環狀排氣口例如是由多數個排氣孔所構成。
在本發明之一實施例中,上述環狀排氣口之抽氣端向噴灑頭主體內凹。
在本發明之一實施例中,上述環狀排氣口的排氣方向與進氣孔的進氣方向相互平行。
在本發明之一實施例中,上述結合進氣和排氣的噴灑頭還可包括至少一流量計,用以控制中央排氣口以及/或是環狀排氣口的排氣量。
在本發明之一實施例中,上述噴灑頭是用於將氣體噴灑至多數個基板上。
在本發明之一實施例中,上述中央排氣口與基板的位置錯開配置。
在本發明之一實施例中,上述環狀排氣口與基板的位置錯開配置。
在本發明之一實施例中,上述結合進氣和排氣的噴灑頭還可包括一升降機構,用以調整基板與噴灑頭主體的距離。
在本發明之一實施例中,上述噴灑頭主體的面積大於所有基板的總面積。
基於上述,本發明將進氣與排氣同時設計在噴灑頭上,以區域性的進氣與排氣之噴灑技術抽離腔體中間高濃度的氣體,達到腔體中央與旁邊的濃度一致,同時可將反應產生的副產物先行抽離,以免在腔體滯留時間過久而影響反應結果,譬如成長出較差品質的磊晶。
為讓本發明之上述特徵能更明顯易懂,下文特舉實施例,並配合所附圖式作詳細說明如下。
圖1是依照一實施例所繪示的一種結合進氣和排氣的噴灑頭的3D視圖。
請參照圖1,本實施例之結合進氣和排氣的噴灑頭100至少包括一噴灑頭主體102,其具有氣體作用表面102a。數個進氣孔104配置在氣體作用表面102a上。在本實施例中,噴灑頭主體102包括一中央排氣口106,配置於氣體作用表面102a的中央,其中中央排氣口106例如是由數個排氣孔108所構成。這種噴灑頭100可以在如真空鍍膜或與其類似的製程中噴灑氣體。
圖2A是依照另一實施例所繪示的一種結合進氣和排氣的噴灑頭的正視圖。圖2B是圖2A之B-B線段的剖面示意圖。
請參照圖2A,本實施例的結合進氣和排氣的噴灑頭200至少包括一噴灑頭主體202。圖2A繪示了噴灑頭主體202的氣體作用表面。噴灑頭主體202具有多數個進氣孔204以及一配置於氣體作用表面中央的中央排氣口206。中央排氣口206例如是由數個排氣孔208所構成。
請參照圖2A與2B,噴灑頭主體202可將氣體噴灑至多數個基板210上,且適用於真空鍍膜或與其類似的製程。中央排氣口206例如與基板210錯開配置。此外,圖2A與圖2B中的箭頭代表氣體流的方向。亦即,中央排氣口206的排氣方向例如與多數個進氣孔204的進氣方向相互平行。
在本實施例中,中央排氣口206之面積與進氣孔204之面積的比率譬如0.03~0.04。此外,中央排氣口206之抽氣端206a可設計成向噴灑頭主體202內凹,以避免抽氣時的氣體與進氣孔204通入的氣體混流。換句話說,中央排氣口206之抽氣端206a的位置如果比進氣孔204的出口更接近基板210,則有可能影響中央排氣口206的效能。
在本實施例中,還可設置至少一流量計212,用以控制中央排氣口206的排氣量。中央排氣口206的排氣量應小於進氣孔204通入的氣體流量。同時,反應腔體216的內壓應保持一致。另外,噴灑頭200還可包括一升降機構214,用以調整基板210與噴灑頭主體202的距離。而且,上述噴灑頭主體202的面積譬如大於所有基板210的總面積,以利鍍膜之類的製程。
此外,設置在反應腔體216內的噴灑頭200除了使用中央排氣口206來使反應腔體216中間與旁邊的氣體濃度一致,同時還會在反應腔體216內設計側抽氣口218之類的抽氣口,來進行主要的抽氣動作。
圖3A是噴灑頭的中央排氣口的面積與進氣孔的面積比率小於0.03的平面示意圖。
請參照圖3A,本實施例之結合進氣和排氣的噴灑頭300與上一實施例的噴灑頭200大致相似。噴灑頭300包括噴灑頭主體302,其具有多數個進氣孔304以及由多數個排氣孔308構成的中央排氣口306,均配置於噴灑頭300的氣體作用表面上。然而,本實施例的中央排氣口306之面積與進氣孔304之面積的比率小於0.03,中央排氣口306的排氣量可能不足以即時排除氣體副產物。因此,如圖3B所示,另外提出一種結合進氣與排氣的噴灑頭的實施例。
圖3B是依照又一實施例之一種結合進氣和排氣的噴灑頭的正視圖。圖4是圖3B之B’-B’線段的剖面示意圖。
請參照圖3B與圖4,本實施例的結合進氣與排氣的噴灑頭300與圖3A中的噴灑頭300相似。圖3A與圖3B的差異在於,圖3B中,結合進氣與排氣的噴灑頭30額外具有至少一環狀排氣口310,位於噴灑頭主體302中。環狀排氣口310是以中央排氣口306為中心,呈同心圓狀地配置。其中,環狀排氣口310例如是由多數個第二排氣孔312所構成。第一排氣孔308與第二排氣孔312的分布與大小均可依所需作變化。在一實施例中,中央排氣口306與環狀排氣口310均與基板400的位置錯開配置,如圖4所示。這種噴灑頭300適於對多個基板400進行大面積的氣體噴灑,尤其適合大面積真空鍍膜及與其相似的製程。圖4中的箭頭代表氣體流動的方向,亦即,中央排氣口306的排氣方向例如與進氣孔304的進氣方向相互平行,且環狀排氣口310的排氣方向例如與進氣孔304的進氣方向相互平行。
請參照圖4,在本實施例中,中央排氣口306之抽氣端306a與環狀排氣口310之抽氣端310a都設計成向噴灑頭主體302內凹,以避免抽氣時的氣體與進氣孔304通入的氣體混流。另外,噴灑頭300還可另設置流量計402與404,以分別控制中央排氣口306以及環狀排氣口310的排氣量。
在本實施例中,噴灑頭300更包括一升降機構406,用以調整基板400與噴灑頭主體302的距離。而且,上述噴灑頭主體302的面積譬如大於所有基板400的總面積,以利鍍膜之類的製程。另外,反應腔體408內還包括側抽氣口410之類的抽氣裝置。
綜上所述,本發明在噴灑頭上同時具有進氣與排氣之功能,所以能藉由區域性的進氣與排氣之噴灑技術,達到腔體中央與旁邊的濃度一致之效果。本發明還可將反應產生的副產物先行抽離,以免副產物在腔體滯留時間過久而影響反應結果,譬如真空鍍膜時成長出較差品質的磊晶。此外,本發明之噴灑頭還有構造簡單、加工成本低以及易於維修等優點。
雖然本發明已以實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作些許之更動與潤飾,故本發明之保護範圍當視後附之申請專利範圍所界定者為準。
100、200、300...噴灑頭
102、202、302...噴灑頭主體
102a...氣體作用表面
104、204、304...進氣孔
106、206、306...中央排氣口
206a、306a、310a...抽氣端
108、208...排氣孔
210、400...基板
212、402、404...流量計
214、406...升降機構
216、408...反應腔體
218、410...側抽氣口
308...第一排氣孔
310...環狀排氣口
312...第二排氣孔
圖1是依照一實施例之一種結合進氣和排氣的噴灑頭的3D視圖。
圖2A是依照另一實施例之一種結合進氣和排氣的噴灑頭的正視圖。
圖2B是圖2A之B-B線段的剖面示意圖。
圖3A是噴灑頭的中央排氣口的面積與進氣孔的面積比率小於0.03的平面示意圖。
圖3B是依照又一實施例之一種結合進氣和排氣的噴灑頭的正視圖。
圖4是圖3B之B’-B’線段的剖面示意圖。
100...噴灑頭
102...噴灑頭主體
102a...氣體作用表面
104...進氣孔
106...中央排氣口
108...排氣孔

Claims (17)

  1. 一種結合進氣和排氣的噴灑頭,適於進行氣體的噴灑,該噴灑頭至少包括一噴灑頭主體,其特徵在於:該噴灑頭主體具有一氣體作用表面、位於該氣體作用表面上的多數個進氣孔以及位於該氣體作用表面的中心位置的一中央排氣口,其中該中央排氣口之抽氣端向該噴灑頭主體內凹。
  2. 如申請專利範圍第1項所述之結合進氣和排氣的噴灑頭,其中該中央排氣口之面積與該些進氣孔之面積的比率為0.03~0.04。
  3. 如申請專利範圍第1項所述之結合進氣和排氣的噴灑頭,其中該中央排氣口包括由多數個排氣孔所構成。
  4. 如申請專利範圍第1項所述之結合進氣和排氣的噴灑頭,其中該中央排氣口的排氣方向與該些進氣孔的進氣方向相互平行。
  5. 如申請專利範圍第1項所述之結合進氣和排氣的噴灑頭,其中該中央排氣口之面積與該些進氣孔之面積的比率小於0.03。
  6. 如申請專利範圍第5項所述之結合進氣和排氣的噴灑頭,其中該噴灑頭主體更包括至少一環狀排氣口,該環狀排氣口是以該中央排氣口為中心呈同心圓狀地配置。
  7. 如申請專利範圍第6項所述之結合進氣和排氣的噴灑頭,其中該環狀排氣口包括由多數個排氣孔所構成。
  8. 如申請專利範圍第6項所述之結合進氣和排氣的噴 灑頭,其中該環狀排氣口之抽氣端向該噴灑頭主體內凹。
  9. 如申請專利範圍第6項所述之結合進氣與排氣的噴灑頭,其中該環狀排氣口的排氣方向與該些進氣孔的進氣方向相互平行。
  10. 如申請專利範圍第6項所述之結合進氣和排氣的噴灑頭,更包括至少一流量計,用以控制該中央排氣口或該環狀排氣口的排氣量。
  11. 如申請專利範圍第6項所述之結合進氣和排氣的噴灑頭,其中該噴灑頭是用於將氣體噴灑至多數個基板上。
  12. 如申請專利範圍第11項所述之結合進氣和排氣的噴灑頭,其中該環狀排氣口與該些基板的位置錯開配置。
  13. 如申請專利範圍第1項所述之結合進氣和排氣的噴灑頭,更包括至少一流量計,用以控制中央排氣口的排氣量。
  14. 如申請專利範圍第1項所述之結合進氣和排氣的噴灑頭,其中該噴灑頭是用於將氣體噴灑至多數個基板上。
  15. 如申請專利範圍第14項所述之結合進氣和排氣的噴灑頭,其中該中央排氣口與該些基板的位置錯開配置。
  16. 如申請專利範圍第11或14項所述之結合進氣和排氣的噴灑頭,更包括一升降機構,用以調整該些基板與該噴灑頭主體的距離。
  17. 如申請專利範圍第11或14項所述之結合進氣和排氣的噴灑頭,其中該噴灑頭主體的面積大於該些基板的總面積。
TW100127734A 2010-12-10 2011-08-04 結合進氣和排氣的噴灑頭 TWI507561B (zh)

Priority Applications (3)

Application Number Priority Date Filing Date Title
TW100127734A TWI507561B (zh) 2010-12-10 2011-08-04 結合進氣和排氣的噴灑頭
US13/211,309 US20120145078A1 (en) 2010-12-10 2011-08-17 Showerhead integrating intake and exhaust
CN2011103056128A CN102534557A (zh) 2010-12-10 2011-10-11 结合进气和排气的喷头

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
TW99143375 2010-12-10
TW100127734A TWI507561B (zh) 2010-12-10 2011-08-04 結合進氣和排氣的噴灑頭

Publications (2)

Publication Number Publication Date
TW201224195A TW201224195A (en) 2012-06-16
TWI507561B true TWI507561B (zh) 2015-11-11

Family

ID=46198033

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100127734A TWI507561B (zh) 2010-12-10 2011-08-04 結合進氣和排氣的噴灑頭

Country Status (3)

Country Link
US (1) US20120145078A1 (zh)
CN (1) CN102534557A (zh)
TW (1) TWI507561B (zh)

Families Citing this family (218)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US9982340B2 (en) * 2012-04-04 2018-05-29 Taiwan Semiconductor Manufacturing Co. Ltd. Shower head apparatus and method for controlling plasma or gas distribution
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103789747B (zh) * 2012-10-26 2016-03-09 中微半导体设备(上海)有限公司 一种气体喷淋头及制作该气体喷淋头的方法
TWI480417B (zh) * 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN103924217A (zh) * 2014-04-17 2014-07-16 京东方科技集团股份有限公司 化学气相沉积设备
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN106609362B (zh) * 2015-10-27 2020-12-01 奥塔装置公司 用于半导体化学气相沉积反应器的平铺式喷头
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN106498368B (zh) * 2016-11-21 2019-04-23 南昌大学 一种用于mocvd设备的喷淋头
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN106811736B (zh) * 2016-12-27 2019-03-05 南昌大学 一种化学气相沉积装置
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202133365A (zh) * 2019-09-22 2021-09-01 美商應用材料股份有限公司 使用具有可調式泵的處理腔室蓋的ald循環時間縮減
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2021257773A1 (en) * 2020-06-17 2021-12-23 Applied Materials, Inc. High temperature chemical vapor deposition lid
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US20220186367A1 (en) * 2020-12-13 2022-06-16 Applied Materials, Inc. Deposition Apparatus and Methods Using Staggered Pumping Locations
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090229754A1 (en) * 2008-03-14 2009-09-17 Tokyo Electron Limited Shower head and substrate processing apparatus
US20100193471A1 (en) * 2005-09-23 2010-08-05 Tokyo Electron Limited Method and system for controlling radical distribution

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
CN1186873A (zh) * 1996-11-26 1998-07-08 西门子公司 带多个气体入口和独立质流控制回路的反应室的分布板
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100193471A1 (en) * 2005-09-23 2010-08-05 Tokyo Electron Limited Method and system for controlling radical distribution
US20090229754A1 (en) * 2008-03-14 2009-09-17 Tokyo Electron Limited Shower head and substrate processing apparatus

Also Published As

Publication number Publication date
US20120145078A1 (en) 2012-06-14
TW201224195A (en) 2012-06-16
CN102534557A (zh) 2012-07-04

Similar Documents

Publication Publication Date Title
TWI507561B (zh) 結合進氣和排氣的噴灑頭
TWI382488B (zh) 適合用於基材處理室的氣流等化板
TWI480417B (zh) 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
TWI603782B (zh) 基板處理系統
US10770269B2 (en) Apparatus and methods for reducing particles in semiconductor process chambers
TWI574306B (zh) Gas growth device
TW201715628A (zh) 用於形成膜堆疊的雙通道噴淋頭
US10161035B2 (en) Apparatus and method for purging gaseous compounds
CN110724938A (zh) 喷头设计
US20140306027A1 (en) Showerhead of a mocvd reactor with large diameter
WO2019015388A1 (zh) 一种等离子体刻蚀系统的喷淋头
CN101179023A (zh) 气体分布控制系统及多晶硅栅极刻蚀与硅片浅沟槽隔离刻蚀的方法
CN105200395B (zh) 用于mocvd设备的进气及冷却装置
TWI605149B (zh) Shower head and plasma processing device
US11952660B2 (en) Semiconductor processing chambers and methods for cleaning the same
TWI618111B (zh) 電漿蝕刻腔體的氣體側噴嘴與電漿反應裝置
CN115863212A (zh) 用于气体分配的系统和设备
US6828246B2 (en) Gas delivering device
TWM430479U (en) Gas showerhead
KR20190119152A (ko) 유동성 cvd를 위한 확산기 설계
TW202123284A (zh) 等離子體處理設備及其氣體擋板結構、等離子體處理方法
KR20160093392A (ko) 원자층 증착장치
CN218372508U (zh) 一种气体分配装置
CN202281042U (zh) 外延设备的工艺管路系统
CN112863982A (zh) 侧向扰流式感应耦合等离子体蚀刻机的制造方法及其结构