KR101094982B1 - 플라즈마 에칭 처리 장치 및 플라즈마 에칭 처리 방법 - Google Patents

플라즈마 에칭 처리 장치 및 플라즈마 에칭 처리 방법 Download PDF

Info

Publication number
KR101094982B1
KR101094982B1 KR1020107019000A KR20107019000A KR101094982B1 KR 101094982 B1 KR101094982 B1 KR 101094982B1 KR 1020107019000 A KR1020107019000 A KR 1020107019000A KR 20107019000 A KR20107019000 A KR 20107019000A KR 101094982 B1 KR101094982 B1 KR 101094982B1
Authority
KR
South Korea
Prior art keywords
substrate
region
processed
reaction gas
held
Prior art date
Application number
KR1020107019000A
Other languages
English (en)
Other versions
KR20100105787A (ko
Inventor
나오키 마츠모토
카즈토 다카이
레이카 코
노부유키 오카야마
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20100105787A publication Critical patent/KR20100105787A/ko
Application granted granted Critical
Publication of KR101094982B1 publication Critical patent/KR101094982B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Abstract

플라즈마 에칭 처리 장치(11)는, 그 위에 반도체 기판(W)을 보지하는 보지대(14)와, 보지대(14)에 보지된 반도체 기판(W)의 중앙부의 영역을 가열하는 제 1 히터(18a)와, 보지대(14)에 보지된 반도체 기판(W)의 중앙부의 주변에 위치하는 단부의 영역을 가열하는 제 2 히터(18b)와, 보지대(14)에 보지된 반도체 기판(W)의 중앙부의 영역을 향하여 플라즈마 처리용의 반응 가스를 공급하는 반응 가스 공급부(13)와, 보지대(14)에 보지된 반도체 기판(W)의 중앙부 및 단부의 영역의 온도를 상이하게 하도록 제 1 및 제 2 히터(18a, 18b)를 제어하여 반도체 기판(W)의 플라즈마 에칭 처리를 행하는 제어부(20)를 구비한다.

Description

플라즈마 에칭 처리 장치 및 플라즈마 에칭 처리 방법{PLASMA ETCHING APPARATUS AND PLASMA ETCHING METHOD}
본 발명은, 플라즈마 에칭 처리 장치 및 플라즈마 에칭 처리 방법에 관한 것으로, 특히 마이크로파를 플라즈마원으로 하여 플라즈마를 발생시키는 플라즈마 에칭 처리 장치 및 플라즈마 에칭 처리 방법에 관한 것이다.
LSI(Large Scale Integrated circuit) 등의 반도체 장치는, 피처리 기판인 반도체 기판(웨이퍼)에 에칭 또는 CVD(Chemical Vapor Deposition), 스퍼터링 등의 복수의 처리를 실시하여 제조된다. 에칭 또는 CVD, 스퍼터링 등의 처리에 대해서는, 그 에너지 공급원으로서 플라즈마를 이용한 처리 방법, 즉 플라즈마 에칭 또는 플라즈마 CVD, 플라즈마 스퍼터링 등이 있다.
여기서, 플라즈마의 발생원으로서 마이크로파를 이용한 플라즈마 처리 장치가 일본특허공개공보 2005-100931 호에 개시되어 있다. 일본특허공개공보 2005-100931 호에 따르면, 플라즈마 처리 장치에 설치된 천판(유전체판)의 하면측에는 테이퍼 형상의 볼록부 또는 오목부가 설치되어 있다. 마이크로파 발생기에 의해 발생된 마이크로파에 의해, 천판의 하면측의 테이퍼 형상의 볼록부 또는 오목부에서, 전계가 최적인 공진 영역을 형성하여 챔버(처리 용기) 내에 안정된 플라즈마를 발생시키고, 상기한 에칭 처리 등을 행하는 것으로 하고 있다.
또한, 처리 대상이 되는 반도체 기판의 온도를 균일하게 유지하는 방법이 일본특허공개공보 평 11-121385 호에 개시되어 있다. 일본특허공개공보 평 11-121385 호에 따르면, 서셉터를 가열하는 히터 블록은 적어도 3 개 이상의 레지스터 블록을 구비하고 있고, 각 레지스터 블록의 온도를 제어함으로써 서셉터의 온도를 단시간에 균일하게 유지하고, 이에 따라 반도체 기판의 온도를 균일하게 유지하는 것으로 하고 있다.
여기서, 피처리 기판에 대하여 에칭 처리를 행할 때에, 피처리 기판의 중앙부측을 향하여 반응 가스를 공급하는 센터 가스 도입 방식을 채용하는 경우가 있다. 센터 가스 도입 방식에서, 에칭에 이용되는 반응 가스는 먼저 피처리 기판의 중앙부측으로 공급된다. 그 후, 중앙부측의 영역과 중앙부의 주변에 위치하는 단부(端部)측의 영역에서 소정의 유량비가 되도록 나뉘어진 반응 가스 중, 단부측의 에칭에 이용되는 반응 가스가 중앙부측으로부터 피처리 기판의 단부측으로 흘러 단부측의 에칭 처리를 행한다. 이와 같이 하여 피처리 기판 전체의 에칭 처리를 행한다.
이러한 센터 가스 도입 방식에서 통상의 에칭 처리를 행했을 경우, 피처리 기판의 중앙부와 단부에서 CD(Critical Dimension) 바이어스가 상이해진다.
여기서, CD 바이어스에 대하여 간단히 설명한다. 도 11은 에칭 처리 전의 피처리 기판(101)의 일부를 도시한 단면도이다. 도 11을 참조하여 피처리 기판(101)은 박층(102)을 덮도록 박층(102) 상에 박층(103)이 형성되어 있고, 패터닝에 의한 폭(x)의 박층(104)이 박층(103) 상에 형성되어 있다. 여기서, 피처리 기판(101)에 에칭 처리를 행하여 박층(103)을 제거할 경우, 도 12에 도시한 바와 같이 패터닝에 의해 형성한 박층(104) 및 그 하층에 위치하는 박층(103)의 폭(y)이 에칭에 의해 짧아진다. 이 에칭 전후의 폭의 차이(y-x)가 CD 바이어스이다.
센터 가스 도입 방식의 플라즈마 에칭에 따르면, 피처리 기판(101)의 중앙부측에서는 반응 가스만이 적극적으로 공급되지만, 피처리 기판(101)의 단부측에서는 중앙부측으로부터 흘러나온 반응 가스에 더하여, 피처리 기판(101)의 중앙부측의 에칭 처리에 의해 발생한 반응 생성물의 가스도 단부측으로 흘러 가게 된다. 여기서 예를 들면, 반응 가스로서 HBr / Ar / O2와 같은 혼합 가스를 이용하여 폴리 실리콘층을 에칭할 경우, SiBrO와 같은 부착성이 높고 쉽게 휘발되지 않는 반응 생성물이 생성된다. 그러면, 도 13에 도시한 바와 같이, 에칭 처리 대상이 되는 박층(103)의 측벽 부분에 반응 생성물(105)이 부착하여 퇴적되어 박층(103)의 폭이 넓어진다. 이러한 현상에 의해, 피처리 기판(101)의 중앙부에서의 CD 바이어스와 단부에서의 CD 바이어스가 상이해진다.
도 14는, 종래의 센터 가스 도입 방식의 플라즈마 에칭 처리 장치에 의해 에칭 처리한 피처리 기판의 각 위치에서의 CD 바이어스의 일례를 나타낸 그래프이다. 또한, 가로축은 피처리 기판의 중심 0으로부터의 거리를 나타내고, 세로축은 CD 바이어스의 값이다. 도 14를 참조하여 피처리 기판의 중앙부, 즉 0 mm 부근의 영역에서의 CD 바이어스는 - 12 nm 정도인데 반해, 단부, 즉 ± 125 mm 부근의 영역에서의 CD 바이어스는 - 5 nm 또는 그 이하이며, 중앙부측으로부터 단부측을 향하여 서서히 CD 바이어스가 낮아지고 있다. 이와 같이, 중앙부측과 단부측의 CD 바이어스가 상이하면, 피처리 기판 내에서 에칭에 의해 형성되는 형상을 균일한 형상으로 할 수 없다. 이 경우, 일본특허공개공보 2005-100931 호와 같이, 피처리 기판의 각 부를 균일하게 유지하도록 제어하더라도 동일한 경향이다. 또한, 중앙부측으로 공급하는 반응 가스와 단부측으로 공급되는 반응 가스의 비율을 제어하더라도, 주로 중앙부측의 CD 바이어스의 값을 제어하는 것이 가능할 뿐이다.
본 발명의 목적은, 에칭 처리 시에서의 피처리 기판의 CD 바이어스를 적절히 제어할 수 있는 플라즈마 에칭 처리 장치를 제공하는 것이다.
본 발명의 다른 목적은, 에칭 처리 시에서의 피처리 기판의 CD 바이어스를 적절히 제어할 수 있는 플라즈마 에칭 처리 방법을 제공하는 것이다.
본 발명에 따른 플라즈마 에칭 처리 장치는, 그 내부에서 피처리 기판에 플라즈마 처리를 행하는 처리 용기와, 처리 용기 내에 배치되고 그 위에 피처리 기판을 보지하는 보지대(保持臺)와, 보지대에 보지된 피처리 기판의 중앙부의 영역을 가열하는 제 1 히터와, 보지대에 보지된 피처리 기판의 중앙부의 주변에 위치하는 단부의 영역을 가열하는 제 2 히터와, 보지대에 보지된 피처리 기판의 중앙부의 영역을 향하여 플라즈마 처리용의 반응 가스를 공급하는 반응 가스 공급부와, 보지대에 보지된 피처리 기판의 중앙부 및 단부의 영역의 온도를 상이하게 하도록 제 1 및 제 2 히터를 제어하여 피처리 기판의 플라즈마 에칭 처리를 행하는 제어 수단을 구비한다.
CD 바이어스는 피처리 기판의 온도 조건에 따라서도 좌우된다. 따라서, 이러한 플라즈마 에칭 처리 장치에 따르면, 피처리 기판의 중앙부측을 향하여 반응 가스를 공급하여 에칭 처리를 행할 때에, 피처리 기판의 중앙부측과 단부측의 온도를 상이하게 하여, 중앙부측의 CD 바이어스와 단부측의 CD 바이어스를 맞출 수 있다. 따라서, 에칭 처리 시에서의 피처리 기판의 CD 바이어스를 적절히 제어할 수 있다.
바람직하게는, 제어 수단은 반응 가스 공급부에 의한 반응 가스에 따라 제 1 및 제 2 히터를 제어한다.
더욱 바람직한 일실시예로서, 제어 수단은 단부의 영역의 온도가 중앙부의 영역의 온도보다 높아지도록 제 1 및 제 2 히터를 제어한다.
여기서, 피처리 기판은 에칭 대상이 되는 폴리 실리콘층을 가지고, 제어 수단은 폴리 실리콘층의 플라즈마 에칭 처리를 행하도록 해도 좋다.
바람직한 일실시예로서, 제 1 및 제 2 히터는 보지대의 내부에 설치되어 있다. 이렇게 함으로써, 보다 확실하게 반도체 기판의 중앙부 및 단부의 온도를 제어할 수 있다.
또한 바람직한 일실시예로서, 보지대는 원판 형상이며, 제 2 히터는 고리 형상이다. 이렇게 함으로써, 보지대의 형상에 맞추어 보다 적절히 단부의 온도를 제어할 수 있다.
본 발명의 다른 국면에서는, 플라즈마 에칭 처리 방법은, 피처리 기판을 플라즈마 에칭 처리하기 위한 플라즈마 에칭 처리 방법으로서, 처리 용기 내에 설치된 보지대 상에 피처리 기판을 보지시키는 공정과, 보지대에 보지된 피처리 기판의 중앙부의 영역과 중앙부의 주변에 위치하는 단부의 영역의 온도를 상이하게 하여, 보지대에 보지된 피처리 기판의 중앙부의 영역을 향하여 플라즈마 처리용의 반응 가스를 공급하고, 피처리 기판의 플라즈마 에칭 처리를 행하는 공정을 포함한다.
이러한 플라즈마 에칭 처리 방법에 따르면, 피처리 기판의 중앙부측을 향하여 반응 가스를 공급하여 에칭 처리를 행할 때에, 피처리 기판의 중앙부측과 단부측의 온도를 상이하게 하여, 중앙부측의 CD 바이어스와 단부측의 CD 바이어스를 맞출 수 있다. 따라서, 에칭 처리 시에서의 피처리 기판의 CD 바이어스를 적절히 제어할 수 있다.
즉, 이러한 플라즈마 에칭 처리 장치 및 플라즈마 에칭 처리 방법에 따르면, 피처리 기판의 중앙부측을 향하여 반응 가스를 공급하여 에칭 처리를 행할 때에, 피처리 기판의 중앙부측과 단부측의 온도를 상이하게 하여, 중앙부측의 CD 바이어스와 단부측의 CD 바이어스를 맞출 수 있다. 따라서, 에칭 처리 시에서의 피처리 기판의 CD 바이어스를 적절히 제어할 수 있다.
또한 본 발명에 따른 플라즈마 처리 장치는, 그 내부에서 피처리 기판에 플라즈마 처리를 행하는 처리 용기와, 처리 용기 내에 배치되고 그 위에 피처리 기판을 보지하는 보지대와, 보지대에 보지된 피처리 기판의 중앙부의 영역을 가열하는 제 1 히터와, 보지대에 보지된 피처리 기판의 중앙부의 주변에 위치하는 단부의 영역을 가열하는 제 2 히터와, 플라즈마 여기용의 마이크로파를 발생시키는 마이크로파 발생기와, 보지대와 대향하는 위치에 설치되고 마이크로파를 처리 용기 내에 도입하는 유전체판과, 처리 용기 내에 플라즈마 처리용의 반응 가스를 공급하는 반응 가스 공급부와, 보지대에 보지된 피처리 기판의 중앙부 및 단부의 영역의 온도를 상이하게 하도록 제 1 및 제 2 히터를 제어하여 피처리 기판의 플라즈마 에칭 처리를 행하는 제어 수단을 구비한다. 보지대는 그 위에 보지된 피처리 기판의 주위에 배치되는 포커스 링을 포함한다. 반응 가스 공급부는 유전체판의 중앙부에 설치되어 있고, 보지대 상에 보지된 피처리 기판의 중앙 영역을 향하여 직하 방향으로 반응 가스를 공급하는 제 1 반응 가스 공급부와, 보지대 상에 보지된 피처리 기판의 직상 영역을 피한 위치이고 또한 보지대의 직상 영역에 설치되어 있고, 포커스 링을 향하여 직하 방향으로 반응 가스를 공급하는 제 2 반응 가스 공급부를 포함한다.
이러한 구성의 플라즈마 처리 장치는, 피처리 기판의 중앙 영역을 향하여 반응 가스를 공급하는 제 1 반응 가스 공급부와, 포커스 링을 향하여 직하 방향으로 반응 가스를 공급하는 제 2 반응 가스 공급부에 의해 피처리 기판 전체에 균일하게 반응 가스를 공급할 수 있다. 또한, 피처리 기판의 중앙 영역 및 포커스 링을 향하여 공급된 반응 가스들이 머문 영역을 피처리 기판의 직상 영역을 피한 위치로 할 수 있다. 또한, 제 2 반응 가스 공급부에 의해 피처리 기판에 도달하는 플라즈마의 흐름을 차폐할 일도 없다. 따라서, CD 바이어스의 적절한 제어도 포함하여 피처리 기판의 처리에서의 면내 균일성을 향상시킬 수 있다. 또한, 여기서 말하는 직상 영역이란, 피처리 기판의 수직 상방측의 영역을 가리키는데, 엄밀하게 수직 상방측의 영역을 의미하는 것이 아니라 대체로 수직 상방측의 영역을 가리킨다.
바람직하게는, 제 2 반응 가스 공급부는 보지대의 근방에 배치되어 있다.
더욱 바람직하게는, 제 2 반응 가스 공급부는 고리 형상부를 포함하고, 고리 형상부에는 반응 가스를 공급하는 공급홀이 설치되어 있다.
더욱 바람직하게는, 피처리 기판은 원판 형상이며, 고리 형상부는 원환 형상으로서, 고리 형상부의 내경은 피처리 기판의 외경보다 크다.
더욱 바람직하게는, 포커스 링은 원환 형상으로서, 공급홀은 포커스 링의 외경측보다 내경측에 가까운 위치에 설치되어 있다.
본 발명의 또 다른 국면에서, 플라즈마 처리 방법은 피처리 기판을 플라즈마 처리하기 위한 플라즈마 처리 방법으로서, 처리 용기 내에 설치되고 그 위에 피처리 기판을 보지했을 때에 피처리 기판의 주위에 배치되는 포커스 링을 포함한 보지대 상에 피처리 기판을 보지시키는 공정과, 플라즈마 여기용의 마이크로파를 발생시키는 공정과, 유전체판을 이용하여 마이크로파를 처리 용기 내에 도입하는 공정과, 보지대에 보지된 피처리 기판의 중앙부 및 단부의 영역의 온도를 상이하게 하도록 제어하는 공정과, 유전체판의 중앙부로부터 피처리 기판의 중앙 영역을 향하여 직하 방향으로 반응 가스를 공급하고 또한, 보지대 상에 보지된 피처리 기판의 직상 영역을 피한 위치이고 또한 보지대의 직상 영역으로부터 포커스 링을 향하여 직하 방향으로 반응 가스를 공급하는 공정을 포함한다.
즉, 이러한 플라즈마 처리 장치 및 플라즈마 처리 방법에 따르면, 피처리 기판의 중앙 영역을 향하여 반응 가스를 공급하는 제 1 반응 가스 공급부와, 포커스 링을 향하여 직하 방향으로 반응 가스를 공급하는 제 2 반응 가스 공급부에 의해, 피처리 기판 전체에 균일하게 반응 가스를 공급할 수 있다. 또한, 피처리 기판의 중앙 영역 및 포커스 링을 향하여 공급된 반응 가스들이 머문 영역을 피처리 기판 상을 피한 위치로 할 수 있다. 또한, 제 2 반응 가스 공급부에 의해 피처리 기판에 도달하는 플라즈마의 흐름을 차폐할 일도 없다. 따라서, CD 바이어스의 적절한 제어도 포함하여 피처리 기판의 처리에서의 면내 균일성을 향상시킬 수 있다.
도 1은 본 발명의 일실시예에 따른 플라즈마 에칭 처리 장치의 주요부를 도시한 개략 단면도이다.
도 2는 본 발명의 일실시예에 따른 플라즈마 에칭 처리 방법으로 에칭 처리된 반도체 기판의 일부를 도시한 개략 단면도이다.
도 3은 반도체 기판의 중앙부 및 단부(端部)의 온도를 변화시켜 플라즈마 처리했을 경우의 CD 바이어스와 측정 위치의 관계를 나타낸 그래프이다.
도 4는 도 3에 도시한 그래프의 측정 위치를 도시한 도면이다.
도 5는 종래에서, 처리 용기 내로 반응 가스를 공급하는 반응 가스 공급부를 2 개소 설치한 플라즈마 처리 장치의 일부를 도시한 개략 단면도이다.
도 6은 피처리 기판(W)의 직상 영역에 제 2 반응 가스 공급부를 설치한 플라즈마 처리 장치의 일부를 도시한 개략 단면도이며, 도 5에 도시한 단면에 상당한다.
도 7은 본 발명의 다른 실시예에 따른 플라즈마 처리 장치의 주요부를 도시한 개략 단면도이다.
도 8은 도 7에 도시한 플라즈마 처리 장치에 구비된 제 2 반응 가스 공급부에 포함되는 고리 형상부 부근을, 도 7 중의 화살표(VII)의 방향에서 본 도면이다.
도 9는 본 발명의 다른 실시예에 따른 플라즈마 처리 장치에서, 피처리 기판(W)을 성막했을 때의 막두께와 피처리 기판(W)에서의 위치와의 관계를 나타낸 그래프이다.
도 10은 피처리 기판(W)에서의 도 9 중에 나타낸 X 축, Y 축, V 축, W 축을 도시한 도면이다.
도 11은 에칭 처리 전의 반도체 기판의 일부를 도시한 개략 단면도이다.
도 12은 에칭 처리 후의 반도체 기판의 일부를 도시한 개략 단면도이다.
도 13은 에칭 처리에서, 반응 생성물이 퇴적한 반도체 기판의 일부를 도시한 개략 단면도이다.
도 14는 종래의 센터 가스 도입 방식의 플라즈마 에칭 처리 장치에 의해 에칭 처리한 피처리 기판의 각 위치에서의 CD 바이어스의 일례를 나타낸 그래프이다.
이하, 본 발명의 실시예를 도면을 참조하여 설명한다.
도 1은, 본 발명의 일실시예에 따른 플라즈마 에칭 처리 장치의 주요부를 도시한 개략 단면도이다. 또한 이하에 도시한 도면에서는, 지면 상을 상 방향으로 한다.
도 1을 참조하여, 플라즈마 에칭 처리 장치(11)는 센터 가스 도입 방식이다. 플라즈마 에칭 처리 장치(11)는, 그 내부에서 피처리 기판인 반도체 기판(W)에 플라즈마 처리를 행하는 처리 용기(12)와, 반응 가스의 공급구가 되는 인젝터(15)를 포함하고, 반도체 기판(W)의 중앙부측을 향하여 플라즈마 에칭 처리용의 반응 가스를 공급하는 반응 가스 공급부(13)와, 처리 용기(12) 내에 배치되고 그 위에 반도체 기판(W)을 보지하는 원판 형상의 보지대(14)와, 고주파 전원(도시하지 않음) 등으로 구성되어 있고 플라즈마 여기용의 마이크로파를 발생시키는 마이크로파 발생기(도시하지 않음)와, 보지대(14)와 대향하는 위치에 배치되고 마이크로파 발생기에 의해 발생시킨 마이크로파를 처리 용기(12) 내에 도입하는 유전체판(16)과, 플라즈마 에칭 처리 장치(11) 전체를 제어하는 제어부(20)를 구비한다. 제어부(20)는, 반응 가스 공급부(13)에서의 가스 유량, 처리 용기(12) 내의 압력 등, 반도체 기판(W)을 에칭 처리하기 위한 프로세스 조건을 제어한다. 반응 가스 공급부(13)에 의해 공급되는 반응 가스는, 반도체 기판(W)에서 중앙부와 중앙부의 주변에 위치하는 단부(端部)가 소정의 유량비가 되도록 공급된다.
보지대(14)는 처리 용기(12) 내에서 처리 용기(12)의 하부측으로부터 상방으로 연장되는 지지 기둥(19)의 상부에 장착되어 있다. 보지대(14)는 그 위에 재치되는 반도체 기판(W)을 흡착하는 정전 척 구조를 가진다. 또한, 용이한 이해의 관점에서, 보지대(14)의 정전 척 구조에 대해서는 도시를 생략하고 있다. 또한, 보지대(14)에는 바이어스 전압을 부여하는 고주파 전원(17)이 접속되어 있다.
플라즈마 에칭 처리 장치(11)는 진공 펌프 및 배기관(모두 도시하지 않음) 등을 가지고, 감압에 의해 처리 용기(12) 내의 압력을 진공 등, 소정의 압력으로 할 수 있다. 처리 용기(12)의 상부측은 개구되어 있고, 처리 용기(12)의 상부측에 배치되는 유전체판(16) 및 씰링 부재(도시하지 않음)에 의해 처리 용기(12)는 밀봉 가능하도록 구성되어 있다.
플라즈마 에칭 처리 장치(11)는 보지대(14)를 가열하는 제 1 히터(18a) 및 제 2 히터(18b)를 구비한다. 제 1 및 제 2 히터(18a, 18b)는 보지대(14)의 내부에 설치되어 있다. 제 1 히터(18a)는 원판 형상의 보지대(14) 중 중앙부측에 배치되고, 제 2 히터(18b)는 제 1 히터(18a)보다 외주측, 즉 직경 방향 외측에 배치되어 있다. 제 1 히터(18a)는 원판 형상이고 제 2 히터(18b)는 고리 형상이지만, 제 1 히터(18a)에 대해서도 고리 형상이어도 좋다. 제 1 및 제 2 히터(18a, 18b)는, 제어부(20)에 의해 각각 상이한 온도 설정을 할 수 있도록 구성되어 있다. 이와 같이 상이한 온도 제어가 가능한 제 1 및 제 2 히터(18a, 18b)를 구비함으로써, 보지대(14) 상에 보지된 반도체 기판(W)의 중앙부 및 단부를 상이한 온도로 조정할 수 있다.
플라즈마 에칭 처리 장치(11)는, 마이크로파 발생기에 의해 발생된 마이크로파를 처리 장치 내에 도입하는 도파관(21)과, 마이크로파를 전파(傳播)하는 지파판(遲波板)(22)과, 복수 형성된 슬롯홀(23)로부터 마이크로파를 유전체판(16)에 도입하는 박판 원판 형상의 슬롯 안테나(24)를 구비한다. 유전체판(16)은 원판 형상으로서 유전체로 구성되어 있다. 유전체판(16)의 하면측에는, 도입된 마이크로파에 의한 정상파의 발생을 용이하게 하기 위한 테이퍼 형상으로 패인 고리 형상의 오목부(25)가 설치되어 있다.
마이크로파 발생기에 의해 발생시킨 마이크로파는 도파관(21)을 통과하여 지파판(22)으로 전파되어 슬롯 안테나(24)에 설치된 복수의 슬롯홀(23)로부터 유전체판(16)에 도입된다. 그리고, 처리 용기(12) 내에 전계를 발생시켜 플라즈마 착화에 의해 플라즈마를 발생시킨다.
이어서, 상기한 플라즈마 에칭 처리 장치(11)를 이용하여 본 발명의 일실시예에 따른 반도체 기판(W)의 플라즈마 에칭 처리 방법에 대하여 설명한다.
우선, 상기한 바와 같이 보지대(14) 상에 반도체 기판(W)을 보지시킨다. 이어서, 진공 펌프 등에 의한 감압 등을 행한다.
그 후, 제 1 및 제 2 히터(18a, 18b)를 상이한 온도로 설정하여 가열한다. 여기서는, 제 2 히터(18b)의 설정 온도를 제 1 히터(18a)의 설정 온도보다 높아지도록 설정한다. 그러면, 보지대(14) 상에 보지된 반도체 기판(W)의 단부측이 중앙부측보다 높은 온도가 되도록 조정된다.
이어서, 반응 가스 공급부(13)에 설치된 인젝터(15)로부터 반도체 기판(W)의 중앙부측을 향하여 반응 가스를 공급하고 또한, 플라즈마 여기용의 마이크로파를 마이크로파 발생기에 의해 발생시키고, 유전체판(16)을 개재하여 처리 용기(12) 내에 마이크로파를 도입하여 플라즈마를 발생시켜 플라즈마 에칭 처리를 행한다. 처리 용기(12) 내로 공급된 반응 가스는 도 1 중의 화살표로 나타낸 방향을 따라 흐른다. 그리고, 반도체 기판(W) 전체의 에칭 처리를 행한다.
이 경우, 반도체 기판(W)의 단부측의 온도를 중앙부측의 온도보다 높아지도록 상이하게 하고 있기 때문에, 중앙부측의 CD 바이어스와 단부측의 CD 바이어스를 맞출 수 있다. 구체적으로는, 단부측의 CD 바이어스는 상기한 바와 같이 중앙부측의 에칭 처리에 의해 생성되는 반응 생성물의 영향이 있는데, 이 반응 생성물의 부착에 의한 영향을 고려하여 중앙부측의 CD 바이어스가 단부측의 CD 바이어스와 동일해지는 것과 같은 온도 설정으로 함으로써, 중앙부측의 CD 바이어스와 단부측의 CD 바이어스를 맞출 수 있다. 따라서, 반도체 기판(W)의 중앙측과 단부측에서 CD 바이어스를 적절히 제어할 수 있다. 또한 이 온도 설정은, 반응 가스의 유량 또는 반응 가스의 종류 등에 따라 정해지는 것이다.
도 2는, 본 발명의 일실시예에 따른 플라즈마 에칭 처리 방법을 포함한 제조 방법으로 제조된 반도체 기판(31)의 일부를 도시한 개략 단면도이다. 반도체 기판(31)은 플라즈마 에칭 처리 또는 플라즈마 CVD 처리 등, 복수의 처리 공정에 의해 제조되는데, 상기한 플라즈마 에칭 처리는 도 2에 도시한 반도체 기판(31)에서 게이트 산화막(32) 상에 형성된 폴리 실리콘층을 HBr / Ar / O2의 혼합 가스를 이용하여 에칭하여 게이트 전극(33)을 형성하는 경우 등에 적용된다.
도 3은, 반도체 기판(W)의 중앙부 및 단부의 온도를 변화시켜 플라즈마 처리했을 경우의 CD 바이어스와 측정 위치의 관계를 나타낸 그래프이다. 도 3에서, ○ 표시는 중앙부의 온도를 60℃, 단부의 온도를 75℃로 했을 경우, △ 표시는 중앙부의 온도와 단부의 온도를 모두 60℃로 했을 경우, □ 표시는 중앙부의 온도를 60℃, 단부의 온도를 50℃로 했을 경우를 나타낸다. 세로축은 CD 바이어스(-nm), 가로축은 반도체 기판에서의 위치를 나타낸다. 여기서, 반도체 기판(W)에서의 측정 위치에 대해서는 도 4에 도시한 바와 같다. 도 4는, 보지대(14)를 상측에서 봤을 경우의 CD 바이어스의 측정 위치를 도시한 도면이다. 도 4를 참조하여, 보지대(14) 상에 보지된 반도체 기판(W)의 중앙부의 영역을 중심 0으로 하고, 중심 0으로부터 양단부측을 향하여 각각 5 단계로 그 영역을 나누고, 0에서 + 5의 각 포인트에서의 CD 바이어스를 측정하고 있다.
도 3 및 도 4를 참조하여, 단부의 온도를 50℃, 중앙부의 온도를 60℃로 하여 단부의 온도를 중앙부의 온도보다 낮게 했을 경우, 및 단부의 온도를 60℃, 중앙부의 온도를 60℃로 하여 단부와 중앙부의 온도를 동일하게 했을 경우는 모두, 도 3중의 △ 표시, □ 표시로 나타낸 바와 같이 단부로부터 중앙부를 향하여 CD 바이어스는 낮아진다. 그러나, 단부의 온도를 75℃, 중앙부의 온도를 60℃로 하여 단부의 온도를 중앙부의 온도보다 높게 했을 경우, 도 3 중의 ○ 표시로 나타낸 바와 같이, 단부로부터 중앙부를 향하여 CD 바이어스는 거의 동일한 값이다. 즉, 단부와 중앙부를 포함한 반도체 기판(W)의 전체면에서 CD 바이어스는 거의 동일한 값이 되고, 반도체 기판(W)의 면 내에서 CD 바이어스는 거의 동일해진다.
이와 같이, 반도체 기판(W)의 중앙부측을 향하여 반응 가스를 공급하여 에칭 처리를 행할 때에, 반도체 기판(W)의 단부측의 온도를 중앙부측의 온도보다 높게 하여 중앙부측의 CD 바이어스와 단부측의 CD 바이어스를 맞출 수 있다. 따라서, 에칭 처리 시에서의 반도체 기판(W)의 CD 바이어스를 적절히 제어할 수 있다.
이 경우, 제 1 및 제 2 히터(18a, 18b)는 보지대(14)의 내부에 설치되어 있기 때문에, 보다 확실히 보지대(14) 상에 보지된 반도체 기판(W)의 중앙부측 및 단부측의 온도를 제어할 수 있다.
또한, 보지대(14)는 원판 형상으로서, 제 2 히터(18b)는 고리 형상이기 때문에, 보지대(14)의 형상에 맞추어 보다 적절히 단부측의 온도를 제어할 수 있다.
또한 상기의 실시예에서는, 단부측의 온도를 중앙부측의 온도보다 높게 하도록 제어하는 것으로 했지만, 이에 한정되지 않고, 반응 가스의 종류 등 그 외의 조건에 따라 단부측의 온도를 중앙부측의 온도보다 낮게 하도록 제어하여 CD 바이어스를 맞추도록 해도 좋다. 즉, 발생시키는 플라즈마 상태에 따라서는, 단부측과 중앙부측의 온도를 동일한 온도로 하면, 단부측의 CD 바이어스가 중앙부측의 CD 바이어스보다 높아지는 경우가 있다. 이러한 경우에는, 예를 들면 단부측의 온도를 중앙부측의 온도보다 낮아지도록 하여 플라즈마 에칭 처리를 행함으로써, 반도체 기판(W)의 면 내의 각 부에서 CD 바이어스가 균일해지도록 제어할 수 있다.
또한 상기의 실시예에서는, 폴리 실리콘층을 에칭하는 경우에 대하여 설명했지만, 이에 한정되지 않고, 반도체 기판에 형성되는 SiO2층 또는 메탈층 등을 처리 대상으로 하여 에칭하는 경우에 대해서도 적용된다.
또한 에칭 처리에 제공되는 반응 가스로서, 예를 들면 하프늄(Hf)을 포함한 가스 또는, 하프늄 옥사이드계의 가스, 루테늄(Ru)을 포함한 가스를 사용할 때에도 적용된다.
또한 상기의 실시예에서는, 제 1 및 제 2 히터를 보지대의 내부측에 설치하는 것으로 했지만, 이에 한정되지 않고, 보지대의 외부측, 예를 들면 보지대의 외주 부분 또는 하부측에 설치하는 것으로 해도 좋다.
또한 상기의 실시예에서는, 보지대는 원판 형상이었지만, 이에 한정되지 않고 다른 형상의 보지대여도 좋다. 또한 제 1 및 제 2 히터에 대하여, 둘레 방향으로 분단되어 있어도 좋고, 제 1 또는 제 2 히터가 복수의 히터로 구성되어 있어도 좋다. 또한 제 2 히터는, 둘레 방향으로 2 중 이상의 구조여도 좋다. 즉, 예를 들면 제 2 히터는, 제 1 히터의 외주측에 배치되는 복수의 직경이 상이한 히터에 의해 구성되어 있어도 좋다. 또한 제 1 히터에 대해서도, 둘레 방향으로 2 중 이상의 구조여도 좋다.
또한 상기의 실시예에서, 플라즈마 에칭 처리 장치는 피처리 기판이 되는 반도체 기판(W)의 중앙부측을 향해서만 반응 가스를 공급하는 구성을 가지는 것으로 했지만, CD 바이어스의 적절한 제어도 포함하여 피처리 기판이 되는 반도체 기판의 처리에서의 면내 균일성을 향상시키는 관점에서, 플라즈마 에칭 처리 장치는 반도체 기판의 중앙부측 및 단부측을 향하여 반응 가스를 공급하는 구성으로 하는 것을 생각할 수 있다.
여기서, 플라즈마 에칭 처리 장치에서, 반도체 기판의 중앙부측 및 단부측으로 반응 가스를 공급하는 구성으로 하는 것에 대하여 생각한다. 도 5는, 처리 용기 내로 반응 가스를 공급하는 반응 가스 공급부를 2 개소에 설치한 플라즈마 처리 장치(201)의 일부를 도시한 개략 단면도이다. 도 5에 도시한 플라즈마 처리 장치(201)에서는, 원판 형상의 피처리 기판(W)의 중앙 영역으로 반응 가스를 공급하기 위하여, 처리 용기(202) 내에 마이크로파를 도입하는 유전체판(203)의 중앙부에 제 1 반응 가스 공급부(204)를 설치하고 있다. 제 1 반응 가스 공급부(204)에서는, 피처리 기판(W)의 중앙 영역으로 분출하도록 하여 반응 가스를 공급하고 있다. 또한, 피처리 기판(W)의 단부 영역으로 반응 가스를 공급하기 위하여, 처리 용기(202)의 측벽(205)의 상부측에 제 2 반응 가스 공급부(206)를 설치하고 있다. 또한 처리 중인 플라즈마 처리 장치(201)에서는, 도 5 중의 하방측에 위치하는 배기 장치(도시하지 않음)에 의해 하 방향으로 배기되고 있다.
이와 같이 반응 가스 공급부를 2 개소 설치한 플라즈마 처리 장치(201)에서, 처리 용기(202) 내에 점성류의 압력 영역(대략 50 mTorr 이상)에서 반응 가스를 공급했을 경우, 제 2 반응 가스 공급부(206)로부터 공급된 반응 가스는 제 1 반응 가스 공급부(204)의 영향으로 도 5 중의 화살표 X로 나타낸 중앙 방향으로 흐른다. 즉, 제 2 반응 가스 공급부(206)로부터 공급된 반응 가스는, 제 1 반응 가스 공급부(204)로부터 공급된 반응 가스와 동일한 공급로가 된다. 이 때문에, 제 2 반응 가스 공급부(206)로부터 반응 가스를 공급하는 효과는 인정되지 않고, 피처리 기판(W)의 중앙 영역으로 공급된 반응 가스는 피처리 기판(W)의 중앙 영역으로부터 단부 영역을 향하여 방사 형상으로 퍼져, 단부로 향함에 따라 반응 가스가 소비되고 또한 반응 생성물이 증가하여, 피처리 기판(W)의 직경 방향으로 처리 상태에 분포가 생겨, 그 결과 면내의 불균일을 발생시킨다.
한편, 분자류의 압력 영역(대략 50 mTorr 이하)의 경우, 제 2 반응 가스 공급부(206)로부터 공급된 반응 가스는, 배기 장치에 의한 배기에 의해 도 5 중의 화살표 Y로 나타낸 하 방향으로 흐른다. 그러면, 제 2 반응 가스 공급부(206)로부터 공급된 반응 가스는 피처리 기판(W)에 도달하지 않고 배기된다. 이 때문에, 피처리 기판(W)에 도달하는 반응 가스는 제 1 반응 가스 공급부(204)로부터의 공급밖에 없어, 상기와 마찬가지로 피처리 기판(W)의 처리 상태에 면내의 불균일이 발생하게 된다.
이와 같이, 상기한 구성의 플라즈마 처리 장치(201)에서는, 처리 용기(202) 내의 압력 영역을 변경하여 제 2 가스 공급부(206)로부터 공급하는 가스 공급량을 조정하더라도 피처리 기판(W)으로 균일하게 반응 가스를 공급할 수 없으므로, 피처리 기판(W)의 처리에서의 면내 균일성을 확보하는 것이 곤란하다.
여기서, 피처리 기판(W)에 균일하게 반응 가스를 공급하기 위하여 피처리 기판(W)의 직상 영역에 제 2 반응 가스 공급부를 설치했을 경우, 이하의 문제가 발생할 우려가 있다. 도 6은, 이 경우에서의 플라즈마 처리 장치(211)의 일부를 도시한 개략 단면도이며, 도 5에 도시한 단면에 상당한다. 도 6에 도시한 바와 같이, 플라즈마 처리 장치(211)에는 유전체판(212)의 중앙부에 제 1 반응 가스 공급부(213)가 설치되어 있고, 보지대(214)에 보지된 피처리 기판(W)의 직상 영역에 고리 형상의 제 2 반응 가스 공급부(215)가 설치되어 있다. 제 2 반응 가스 공급부(215)에 의해 피처리 기판(W)의 단부 영역으로 반응 가스를 공급하는 것으로 하고 있다.
그러나, 이러한 구성으로 하면, 제 1 반응 가스 공급부(213)로부터 공급된 반응 가스와 제 2 반응 가스 공급부(215)로부터 공급된 반응 가스가, 피처리 기판(W)의 중앙 영역과 단부 영역의 직경 방향의 사이의 영역(216)에서 서로 부딪치게 된다. 도 6 중 영역(216)은 점선으로 도시하고 있다. 그러면, 이 영역(216)에서 반응 가스가 머무는 상태가 발생하여 디포지션(반응 생성물)이 쉽게 체류되게 된다.
또한 도 6에 도시한 바와 같이, 피처리 기판(W)의 직상 영역에 제 2 반응 가스 공급부(215)를 설치하면, 피처리 기판(W) 상에서 플라즈마의 흐름을 차폐하는 차폐물이 존재하게 된다. 이러한 플라즈마 차폐물은 피처리 기판(W) 상에서의 플라즈마의 불균일을 발생시키게 된다.
상기한 바와 같은 디포지션의 체류 및 플라즈마 차폐물의 영향에 의해, 영역(216)에서의 피처리 기판(W)의 에칭 레이트와 중앙 영역 또는 단부 영역에서의 피처리 기판(W)의 에칭 레이트가 상이하여, 피처리 기판(W)의 처리에서의 면내 균일성을 해치게 된다.
따라서, 이러한 문제를 해소하기 위해서는 이하와 같은 구성으로 하는 것이 좋다. 도 7은, 이 경우에서의 플라즈마 에칭 처리 장치의 일부를 도시한 단면도이다. 도 7을 참조하여, 플라즈마 처리 장치(111)는, 그 내부에서 피처리 기판(W)에 플라즈마 처리를 행하는 처리 용기(112)와, 처리 용기(112) 내로 플라즈마 처리용의 반응 가스를 공급하는 반응 가스 공급부(113)와, 그 위에 피처리 기판(W)을 보지하는 원판 형상의 보지대(114)와, 보지대(114)에 보지된 피처리 기판(W)의 중앙부의 영역을 가열하는 제 1 히터(151)와, 보지대(114)에 보지된 피처리 기판(W)의 중앙부의 주변에 위치하는 단부의 영역을 가열하는 제 2 히터(152)와, 플라즈마 여기용의 마이크로파를 발생시키는 마이크로파 발생기(115)와, 보지대(114)와 대향하는 위치에 배치되고 마이크로파 발생기(115)에 의해 발생시킨 마이크로파를 처리 용기(112) 내에 도입하는 유전체판(116)과, 보지대(114)에 보지된 피처리 기판(W)의 중앙부 및 단부의 영역의 온도를 상이하게 하도록 제 1 및 제 2 히터(151, 152)를 제어하여 피처리 기판(W)의 플라즈마 에칭 처리를 행하고 또한, 플라즈마 처리 장치(111) 전체를 제어하는 제어부(도시하지 않음)를 구비한다. 제어부는, 반응 가스 공급부(113)에서의 가스 유량, 처리 용기(112) 내의 압력 등 피처리 기판(W)을 플라즈마 처리하기 위한 프로세스 조건을 제어한다.
처리 용기(112)는, 보지대(114)의 하방측에 위치하는 저부(底部)(117)와, 저부(117)의 외주로부터 상 방향으로 연장되는 측벽(118)을 포함한다. 측벽(118)은 원통 형상이다. 처리 용기(112)의 저부(117)에는 배기용의 배기홀(119)이 형성되어 있다. 처리 용기(112)의 상부측은 개구되어 있고, 처리 용기(112)의 상부측에 배치되는 유전체판(116), 및 유전체판(116)과 처리 용기(112)의 사이에 개재하는 씰링 부재로서의 O 링(120)에 의해 처리 용기(112)는 밀봉 가능하게 구성되어 있다.
매칭(121)을 가지는 마이크로파 발생기(115)는, 모드 변환기(122) 및 도파관(123)을 거쳐 마이크로파를 도입하는 동축 도파관(124)의 상부에 접속되어 있다. 예를 들면, 마이크로파 발생기(115)에서 발생된 TE 모드의 마이크로파는 도파관(123)을 통과하여 모드 변환기(122)에 의해 TEM 모드로 변환되어 동축 도파관(124)를 전파한다. 동축 도파관(124)은 직경 방향 중앙에 설치되는 중심 도체(125)와, 중심 도체(125)의 직경 방향 외측에 설치되는 외주 도체(126)를 포함한다. 중심 도체(125)의 상단부(上端部)는 모드 변환기(122)의 천장 구획벽에 접속되어 있다. 마이크로파 발생기(115)에서 발생시키는 마이크로파의 주파수로서는, 예를 들면 2.45 GHz가 선택된다. 또한 도파관(123)으로서는, 단면(斷面)이 원형 형상인 것 또는 단면이 직사각형 형상인 것이 사용된다.
유전체판(116)은 원판 형상으로서, 유전체로 구성되어 있다. 유전체판(116)의 하방측에는, 도입된 마이크로파에 의한 정상파의 발생을 용이하게 하기 위한 테이퍼 형상으로 오목한 고리 형상의 오목부(127)가 설치되어 있다. 이 오목부(127)에 의해, 유전체판(116)의 하부측에 마이크로파에 의한 플라즈마를 효율적으로 생성할 수 있다. 또한 유전체판(116)의 구체적인 재질로서는, 석영 또는 알루미나 등을 들 수 있다.
또한 플라즈마 처리 장치(111)는, 동축 도파관(124)에 의해 도입된 마이크로파를 전파하는 지파판(128)과, 복수 형성된 슬롯홀(129)로부터 마이크로파를 유전체판(116)에 도입하는 박판 원판 형상의 슬롯판(130)을 구비한다. 마이크로파 발생기(115)에 의해 발생시킨 마이크로파는 동축 도파관(124)을 통과하여 지파판(128)에 전파되고, 슬롯판(130)에 형성된 복수의 슬롯홀(129)로부터 유전체판(116)에 도입된다. 유전체판(116)을 투과한 마이크로파는, 유전체판(116)의 직하에 전계를 발생시켜 처리 용기(112) 내에 플라즈마를 생성시킨다.
보지대(114)는 고주파 전극을 겸하고 있고, 저부(117)로부터 수직 상방으로 연장되는 절연성의 통 형상 지지부(131)에 지지되어 있다. 통 형상 지지부(131)의 외주를 따라 처리 용기(112)의 저부(117)로부터 수직 상방으로 연장되는 도전성의 통 형상 지지부(132)와 처리 용기(112)의 측벽(118)과의 사이에는, 고리 형상의 배기로(133)가 형성된다. 이 배기로(133)의 상부에는 복수의 관통홀이 형성된 고리 형상의 배플판(134)이 장착되어 있다. 배기홀(119)의 하부에는 배기관(135)을 개재하여 배기 장치(136)가 접속되어 있다. 배기 장치(136)는 터보 분자 펌프 등의 진공 펌프를 가지고 있다. 배기 장치(136)에 의해 처리 용기(112) 내를 원하는 진공도까지 감압할 수 있다.
보지대(114)에는 RF 바이어스용의 고주파 전원(137)이 매칭 유닛(138) 및 급전봉(139)을 개재하여 전기적으로 접속되어 있다. 이 고주파 전원(137)은 피처리 기판(W)으로 인입하는 이온의 에너지를 제어하는데 적합한 일정한 주파수, 예를 들면 13.56 MHz의 고주파를 소정의 파워로 출력한다. 매칭 유닛(138)은 고주파 전원(137)측의 임피던스와, 주로 전극, 플라즈마, 처리 용기(112)라고 하는 부하측의 임피던스와의 사이에 정합을 취하기 위한 정합기를 수용하고 있고, 이 정합기 내에 자기 바이어스 생성용의 블로킹 콘덴서가 포함되어 있다.
보지대(114)의 상면에는, 피처리 기판(W)을 정전 흡착력으로 보지하기 위한 정전 척(141)이 설치되어 있다. 또한 정전 척(141)의 직경 방향 외측에는, 피처리 기판(W)의 주위를 고리 형상으로 둘러싸는 포커스 링(142)이 설치되어 있다. 즉, 보지대(114)는 보지대(114) 상에 보지된 피처리 기판(W)의 주위에 배치되는 포커스 링(142)을 포함한다. 포커스 링(142)은 원환 형상이다. 정전 척(141)은 도전막으로 이루어지는 전극(143)을 한쌍의 절연막(144, 145)의 사이에 샌드위치한 것이다. 전극(143)에는 고압의 직류 전원(146)이 스위치(147) 및 피복선(148)을 개재하여 전기적으로 접속되어 있다. 직류 전원(146)으로부터 인가되는 직류 전압에 의해 쿨롱력으로 피처리 기판(W)을 정전 척(141) 상에 흡착 보지할 수 있다.
보지대(114)의 내부에는 제 1 히터(151)와 제 2 히터(152)가 설치되어 있다. 제 1 히터(151)는, 보지대(114)에 보지된 피처리 기판(W)의 중앙부의 영역을 가열하여 피처리 기판(W)의 중앙부를 소정의 온도로 조정한다. 이 경우, 제 1 히터(151)는 직경 방향으로 3 중 구조이다. 제 2 히터(152)는 보지대(114)에 보지된 피처리 기판(W)의 단부의 영역을 가열하여 피처리 기판(W)의 단부를 소정의 온도로 조정한다. 이 경우, 제 2 히터(152)는 직경 방향으로 2 중 구조이다. 제 1 및 제 2 히터(151, 152)에는 각각 칠러 유닛(도시하지 않음)으로부터 배관(도시하지 않음)을 거쳐 소정 온도의 냉매나 전열 가스가 순환 공급된다. 이와 같이 하여, 피처리 기판(W)의 중앙부 및 단부를 각각 상이한 온도가 되도록 제어한다.
이어서, 처리 용기(112) 내로 플라즈마 처리용의 반응 가스를 공급하는 반응 가스 공급부(113)의 구체적인 구성에 대하여 설명한다. 반응 가스 공급부(113)는, 피처리 기판(W)의 중앙 영역을 향하여 직하 방향으로 반응 가스를 공급하는 제 1 반응 가스 공급부(161)와, 포커스 링(142)을 향하여 직하 방향으로 반응 가스를 공급하는 제 2 반응 가스 공급부(162)를 구비한다. 구체적으로는, 제 1 반응 가스 공급부(161)는 도 7 중의 화살표 F1의 방향을 향하여 반응 가스를 공급하고, 제 2 반응 가스 공급부(162)는 도 7 중의 화살표 F2의 방향을 향하여 반응 가스를 공급한다.제 1 반응 가스 공급부(161) 및 제 2 반응 가스 공급부(162)에는, 동일한 반응 가스 공급원(도시하지 않음)으로부터 동일한 종류의 반응 가스가 공급된다.
제 1 반응 가스 공급부(161)는 유전체판(116)의 직경 방향 중앙으로서, 보지대(114)와 대향하는 대향면이 되는 유전체판(116)의 하면(163)보다 유전체판(116)의 내방측으로 후퇴한 위치에 설치되어 있다. 이렇게 함으로써, 제 1 반응 가스 공급부(161)에 대한 전계 집중에 의한 이상 방전을 회피할 수 있다. 유전체판(116)에는 제 1 반응 가스 공급부(161)를 수용하는 수용부(164)가 설치되어 있다. 제 1 반응 가스 공급부(161)와 수용부(164)의 사이에는 O 링(165)이 개재되어 있고, 처리 용기(112) 내의 밀봉성을 확보하는 것으로 하고 있다.
제 1 반응 가스 공급부(161)에는 피처리 기판(W)의 중앙 영역을 향하여 분출하도록 하여 반응 가스를 직하 방향으로 공급하는 복수의 공급홀(166)이 형성되어 있다. 공급홀(166)은 보지대(114)에 대향하는 벽면(167) 중 처리 용기(112) 내에 노출되는 영역에 형성되어 있다. 또한, 벽면(167)은 평평하다. 또한, 제 1 반응 가스 공급부(161)에는 공급홀(166)이 유전체판(116)의 직경 방향 중앙에 위치하도록 설치되어 있다.
플라즈마 처리 장치(111)에는 동축 도파관(124)의 중심 도체(125), 슬롯판(130) 및 유전체판(116)을 각각 관통하여 공급홀(166)에 이르도록 하여 형성된 가스 유로(168)가 형성되어 있다. 중심 도체(125)의 상단(上端)부에 형성된 가스 입구(169)에는, 도중에 개폐 밸브(170) 또는 매스플로우 콘트롤러와 같은 유량 제어기(171) 등이 개설된 가스 공급계(172)가 접속되어 있다. 가스 공급계(172)에 의해 유량 등을 조정하면서 반응 가스를 공급한다.
제 2 반응 가스 공급부(162)는 원환 형상의 고리 형상부(173)를 포함한다. 고리 형상부(173)는 관 형상 부재로 구성되어 있고, 그 내부가 반응 가스의 유로가 된다. 고리 형상부(173)는, 처리 용기(112) 내에서 보지대(114)와 유전체판(116)의 사이에 배치된다. 제 2 반응 가스 공급부(162)는, 보지대(114) 상에 보지된 피처리 기판(W)의 직상 영역을 피한 위치이고 또한 보지대(114)의 직상 영역에 설치되어 있다. 구체적으로는, 원환 형상의 고리 형상부(173)의 내경을 D1로 하고, 피처리 기판(W)의 외경을 D2로 하면, 고리 형상부(173)의 내경(D1)은 피처리 기판(W)의 외경(D2)보다 크게 구성되어 있다. D1의 값으로서 구체적으로는 예를 들면, 400 mm가 선택된다. 또한 고리 형상부(173)는, 포커스 링(142)의 직상 영역에 설치되어 있다. 고리 형상부(173)는, 처리 용기(112)의 측벽(118)으로부터 바로 내경측으로 연장되는 지지부(174)에 의해 지지되어 있다. 지지부(174)는 중공(中空) 형상이다.
고리 형상부(173)에는, 피처리 기판(W)의 외경측에 배치되는 포커스 링(142)을 향하여 분출하도록 하여 반응 가스를 직하 방향으로 공급하는 복수의 공급홀(175)이 형성되어 있다. 공급홀(175)은 둥근홀 형상이다. 공급홀(175)은 고리 형상부(173)의 하부측에 형성되어 있다. 복수의 공급홀(175)은 고리 형상부(173)에서 둘레 방향으로 균일 배치되어 형성되어 있다. 또한 도 8은, 도 7에 도시한 제 2 반응 가스 공급부(162)에 포함되는 고리 형상부(173) 부근을, 도 7 중의 화살표(VII)의 방향에서 본 도면이다. 이 실시예에서, 공급홀(175)은 8 개 형성되어 있다.
플라즈마 처리 장치(111)의 외부로부터 공급된 반응 가스는, 지지부(174)의 내부를 통과하여 고리 형상부(173)에 형성된 공급홀(175)로부터 처리 용기(112) 내로 공급된다. 지지부(174)의 외방측에서도, 상기한 개폐 밸브 또는 유량 제어기가 개설된 가스 공급계(도시하지 않음)가 설치되어 있다.
제 2 반응 가스 공급부(162)는 보지대(114)의 근방에 설치되어 있는 것이 바람직하다. 구체적으로는, 처리 용기(112) 내에서 제 1 반응 가스 공급부(161)로부터 공급되는 반응 가스의 흐름의 영향을 받지 않는 다운 플로우 영역으로 불리는 플라즈마 밀도가 낮은 영역에 고리 형상부(173)가 설치되어 있으면 좋다. 보지대(114)에 보지된 피처리 기판(W)의 상면(177)으로부터 도 7 중의 일점 쇄선으로 도시한 고리 형상부(173)의 상하 방향의 중심(178)까지의 거리(L1)로서는, 예를 들면 200 mm가 선택된다.
또한, 고리 형상부(173)에 형성되는 복수의 공급홀(175)은, 포커스 링(142)의 외경측보다 내경측에 가까운 위치에 형성되어 있는 것이 바람직하다.
이어서, 본 발명의 일실시예에 따른 플라즈마 처리 장치(111)를 이용하여 피처리 기판(W)의 플라즈마 처리를 행하는 방법에 대하여 설명한다.
우선, 처리 용기(112) 내에 설치된 보지대(114) 상에, 상기한 정전 척(141)을 이용하여 피처리 기판(W)을 보지시킨다. 이 경우, 포커스 링(142)은 피처리 기판(W)의 주위에 위치하게 된다. 그리고, 제 1 및 제 2 히터(151, 152)에 의해 피처리 기판(W)의 중앙부 및 단부를 각각 상이한 온도가 되도록 제어한다. 이어서, 마이크로파 발생기(115)에 의해 플라즈마 여기용의 마이크로파를 발생시킨다. 그 후, 유전체판(116) 등을 이용하여 마이크로파를 처리 용기(112) 내에 도입한다. 그리고, 유전체판(116)의 중앙부로부터 피처리 기판(W)의 중앙 영역을 향하여 직하 방향으로 제 1 반응 가스 공급부(161)에 형성된 공급홀(166)로부터 반응 가스를 공급하고 또한, 제 2 반응 가스 공급부(162)의 고리 형상부(173)에 형성된 공급홀(175)로부터 포커스 링(142)을 향하여 직하 방향으로 반응 가스를 공급한다. 이와 같이 하여, 피처리 기판(W)에 대하여 플라즈마 처리를 행한다.
이러한 구성의 플라즈마 처리 장치(111) 및 플라즈마 처리 방법에 따르면, 피처리 기판(W)의 중앙 영역을 향하여 직하 방향으로 반응 가스를 공급하는 제 1 반응 가스 공급부(161)와, 포커스 링(142)을 향하여 직하 방향으로 반응 가스를 공급하는 제 2 반응 가스 공급부(162)에 의해 피처리 기판(W) 전체에 균일하게 반응 가스를 공급할 수 있다. 또한, 피처리 기판(W)의 중앙 영역 및 포커스 링(142)을 향하여 공급된 반응 가스들이 머문 영역을, 피처리 기판(W) 상을 피한 위치, 구체적으로는 예를 들면, 피처리 기판(W)의 단부 영역의 외경측 부분으로 할 수 있다. 또한, 제 2 반응 가스 공급부(162)에 의해 피처리 기판(W)에 도달하는 플라즈마의 흐름을 차폐할 일도 없다. 따라서, CD 바이어스의 적절한 제어도 포함하여 피처리 기판(W)의 처리에서의 면내 균일성을 향상시킬 수 있다.
도 9는, 본 발명의 일실시예에 따른 플라즈마 처리 장치(111)에서, 피처리 기판(W)을 성막했을 때의 막두께와 피처리 기판(W)에서의 위치와의 관계를 나타낸 그래프이다. 도 9에서, 세로축은 막두께(Å)를 나타내고, 가로축은 중심 0로부터의 거리(mm)를 나타낸다. 또한 도 10에서, 피처리 기판(W)에서의 도 9 중에 도시한 X 축, Y 축, V 축, W 축을 도시한다. 도 9는, 제 1 반응 가스 공급부(161)로부터의 가스 공급량과 제 2 반응 가스 공급부(162)로부터의 가스 공급량의 비율을 22 : 78로 했을 경우를 나타낸다. 또한, 이 경우에서의 고리 형상부(173)의 중심 직경은 400 mm이며, 도 7에 도시한 거리(L1)는 90 mm이다. 또한 다른 프로세스 조건으로서, 압력을 100 mTorr, 아르곤 가스의 유량을 1000 sccm, HBr 가스의 유량을 600 sccm, 산소 가스의 유량을 4 sccm, 칠러 온도를 10℃로 하여 중앙 영역의 온도를 60℃, 단부 영역의 온도를 80℃로 하고 있다. 즉, 형상이 상대적으로 굵어지는 경향이 있는 단부 영역에서 온도를 높여 반응 생성물의 부착을 감소시키고, CD 바이어스를 증가, 즉 형상을 가늘게 하도록 제어한다. 또한, 형상이 상대적으로 가늘어지는 경향이 있는 중앙 영역에서 온도를 낮추어 반응 생성물의 부착을 증가시키고, CD 바이어스를 감소, 즉 형상을 굵게 하도록 제어한다.
도 9에 나타낸 바와 같이, 피처리 기판(W)의 중앙 영역의 막두께가 단부 영역의 막두께보다 약간 두꺼워져 있지만, 비교적 평평하고 거의 균일하다. 즉, 면내 균일하게 처리되어 있다.
여기서, 종래의 도 6 등에 도시한 플라즈마 처리 장치의 구성에서는, 가스 공급량의 비율의 조정에 따라 피처리 기판(W)의 면내에서의 처리를 바꿀 수는 없다. 즉, 종래의 도 6 등에 도시한 플라즈마 처리 장치의 구성에서는, 가스 공급량의 비율을 변경하더라도, 피처리 기판(W)의 면내에서의 처리의 정도는 거의 변함없다.
또한 본 발명에 따른 플라즈마 처리 장치에서는, 제 2 반응 가스 공급부(162)를 구성하는 각 부재에 대하여 피처리 기판(W)의 직상 영역을 피한 위치에 설치되어 있기 때문에, 제 2 반응 가스 공급부(162)를 구성하는 각 부재의 플라즈마에 의한 피로를 저감시킬 수 있다. 따라서, 제 2 반응 가스 공급부(162)의 수명 장기화를 도모할 수 있다.
이상, 도면을 참조하여 본 발명의 실시예를 설명했지만, 본 발명은 도시한 실시예의 것에 한정되지 않는다. 도시한 실시예에 대하여, 본 발명과 동일한 범위 내에서 혹은 균등한 범위 내에서 다양한 수정이나 변형을 가하는 것이 가능하다.
산업상의 이용 가능성
본 발명에 따른 플라즈마 에칭 처리 장치 및 플라즈마 에칭 처리 방법에 따르면, 에칭 처리 시에서의 피처리 기판의 CD 바이어스의 적절한 제어가 요구할 경우에 유효하게 이용된다.

Claims (13)

  1. 내부에서 피처리 기판에 플라즈마 처리를 행하는 처리 용기와,
    상기 처리 용기 내에 배치되고 상부에 상기 피처리 기판을 보지(保持)하는 보지대와,
    상기 보지대에 보지된 상기 피처리 기판의 중앙부의 영역을 가열하는 제 1 히터와,
    상기 보지대에 보지된 상기 피처리 기판의 중앙부의 주변에 위치하는 단부의 영역을 가열하는 제 2 히터와,
    상기 보지대에 보지된 상기 피처리 기판의 중앙부의 영역으로 상기 피처리 기판의 중앙부와 중앙부의 주변에 위치하는 단부가 미리 정해진 유량비가 되도록 플라즈마 처리용의 반응 가스를 공급하는 반응 가스 공급부와,
    상기 보지대에 보지된 상기 피처리 기판의 중앙부 및 단부의 영역의 온도를 상이하게 하여, 상기 중앙부의 영역의 CD(Critical Dimension) 바이어스와 상기 단부의 영역의 CD 바이어스가 맞추어지도록, 상기 제 1 및 제 2 히터를 제어하여 상기 피처리 기판의 플라즈마 에칭 처리를 행하는 제어 수단을 구비하는 플라즈마 에칭 처리 장치.
  2. 제 1 항에 있어서,
    상기 제어 수단은 상기 반응 가스 공급부에 의한 반응 가스에 따라 상기 제 1 및 제 2 히터를 제어하는 플라즈마 에칭 처리 장치.
  3. 제 1 항에 있어서,
    상기 제어 수단은 상기 단부의 영역의 온도가 상기 중앙부의 영역의 온도보다 높아지도록 상기 제 1 및 제 2 히터를 제어하는 플라즈마 에칭 처리 장치.
  4. 제 1 항에 있어서,
    상기 피처리 기판은 에칭 대상이 되는 폴리 실리콘층을 가지고,
    상기 제어 수단은 상기 폴리 실리콘층의 플라즈마 에칭 처리를 행하는 플라즈마 에칭 처리 장치.
  5. 제 1 항에 있어서,
    상기 제 1 및 제 2 히터는 상기 보지대의 내부에 설치되어 있는 플라즈마 에칭 처리 장치.
  6. 제 1 항에 있어서,
    상기 보지대는 원판 형상이며,
    상기 제 2 히터는 고리 형상인 플라즈마 에칭 처리 장치.
  7. 피처리 기판을 플라즈마 에칭 처리하기 위한 플라즈마 에칭 처리 방법으로서,
    처리 용기 내에 설치된 보지대 상에 상기 피처리 기판을 보지시키는 공정과,
    상기 보지대에 보지된 상기 피처리 기판의 중앙부의 영역과 중앙부의 주변에 위치하는 단부의 영역과의 온도를 상이하게 하여, 상기 중앙부의 영역의 CD(Critical Dimension) 바이어스와 상기 단부의 영역의 CD 바이어스가 맞추어지도록 하고, 상기 보지대에 보지된 상기 피처리 기판의 중앙부의 영역으로 상기 피처리 기판의 중앙부와 중앙부의 주변에 위치하는 단부가 미리 정해진 유량비가 되도록 플라즈마 처리용의 반응 가스를 공급하고, 상기 피처리 기판의 플라즈마 에칭 처리를 행하는 공정을 포함한 플라즈마 에칭 처리 방법.
  8. 내부에서 피처리 기판에 플라즈마 처리를 행하는 처리 용기와,
    상기 처리 용기 내에 배치되고 상부에 상기 피처리 기판을 보지하는 보지대와,
    상기 보지대에 보지된 상기 피처리 기판의 중앙부의 영역을 가열하는 제 1 히터와,
    상기 보지대에 보지된 상기 피처리 기판의 중앙부의 주변에 위치하는 단부의 영역을 가열하는 제 2 히터와,
    플라즈마 여기용의 마이크로파를 발생시키는 마이크로파 발생기와,
    상기 보지대와 대향하는 위치에 설치되고 마이크로파를 상기 처리 용기 내에 도입하는 유전체판과,
    상기 처리 용기 내에 플라즈마 처리용의 반응 가스를 공급하는 반응 가스 공급부와,
    상기 보지대에 보지된 상기 피처리 기판의 중앙부 및 단부의 영역의 온도를 상이하게 하도록 상기 제 1 및 제 2 히터를 제어하여 상기 피처리 기판의 플라즈마 에칭 처리를 행하는 제어 수단을 구비하는 플라즈마 처리 장치로서,
    상기 보지대는 상부에 보지된 상기 피처리 기판의 주위에 배치되는 포커스 링을 포함하고,
    상기 반응 가스 공급부는 상기 유전체판의 중앙부에 설치되어 있고, 상기 보지대 상에 보지된 상기 피처리 기판의 중앙 영역을 향하여 직하 방향으로 반응 가스를 공급하는 제 1 반응 가스 공급부와,
    상기 보지대 상에 보지된 상기 피처리 기판의 직상 영역을 피한 위치이고 또한 상기 보지대의 직상 영역에 설치되어 있고, 상기 포커스 링을 향하여 직하 방향으로 반응 가스를 공급하는 제 2 반응 가스 공급부를 포함한 플라즈마 처리 장치.
  9. 제 8 항에 있어서,
    상기 제 2 반응 가스 공급부는 상기 보지대의 근방에 배치되어 있는 플라즈마 처리 장치.
  10. 제 8 항에 있어서,
    상기 제 2 반응 가스 공급부는 고리 형상부를 포함하고,
    상기 고리 형상부에는 반응 가스를 공급하는 공급홀이 형성되어 있는 플라즈마 처리 장치.
  11. 제 10 항에 있어서,
    상기 피처리 기판은 원판 형상이고,
    상기 고리 형상부는 원환 형상으로서,
    상기 고리 형상부의 내경은 상기 피처리 기판의 외경보다 큰 플라즈마 처리 장치.
  12. 제 11 항에 있어서,
    상기 포커스 링은 원환 형상으로서,
    상기 공급홀은 상기 포커스 링의 외경측보다 내경측에 가까운 위치에 형성되어 있는 플라즈마 처리 장치.
  13. 피처리 기판을 플라즈마 처리하기 위한 플라즈마 처리 방법으로서,
    처리 용기 내에 설치되고 상부에 피처리 기판을 보지했을 때에 피처리 기판의 주위에 배치되는 포커스 링을 포함한 보지대 상에 피처리 기판을 보지시키는 공정과,
    플라즈마 여기용의 마이크로파를 발생시키는 공정과,
    유전체판을 이용하여 마이크로파를 상기 처리 용기 내에 도입하는 공정과,
    상기 보지대에 보지된 상기 피처리 기판의 중앙부 및 단부의 영역의 온도를 상이하게 하도록 제어하는 공정과,
    상기 유전체판의 중앙부로부터 상기 피처리 기판의 중앙 영역을 향하여 직하 방향으로 반응 가스를 공급하고 또한, 상기 보지대 상에 보지된 상기 피처리 기판의 직상 영역을 피한 위치이고 또한 상기 보지대의 직상 영역으로부터 상기 포커스 링을 향하여 직하 방향으로 반응 가스를 공급하는 공정을 포함한 플라즈마 처리 방법.
KR1020107019000A 2008-02-27 2009-02-26 플라즈마 에칭 처리 장치 및 플라즈마 에칭 처리 방법 KR101094982B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JPJP-P-2008-045696 2008-02-27
JP2008045696 2008-02-27
JP2008294049 2008-11-18
JPJP-P-2008-294049 2008-11-18
PCT/JP2009/053556 WO2009107718A1 (ja) 2008-02-27 2009-02-26 プラズマエッチング処理装置およびプラズマエッチング処理方法

Publications (2)

Publication Number Publication Date
KR20100105787A KR20100105787A (ko) 2010-09-29
KR101094982B1 true KR101094982B1 (ko) 2011-12-20

Family

ID=41016105

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107019000A KR101094982B1 (ko) 2008-02-27 2009-02-26 플라즈마 에칭 처리 장치 및 플라즈마 에칭 처리 방법

Country Status (5)

Country Link
US (1) US9263298B2 (ko)
JP (1) JP5454467B2 (ko)
KR (1) KR101094982B1 (ko)
TW (1) TWI469238B (ko)
WO (1) WO2009107718A1 (ko)

Families Citing this family (286)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9277637B2 (en) 2010-11-17 2016-03-01 Tokyo Electron Limited Apparatus for plasma treatment and method for plasma treatment
JP5851899B2 (ja) * 2011-03-25 2016-02-03 東京エレクトロン株式会社 プラズマ処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9947559B2 (en) * 2011-10-28 2018-04-17 Applied Materials, Inc. Thermal management of edge ring in semiconductor processing
US9552966B2 (en) * 2011-12-12 2017-01-24 Tokyo Electron Limited Antenna for plasma generation, plasma processing apparatus and plasma processing method
JP5973731B2 (ja) 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
WO2014057793A1 (ja) * 2012-10-09 2014-04-17 東京エレクトロン株式会社 プラズマ処理方法、及びプラズマ処理装置
JP2014096553A (ja) 2012-10-09 2014-05-22 Tokyo Electron Ltd プラズマ処理方法、及びプラズマ処理装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103730393A (zh) * 2013-12-19 2014-04-16 中国电子科技集团公司第四十八研究所 一种等离子体刻蚀设备进气装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6428466B2 (ja) * 2014-06-23 2018-11-28 東京エレクトロン株式会社 基板処理方法、基板処理装置、基板処理システム及び記憶媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
JP6524534B2 (ja) * 2016-03-09 2019-06-05 パナソニックIpマネジメント株式会社 素子チップの製造方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11069545B2 (en) * 2017-01-19 2021-07-20 Tokyo Electron Limited Substrate processing apparatus, temperature control method, and temperature control program
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102310079B1 (ko) 2017-03-03 2021-10-08 삼성전자주식회사 반도체 소자
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
JP6914149B2 (ja) * 2017-09-07 2021-08-04 東京エレクトロン株式会社 プラズマ処理装置
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
CN113451168A (zh) * 2020-04-14 2021-09-28 重庆康佳光电技术研究院有限公司 一种干蚀刻气体控制系统
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7351865B2 (ja) * 2021-02-15 2023-09-27 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117660927A (zh) * 2022-08-29 2024-03-08 中微半导体设备(上海)股份有限公司 一种温度控制部件及cvd反应装置

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2894658B2 (ja) 1992-01-17 1999-05-24 株式会社東芝 ドライエッチング方法およびその装置
JPH08191059A (ja) 1995-01-09 1996-07-23 Hitachi Ltd プラズマ処理装置
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
JPH09289200A (ja) 1996-04-23 1997-11-04 Sony Corp 基板温度制御装置
KR100258980B1 (ko) 1997-08-21 2000-06-15 윤종용 히터 블록 및 그 온도 제어방법
IL138264A0 (en) 1998-03-05 2001-10-31 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
JP3367439B2 (ja) 1999-01-14 2003-01-14 日本電気株式会社 プラズマ処理装置
JP4317608B2 (ja) 1999-01-18 2009-08-19 東京エレクトロン株式会社 成膜装置
JP3437118B2 (ja) * 1999-04-23 2003-08-18 東芝機械株式会社 ウエーハ加熱装置及びその制御方法
US6617553B2 (en) 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
US7161121B1 (en) 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
US8580076B2 (en) 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
JP4563729B2 (ja) 2003-09-04 2010-10-13 東京エレクトロン株式会社 プラズマ処理装置
JP2007088411A (ja) 2005-06-28 2007-04-05 Hitachi High-Technologies Corp 静電吸着装置およびウエハ処理装置ならびにプラズマ処理方法
KR101218114B1 (ko) 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
WO2007018157A1 (ja) * 2005-08-05 2007-02-15 Tokyo Electron Limited 基板処理装置およびそれに用いる基板載置台
US20070044916A1 (en) * 2005-08-31 2007-03-01 Masakazu Isozaki Vacuum processing system
JP5082229B2 (ja) * 2005-11-29 2012-11-28 東京エレクトロン株式会社 プラズマ処理装置
JP2007242777A (ja) * 2006-03-07 2007-09-20 Hitachi High-Technologies Corp プラズマエッチング装置及びプラズマエッチング方法
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
JP5069452B2 (ja) 2006-04-27 2012-11-07 アプライド マテリアルズ インコーポレイテッド 二重温度帯を有する静電チャックをもつ基板支持体
JP2007335346A (ja) 2006-06-19 2007-12-27 Tokyo Electron Ltd マイクロ波導入装置及びプラズマ処理装置
US20080110569A1 (en) * 2006-11-09 2008-05-15 Go Miya Plasma etching apparatus and plasma etching method

Also Published As

Publication number Publication date
WO2009107718A1 (ja) 2009-09-03
TW200949976A (en) 2009-12-01
JP5454467B2 (ja) 2014-03-26
JPWO2009107718A1 (ja) 2011-07-07
KR20100105787A (ko) 2010-09-29
TWI469238B (zh) 2015-01-11
US9263298B2 (en) 2016-02-16
US20120012556A1 (en) 2012-01-19

Similar Documents

Publication Publication Date Title
KR101094982B1 (ko) 플라즈마 에칭 처리 장치 및 플라즈마 에칭 처리 방법
US7815740B2 (en) Substrate mounting table, substrate processing apparatus and substrate processing method
US8152925B2 (en) Baffle plate and substrate processing apparatus
TWI414017B (zh) Plasma processing device and plasma processing method
JP4817528B2 (ja) 電子ワークピース製造装置
US8008596B2 (en) Plasma processing apparatus and electrode used therein
US8440050B2 (en) Plasma processing apparatus and method, and storage medium
JP5360069B2 (ja) プラズマ処理装置およびプラズマ処理方法
US20070227666A1 (en) Plasma processing apparatus
US10923328B2 (en) Plasma processing method and plasma processing apparatus
KR20140068090A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
US20170338084A1 (en) Plasma processing method
US11289308B2 (en) Apparatus and method for processing substrate and method of manufacturing semiconductor device using the method
US20070227664A1 (en) Plasma processing apparatus and plasma processing method
JP3814176B2 (ja) プラズマ処理装置
KR20200101993A (ko) 기판 지지부를 위한 프로세스 키트
US10672622B2 (en) Etching method and etching apparatus
JP6952542B2 (ja) プラズマ処理方法およびプラズマ処理装置
US10381238B2 (en) Process for performing self-limited etching of organic materials
US11361973B2 (en) Etching method and etching apparatus
US11373895B2 (en) Etching method and plasma processing apparatus
TWI822918B (zh) 電漿處理方法及電漿處理裝置
US11810792B2 (en) Etching method and substrate processing apparatus
WO2024015187A1 (en) Process kit for a substrate support

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141120

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151118

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20161122

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20171120

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20181119

Year of fee payment: 8