JP5069452B2 - 二重温度帯を有する静電チャックをもつ基板支持体 - Google Patents

二重温度帯を有する静電チャックをもつ基板支持体 Download PDF

Info

Publication number
JP5069452B2
JP5069452B2 JP2006314598A JP2006314598A JP5069452B2 JP 5069452 B2 JP5069452 B2 JP 5069452B2 JP 2006314598 A JP2006314598 A JP 2006314598A JP 2006314598 A JP2006314598 A JP 2006314598A JP 5069452 B2 JP5069452 B2 JP 5069452B2
Authority
JP
Japan
Prior art keywords
ceramic
substrate
ceramic pack
pack
heater coil
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2006314598A
Other languages
English (en)
Other versions
JP2007300057A (ja
Inventor
マティウシュキン アレクサンダー
クーソウ デニス
パナゴポウロス セオドロス
ホーランド ジョン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=38769279&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP5069452(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2007300057A publication Critical patent/JP2007300057A/ja
Application granted granted Critical
Publication of JP5069452B2 publication Critical patent/JP5069452B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Description

背景
本発明は、基板処理チャンバ内で基板を保持するための基板支持体に関する。
半導体やディスプレイのような基板の処理において、基板上の層を処理するチャンバ内で基板を保持するために静電チャックが用いられる。典型的な静電チャックは、セラミック又はポリマーのような誘電体で覆われた電極を備えている。電極が荷電された場合、電極と基板に静電気が蓄積し、これに伴う静電力がチャック上で基板を保持する。典型的には、基板の温度は基板の裏側にヘリウムガスを保持することによって制御されて、基板の裏とチャックの表面の境界面にある微視的な隙間全体に熱伝達率が高められる。静電チャックは、チャックを冷却又は加熱するために流体を通過させるチャネルを有するベースによって支持することができる。一旦基板がチャック上でしっかりと保持されると、プロセスガスがチャンバに導入され、プラズマが形成されて、基板を処理する。基板は、CVD、PVD、エッチング、注入、酸化、窒化物形成、又は他のプロセスで処理することができる。
処理中、基板は、基板表面全体に同心処理バンドを生じ得る基板表面全体に放射方向の一様でない処理速度又は他のプロセス特性にしばしば供される。このような一様でない処理特性は、また、チャンバ内のガス化学種又はプラズマ化学種の分配から起こり得る。例えば、チャンバ全体のガスの分配は、基板表面に相対するチャンバ内のガスの注入口と排出口の位置によって変動し得る。また、質量搬送メカニズムは、基板表面の異なる領域全体にガス化学種の消散と到達の速度を変えることができる。一様でない処理速度は、また、処理チャンバ内の一様でない熱負荷から起こり得る。異なる熱負荷は、例えば、プラズマシースから基板へのエネルギー又はチャンバ壁から反射した放射熱の一様でない結合によって起こり得る。基板全体の処理の変動は、基板の異なる領域、例えば、周辺や中央の基板領域で製造されている能動的電子デバイスと受動的電子デバイスが異なる性質をもつことになってしまうので望ましくない。
従って、基板の処理中、基板表面全体の処理速度や他のプロセス特性の変動を減少させることが望ましい。基板の処理表面全体の異なる領域で温度を制御することができることもまた望ましい。処理中、基板全体の温度プロファイルを制御することもまた望ましい。
本発明のこれらの特徴、態様、及び利点は、本発明の例を示している、以下の説明、添付の特許請求の範囲、及び次の図面に関してより良く理解される。しかしながら、特徴の各々が単に具体的な図面に関連してだけでなく一般的に本発明に使用することができ、且つ本発明がこれらの特徴の組み合わせを含むことは理解されるべきである。
説明
静電チャック20の実施形態は、図1に示すように、パック24の上面であり、且つ基板25を保持する働きをする基板受容面26を有するセラミックパック24を備えている。セラミックパック24は、また、基板受容面26に対向する裏面28を有する。セラミックパック24は、第1ステップ31と第2ステップ33を有する周辺棚29を有する。セラミックパック24は、酸化アルミニウム、窒化アルミニウム、酸化ケイ素、炭化ケイ素、窒化ケイ素、酸化チタン、酸化ジルコニウム、及びそれらの混合物の少なくとも1つを含む。セラミックパック24は、セラミック粉末を熱圧し、焼結し、その後、焼結した形を機械加工してチャック24の最終形状を形成することによって作られるセラミックのユニタリモノリス(unitary monolith)でもよい。
セラミックパック24の裏面28は、複数の隔置されたメサ30を備えている。一変形例においては、メサ30は複数の隙間32により相互に分離されている円筒形マウンドである。使用中、隙間32は、空気のようなガスで充填され、裏面28から他の下にある面への熱伝達率を調節する。一実施形態においては、メサ30は円筒形マウンドを含み、表面28から上へ伸びる端子としての形でさえあり得るし、端子は矩形又は円形の断面形状を有する。メサ30の高さは約10〜約50ミクロン、メサ30の幅(又は直径)は約500〜約5000ミクロンであり得る。しかしながら、メサ30は、他の形状とサイズ、例えば、円錐又は矩形ブロックを、又は種々のサイズの突出でさえもち得る。一変形例においては、メサ30は、適当に小さな、例えば、数十ミクロンであるビードサイズで裏面28をビード噴射することによって形成され、裏面28の材料を侵食作用によってエッチングして介入している隙間32を有する成形されたメサ30を形成する。
セラミックパック24は、また、静電力を生成して基板受容面26の上に配置された基板を保持する、その中に組み込まれた電極36を備えている。電極36は、金属のような導体であり、単極電極又は双極電極34としての形をしている。単極電極は、単一導体を備え、外部電源との単一電気接続を有し、チャック20上に保持された基板全体に電気的バイアスをかけるために、チャンバ内で形成された、上に横たわるプラズマの荷電化学種と協働する。双極電極は2つ以上の導体を有し、その各々がその他に相対してバイアスがかけられ、基板を保持する静電力を生成させる。電極36は、適切なカットアウト領域をもつワイヤメッシュ又は金属プレートとしての形をし得る。例えば、単極電極を備えた電極36は、図示したセラミックパックの中に組み込まれた単一連続ワイヤメッシュであり得る。双極電極を備えた電極36の実施形態は、C型プレートのストレートレッグを挟んで、もう一方と向かい合う一組の充填C型プレートであり得る。電極36は、アルミニウム、銅、鉄、モリブデン、チタニウム、タングステン、又はそれらの合金から構成され得る。電極36の一変形例はモリブデンメッシュを備える。電極36は、外部電源から電極36に電力を供給する端子58に接続される。
セラミックパック24は、また、セラミック本体を横断し且つ基板受容面26上のポート40a、bで終わって基板受容面26に熱伝達ガスを供給する複数の熱伝達ガスコンジット38a、bを有する。熱伝達ガスは、例えば、ヘリウムであり得、基板の裏面34の下に供給され、上に横たわる基板25から離れ、セラミックパック24の受容面26に熱を導く。例えば、第1ガスコンジット38aは、熱伝達ガスを基板受容面26の中央の加熱帯42aに供給するために位置を決めることができ、第2ガスコンジット38bは、熱伝達ガスを基板受容面26の周辺の加熱帯42bに供給するために位置を決めることができる。セラミックパック24の基板受容面26の中央と周辺の加熱帯42a、bは、基板プロセス面44の対応する部分、例えば、基板25の上にある中央部と周辺部46a、bがそれぞれ異なる温度で維持されることを可能にする。
セラミックパック24の基板受容面26の中央と周辺の加熱帯42a、bでの温度は、更に、セラミックパック24の中に組み込まれた複数のヒータコイル50、52、例えば、第1ヒータコイル50と第2ヒータコイル52を用いて制御される。例えば、ヒータコイル50、52は、相互の周りに離れて同心に放射状に隔置され得る。一変形例においては、第1ヒータコイル50はセラミックパック24の中央部54aに位置し、第2ヒータコイル52はセラミックパック24の周辺部54bに位置する。第1と第2のヒータコイル50、52はセラミックパック24の中央部及び周辺部54a、54bの温度の独立した制御を可能にし、更に、セラミックパック24の裏面28上のメサ30と協働して、セラミックパック24の受容面26上に配置された基板25の温度プロファイルの調節を可能にする。
各ヒータコイル50、52は、加熱帯42a、bの温度を独立して制御する能力を与えて、基板25の処理面44の放射方向全体に異なる処理速度又は処理特性を得る。このようにして、異なる温度が2つの加熱帯42a、bで維持されて、基板25の上に横たわる中央部と周辺部46a、bの温度に影響を及ぼし、それによって、基板25の処理中に起こるあらゆる可変ガス化学種の分配又は熱負荷を打ち消すことができる。例えば、基板25の処理面44の周辺部46bのガス化学種が、中央部46aにあるガス化学種ほど活発でないとき、周辺の加熱帯42bの温度が中央の加熱帯42aより高い温度に上げられ、基板25の処理面44全体に、より均一な処理速度又はプロセス特性を与える。
一変形例においては、第1と第2のヒータコイル50、52は、各々横方向に配置される抵抗加熱素子の円形ループを備え、ほぼ同じ平面にさえあり得る。例えば、ヒータコイル50、52は各々、セラミックパック24の本体内で、内向きに放射状に徐々に螺旋形になる連続同心ループであり得る。ヒータコイル50、52は、また、例えば、電球フィラメントのように、コイルの中心を通過する軸の周りで螺旋形になる螺旋形コイルでもよく、それらはセラミックパック24の内部容積の全体で同心円に位置している。抵抗加熱素子は、異なった電気抵抗材料、例えば、モリブデンから構成され得る。一変形例においては、ヒータコイル50、52は各々、約80〜約250℃の温度でセラミックパック24の基板受容面26を維持するのに十分高い電気抵抗を含む。この変形例においては、コイルの電気抵抗は約4〜約12オームである。一例においては、第1ヒータコイル50は6.5オームの電気抵抗を有し、第2ヒータコイル52は8.5オームの内部電気抵抗を有する。ヒータコイル50、52には、セラミックパック24を通って伸びる独立した端子58a-dを通って電力が供給される。
ヒータコイル50、52と共に、2つの帯42a、bにおいて熱伝達ガスの圧力も制御され、基板処理速度が基板25全体により均一になる。例えば、2つの加熱帯42a、bは、異なる平衡圧力で熱伝達ガスを保持するようにそれぞれ設定されて、基板25の裏面34から異なる熱伝達率を与えることができる。このことは、2つのコンジット38a、38bのそれぞれを通って、2つの異なる圧力で熱伝達ガスを供給し、基板受容面26の2つの異なる位置で出ることによって達成される。
静電チャック20は、また、セラミックパック24中のホール62a、bを通過する光学温度センサ60a、bを含み、基板25の上に横たわる中央部と周辺部46a、bの温度と接触し、正確に測定する。第1センサ60aはセラミックパック24の中央加熱帯42aに配置され、基板25の中央部46aの温度を読み取り、第2センサ60bはセラミックパック24の周辺の加熱帯42bに位置し、基板25の周辺部46bで対応する温度を読み取る。光学温度センサ60a、bはチャック20の中に位置し、その結果、センサの先端64a、bはセラミックパック24の基板受容面26と平面にあり、センサ先端64a、bはチャック20上に保持された基板25の裏面34に接触することができる。センサ60a、bの脚66a、bはセラミックパック24の本体を通って垂直に伸びている。
一変形例においては、図3に示すように、各光学温度センサ60は、側面72と先端64として働くドーム型最上部74と閉鎖シリンダとしての形をした銅キャップ70を備えた熱センサプローブ68を備える。銅キャップ70は、酸素を含まない銅材料から構成されてもよい。リンプラグ76は、銅キャップ70の最上部74の内側に直接接触して組み込まれている。銅キャップ70の中に組み込まれたリンプラグ76は、熱検知プローブ68に対して、より速くより感受性のある熱応答(response)を与える。銅キャップ70の先端64は、基板を侵食したり傷つけたりせずに異なる基板25と繰り返し接触するのを可能にするドーム型最上部74である。銅キャップ70は、センサプローブ68の中にキャップ70を取り付けるために、エポキシ79を受容する凹型溝78を有する。
リンプラグ76は、赤外放射線の形の熱を、光ファイバ束80を通過する光子に変換する。光ファイバ束80は、ホウケイ酸塩ガラス繊維から構成され得る。光ファイバ束80はスリーブ82に入り、セラミックパックを支持するベースの熱から温度センサを分離するように働く、温度分離ジャケット84で部分的に囲まれている。スリーブ82は、周辺構造から良好に熱絶縁するガラスチュービングであり得るが、銅のような金属からも作ることができる。温度分離ジャケット84は、PEEK、ポリエーテルエーテルケトンから構成されてもよく、Dupontde NemoursCo.デラウェア州からのTeflon(登録商標)(ポリテトラフルオロエチレン)でもよい。
図4A、図4B、図5に示すように、基板支持体90は、静電チャック20を支持し固定するために用いられるベース91に固定された静電チャック20を備えている。ベース91は、チャック受容部96と周辺部98を有する上面94をもつ金属本体92を備えている。上面94のチャック受容部96は、静電チャック20のセラミックパック24の裏面28を受容するように適応される。ベース91の周辺部98は、セラミックパック24を超えて外向きに放射状に伸びている。ベース91の周辺部98は、ベースの周辺部の上面に固定され得るクランプリング100を受容するように適応される。ベース91の金属本体92は、ベースの底面104からベース91の上面94まで続く多くの通路102を有し、例えば、セラミックパック24の端子58a-dを保持したり、ガスコンジット38a、bにガスを送り込んだりする。
ベース91の上面94のチャック受容部96は、1つ以上の溝106a、bを備え、セラミックパック24の裏面全体に空気を保持し流す。一実施形態においては、チャック受容部96は周辺の溝106aを備え、その溝はセラミックパック24の裏面28上の複数のメサ30と協働して、セラミックパック24の周辺部54bからの熱伝達率を制御する。他の実施形態においては、中央の溝106bは周辺の溝106aと共に用いられ、セラミックパック24の中央部54aからの熱伝達を調節する。
ベース91の上面94の中の溝106a、bは、セラミックパック24の裏面28の上のメサ30と協働して、更に基板処理面44全体の温度を調節する。例えば、メサ30の形状、サイズ、間隔が、ベース91の上面94とメサ30の接触面の総量を制御し、それによって、境界面の総熱伝達面積を制御する。例えば、メサ30は、セラミックパック24の裏面28の総面積のわずか50%以下、例えば30%がベース91の上面94と実際に接触するような形と大きさである。接触面積が小さいほど、基板処理面44全体の温度は高くなる。また、空気がメサ30の間と裏面28全体に供給されて、更に温度調節器として働く。
セラミックパック24の裏面28上のメサ30は、均一又は不均一パターンで裏面28全体に分配され得る。均一パターンでは、隙間32によって表されるメサ30の間の距離はほぼ同じままであり、不均一間隔では、隙間の距離は裏面28全体で変動する。メサ30の形状とサイズは、また、裏面28全体で変動するように作られ得る。例えば、メサ30の不均一パターンは、異なる領域で、セラミックパック24の裏面28全体で異なる量の接触面を与えるように配列され、パック24の中央部と周辺部54a、bそれぞれからの熱伝達率を制御するので、上に横たわる基板25の中央部と周辺部46a、bの温度を制御する。
ベース91は、更に、水のような流体を循環させる複数のチャネル110を備えている。循環冷却流体をもつベース91は熱交換器として働き、チャック20の温度を制御して基板25の処理面44全体で望ましい温度を達成する。チャネル110を通過する流体は、チャック20の温度とチャック20上に保持された基板25の温度を上昇又は低下させるために加熱又は冷却し得る。一変形例においては、チャネル110は、約0〜120℃の温度でベース91を維持するために流体が流れ込むことを可能にする形と大きさである。
ベース91は、更に、静電チャック20の電極36に電源を導く電気端子アセンブリ120を備えている。電気端子アセンブリ120はセラミック絶縁ジャケット124を備えている。セラミック絶縁ジャケット124は、例えば、酸化アルミニウムでもよい。複数の端子58はセラミック絶縁ジャケット124の中に組み込まれる。端子58、58a-dは、静電チャック20の電極36とヒータコイル50、52に電力を供給する。例えば、端子58は銅の端子を含み得る。
コンタクトバンド140は、図7に示すように、電気端子アセンブリ141の端子58、58a-dを囲むように構成される。各コンタクトバンド140は、例えば、銅合金のような金属を含む。コンタクトバンド140の構造本体は、端子58の周りに取り付けられるように適合されたケーシング142を備えている。ケーシング142の形状は端子58の形状に依存し、任意に端子58の形状を模倣すべきである。ケーシング142の一部又は一片146は、複数のスロットと複数の熱伝達ルーバをもつバンド144を備えている。そのスロットはパターンで構成され、結果として、スロットと交互するルーバを生じる。一実施形態においては、複数のスロットとルーバは、一片146の上縁部152から一片146の底縁部154又はケーシング142の一部まで伸びる。複数のスロットとルーバーはケーシング142の剛性を低下させ、端子58又は端末の外面の周りに適合することを可能にするスプリング様特性を生じる。ケーシング142の一片146上の複数のスロットの構成は、また、スプリング様特性によって、端子58がケーシング142の露出内面143の実質的な領域と接触させる。このことにより、コンタクトバンド140と端子の間の最適な熱伝達が可能になる。
図5Aと図5Bに示すように、プロセス堆積物の形成を減少させるとともにベース91で支持された静電チャック20を備えた基板支持体90の周辺領域を侵食から保護するために、リングアセンブリ170が設けられる。リングアセンブリ170は、ネジ又はボルト(図示せず)のような固定手段でベース91の上面94の周辺部98に固定されるクランプリング100を備えている。クランプリング100は、上面174と外側面176の内向きに横方向と放射状に伸びるリップ172を有する。リップ172は、セラミックパック24の周辺棚29の第1ステップ31上に載せられている下面173を有し、セラミックパック24と気密シールを形成する。一変形例においては、下面173は、例えば、ポリイミドを含むポリマー層179を備え、良好な気密シールを形成する。クランプリング100は、プラズマによる侵食に耐え得る材料、例えば、ステンレス鋼、チタニウム又はアルミニウムのような金属材料、又は酸化アルミニウムのようなセラミック材料から製造される。
リングアセンブリは、また、クランプリング100の上面174上に載せられているフット184を有するバンド182を備えたエッジリング180を含む。エッジリングは、また、処理環境に曝されてクランプリング100上の堆積物をスパッタする堆積を減少又は完全に除外するクランプリング100の外側面176を封入する環状外壁186を有する。エッジリング180は、また、セラミックパック24の周辺棚29の第2ステップ33を覆っているフランジ190を備えている。フランジ190は、基板25のオーバハングエッジ196の下で終わっている突起194を備えている。フランジ190は、基板25の周辺を取り囲むリング180の内周を画成し、処理中、基板25で覆われないセラミックパック24の領域を保護する。リングアセンブリ170のクランプリング100とエッジリング180は、基板25の処理中、ベース91上に支持された静電チャック20上のプロセス堆積物の形成を減少させるとともにを侵食から保護するために協働する。エッジリング180は基板支持体90の露出側面を保護し、励起したプラズマ化学種による侵食を減少させる。リングアセンブリ170は、リング100、180の露出面から堆積物を洗浄するために簡単に取り外すことができ、その結果、基板支持体90全体を分解して洗浄する必要がない。エッジリング180は、例えば、石英のようなセラミックを備えている。
静電チャック20とベース91を備えている基板支持体90は、基板処理装置200内で用いることができ、例示的変形例を図8に示す。装置200は封入壁202を備えたチャンバ201を含み、一変形例においては、チャンバ201はDPSAdvantage Chamberである。ガス源204は、ガスホール203を通ってチャンバにプロセスガスを供給し、そのプロセスガスは、エッチングガス、例えば、塩素又は塩化水素のようなハロゲン含有ガス、又はCVD又はPVDガスのような堆積ガス、例えば、誘電体又は半導体材料を堆積させるガスのような基板25を処理することができる。ガスエナジャイザー208は、プロセスガスそれぞれにRFエネルギーを容量結合又は誘導結合するために、又はプロセスガス(図示せず)にマイクロ波エネルギーを伝達するために供給され、励起したガスを形成して基板25を処理する。例えば、プロセスガスは、電極電源230を介して静電チャック20の電極36にRF電圧を印加し、チャンバ201の壁202を電気的に接地することによって、容量的に励起させ得る。電極電源230は、また、基板25を静電的に保持するために、チャック20の電極36を荷電するためにDCチャッキング電圧を供給する。誘導コイル205を介してプロセスガスに誘導エネルギーを結合することによって、プロセスガスを励起することもできる。或いは、遠隔チャンバ(図示せず)内のマイクロ波コンジットを介してプロセスガスにマイクロ波エネルギーを結合することによって、プロセスガスを励起させることができる。基板25は、チャンバ201内で静電チャック20の受容面26の上に保持され、ベース91の上に載せられている。
チャンバは、メモリと周辺コンピュータ要素に結合した、典型的には、カリフォルニア州サンタクララ、インテルコーポレーションから市販されているペンティアムプロセッサのような中央演算処理装置(CPU)を有するコンピュータ308として含むコントローラ212で制御される。メモリには、CD又はフロッピードライブのような取り外し可能な記憶装置、ハードドライブのような取り外し不可能な記憶装置、ランダムアクセスメモリ(RAM)が含まれてもよい。コントローラ212は、更に、アナログやデジタルの入出力ボードと、モーターコントローラボードを備えたハードウェアインタフェースを備えることができる。オペレータは、ディスプレイ又はデータ入力デバイスを介してチャンバコントローラ212と連通し得る。具体的なスクリーン又は機能を選択するために、オペレータはキーボード又はライトペンのようなデータ入力デバイスを用いて、選択を入力する。
コントローラ212は、また、チャンバ201で行われるプロセスを制御し監視することができるプログラムコードを含む、メモリに記憶されたコンピュータ読取り可能なプログラムを含む。コンピュータ読取可能なプログラムは、あらゆる慣用のコンピュータ読取可能なプログラミング言語でも書くことができる。適切なプログラムコードが、慣用のテキストエディタを用いて、単一又は複数ファイルに入力され、コンピュータが使えるメモリの媒体で記憶されるか、又は組み込まれる。入力されたコードテキストが高水準言語である場合には、コードはコンパイルされ、得られたコンパイラコードは、その後、プレコンパイルしたライブラリルーチンのオブジェクトコードと関連づけられる。関連づけられコンパイルされたオブジェクトコードを実行するために、使用者はオブジェクトコードを呼び出し、CPUにコードを読ませ実行させ、プログラムにおいて確認されたタスクを行う。プログラムは温度制御命令セットを含み、例えば、チャック20のセラミックパック24の中の第1と第2のヒータコイル50、52に異なる電力レベルを独立して適用し、コンジット38a、bを通る熱伝達ガスの流れを調整し、ベース91のチャネル110を通る流体の流量を制御することによって、基板102の異なる領域144、146の温度を制御する。プロセスフィードバック制御命令セットは、光学温度センサ60a、bから温度信号を受容する温度監視命令セット間のフィードバック制御ループとして働くことができ、ヒータコイル50、52、コンジット38a、bを通る熱伝達ガスの流れ、ベース91のチャネル110を通る流体の流れのようなチャンバ要素に適用される電力を調整する。一組のタスクを行うために別々の命令セットとして記載されるが、これらの命令セットの各々は相互に統合され得るか又は重複し得る。従って、本明細書に記載されるチャンバコントローラ212とコンピュータ読取可能なプログラムは、本明細書に記載される機能的ルーチンの個々の変形例に制限されるべきではない。
本発明はそれらのある好ましい変形例に関してはかなり詳細に記載してきたが、他の変形例も可能である。例えば、基板支持体は、本明細書に記載されたものよりも、他のチャンバや他のプロセスに使用し得る。従って、添付の特許請求の範囲は、本明細書に含まれる好ましい変形例の説明に制限されるべきではない。
図1は、静電チャックの一実施形態の概略側断面図である。 図2は、図1のチャックの概略底面図である。 図3は、光学温度センサの概略側面図である。 図4Aは、ベースと静電チャックを備えた基板支持体の実施形態の上側(図4A)の概略斜視図である。 図4Bは、ベースと静電チャックを備えた基板支持体の実施形態の底側(図4B)の概略斜視図である。 図5Aは、図4Aと図4Bの基板支持体上のリングアセンブリの概略側断面図である。 図5Bは、図5Aのリングアセンブリの詳細である。 図6は、ベースの電気コネクタアセンブリの実施形態の概略側断面図である。 図7は、コンタクトバンドの実施形態の概略側断面図である。 図8は、基板支持体を有する基板処理チャンバの実施形態の概略側面図である。
符号の説明
20…静電チャック、24…セラミックパック、25…基板、26…基板受容面、28…裏面、29…周辺棚、30…メサ、31…第1ステップ、32…隙間、33…第2ステップ、34…電極、36…電極、38a…第1ガスコンジット、38b…第2ガスコンジット、40…ポート、42a…中央加熱帯、42b…周辺加熱帯、44…処理面、46a…中央部、46b…周辺部、50…ヒータコイル、52…ヒータコイル、54a…周辺部、54b…中央部、58…端子、60a、b…センサ、64a…センサ先端、68…熱センサプローブ、66a、b…脚、70…銅キャップ、72…側面、74…ドーム型最上部、78…凹型溝、79…エポキシ、80…光ファイバ束、82…スリーブ、84…温度分離ジャケット、91…ベース、92…金属本体、94…上面、96…チャック受容部、98…周辺部、102…通路、106…溝、120…電気端子アセンブリ、124…セラミック絶縁ジャケット、140…コンタクトバンド、141…電気端子アセンブリ、142…ケーシング、143…露出内面、144…バンド、146…一片、154…底縁部、170…リングアセンブリ、172…リップ、173…下面、176…外側面、179…ポリマー層、180…エッジリング、182…バンド、184…フット、186…環状外壁、190…フランジ、194…突起、196…オーバハングエッジ、200…基板処理装置、201…チャンバ、202…封入壁、203…ガスホール、204…ガス源、208…ガスエナジャイザー、212…コントローラ、230…電極電源。

Claims (24)

  1. プロセスチャンバ内で基板を受容するための静電チャックであって、
    (a)基板受容面と複数の隔置されたメサを備えた対向する裏面を備えたセラミックパックと、
    (b)該セラミック本体を横断し且つ該基板受容面上のポートで終わって該基板受容面に熱伝達ガスを供給する複数の熱伝達ガスコンジットと、
    (c)静電力を生成して該基板受容面上に載置された基板を保持するために該セラミックパック内に組み込まれた電極と、
    (d)該セラミックパック内に組み込まれた第1ヒータコイルと第2ヒータコイルであって、該ヒータコイルが放射状に隔置され且つ相互に同心であり、該第1ヒータコイルが該セラミックパックの中央部に位置し、該第2ヒータコイルが該セラミックパックの周辺部に位置する、前記第1ヒータコイルと第2ヒータコイルと、
    を備え、
    それにより、該第1ヒータコイルと第2ヒータコイルが該セラミックパックの該中央部と周辺部の温度の独立した制御を可能にするとともに該セラミックパックの該裏面上の該メサと協働して該セラミックパックの該基板受容面上に載置された基板の温度プロファイルの調整を可能にする、前記静電チャック。
  2. 該第1ヒータコイルと第2ヒータコイルが以下の特性:
    (i)該ヒータコイルは並んで配置されること;
    (ii)該ヒータコイルはほぼ同じ平面にあること;
    (iii)該ヒータコイルが各々モリブデンを含む抵抗加熱素子を備えること;
    (iv)該セラミックパックの該基板受容面を約80〜約250℃の温度に維持するのに充分高い電気抵抗を備えること;
    の少なくとも1つを含む、請求項1記載のパック。
  3. 該セラミックパックが、酸化アルミニウム、窒化アルミニウム、酸化ケイ素、炭化ケイ素、窒化ケイ素、酸化チタン、酸化ジルコニウム、及びそれらの混合物の少なくとも1つを含む、請求項1記載のチャック。
  4. 該セラミックパックの該裏面上の該複数のメサが円筒形マウンドを備えている、請求項1記載のチャック。
  5. 該セラミックパックが、該セラミックパックの周りにシールを形成するクランプリングを受容するために周辺棚を備えている、請求項1記載のチャック。
  6. 該セラミックパック内に組み込まれた第1光温度センサと第2光温度センサを更に含み、該光温度センサが以下の特性:
    (i)該第1センサが該セラミックパックの該中央部に位置し、該第2センサが該セラミックパックの該周辺部に位置すること;
    (ii)該光温度センサが、銅先端に組み込まれた光ファイバの前にリン層を備えること;
    (iii)該光温度センサが各々、該セラミックパックを支持するベースの熱から該温度センサを分離する温度分離ジャケットを備えること;
    の少なくとも1つを有する、請求項1記載のチャック。
  7. 基板プロセスチャンバにおいてベースによって支持された静電チャック上のプロセス堆積物の形成を減少させるとともに侵食から保護するリングアセンブリであって、該静電チャックが第1ステップと第2ステップを有する周辺棚をもったセラミックパックを備え、該ベースがチャック受容部をもった上面を有し、周辺部が該チャックを超えて伸び、該リングが
    (a)該ベースの該上面の該周辺部に固定することができるクランプリングであって、該クランプリングが、内向きに放射状に伸びて該セラミックパックの該周辺棚の該第1ステップ上に載せられて該セラミックパックと該ベースの該上面間の気密シールを形成するリップと、上面と、外側面とを有する、前記クランプリングと、
    (b)該クランプリングの該上面に載せられているフットを供えたバンドと、該クランプリングの該外側面を封入する環状外壁と、該セラミックパックの該周辺棚の該第2ステップを覆っているフランジとを備えているエッジリングと、
    を備え、それにより、該クランプリングと該エッジリングが、該基板処理チャンバにおいて基板の処理中に該ベース上に支持された該静電チャック上のプロセス堆積物の形成を減少させるとともに侵食から保護するために協働する、前記リングアセンブリ。
  8. 該エッジリングがセラミックを含む、請求項7記載のアセンブリ。
  9. 該セラミックが石英を含む、請求項8記載のアセンブリ。
  10. 該クランプリングがアルミニウム又はチタンを含む、請求項7記載のアセンブリ。
  11. 該クランプリングの該リップが、該セラミックパックの該周辺棚の該第1ステップ上に載せられている下面を備え、該下面がポリマー層を備えている、請求項7記載のアセンブリ。
  12. 該ポリマー層がポリイミドを含む、請求項7記載のアセンブリ。
  13. 基板プロセスチャンバにおいて静電チャックを保持するためのベースであって、該静電チャックが、(i)基板受容面と複数の隔置されたメサを備えた対向する裏面を有するセラミックパックと、(ii)該セラミックパックを横断し且つ該基板受容面上のポートで終わり、該基板受容面に熱伝達ガスを供給する複数の熱伝達ガスコンジットと、(iii)静電力を生成させる該セラミックパックに組み込まれた電極と、(iv)隔置され、該セラミックパックに組み込まれた第1ヒータコイルと第2ヒータコイルであって、該第1ヒータコイルが該セラミックパックの中央部に位置し、該第2ヒータコイルが該セラミックパックの周辺部に位置する、第1ヒータコイルと第2ヒータコイルを備え、該ベースが、
    (a)該セラミックパックの該裏面を受容するチャック受容部と該セラミックパックを超えて外向きに放射状に伸びる周辺部を備えた上面を有する金属本体であって、該チャック受容面が該セラミックパックの該裏面の周りに空気を含有するために周辺の溝を備えている前記金属本体と、
    (b)該セラミックパック内の該熱伝達ガスコンジットに熱伝達ガスを供給するための熱伝達ガス通路と、
    (c)その中に流体を循環させる該金属本体内の複数の流体チャネルと、
    (d)該静電チャックの該電極に電力を導くための電気端子アセンブリであって、電気端子アセンブリが、該電極に電力を供給するための複数の端子をその中に組み込んだセラミック絶縁ジャケットと該静電チャックのヒータコイルを備え、各端子が金属を含むコンタクトバンドによって囲まれ且つ複数の熱伝達ルーバを有する、前記電気端子アセンブリと、
    を備え、
    該第1ヒータコイルと第2ヒータコイルが該セラミックパックの該中央部と周辺部の温度の独立した制御を可能にするとともに該セラミックパックの該裏面上の該メサと協働して該セラミックパックの該基板受容面上に載置された基板の温度プロファイルの調整を可能にする、前記ベース。
  14. 該ベースの該チャック受容面上の該周辺の溝が該メサと協働して、該セラミックパックの周辺部からの熱伝達率を制御する、請求項13記載のベース。
  15. 該チャック受容面が、該メサと協働して該セラミックパックの周辺部からの熱伝達率を制御する中央の溝を更に備えている、請求項13記載のベース。
  16. プロセスチャンバ内で基板を受容するための基板支持体であって、そのアセンブリが、(a)(i)基板受容面と複数の隔置されたメサを備えた対向する裏面と、ステップを有する周辺棚を備えたセラミックパックと、
    (ii)該セラミック本体を横断し且つ該基板受容面上のポートで終わって、該基板受容面に熱伝達ガスを供給する複数の熱伝達ガスコンジットと、
    (iii)該セラミックパック内に組み込まれた電極であって、静電力を生成させて該基板受容面上に配置された基板を保持するために充電可能である前記電極と、
    (iV)放射状に隔置される第1ヒータコイルと第2ヒータコイルであって、該第1ヒータコイルが該セラミックパックの中央部に位置し、該第2ヒータコイルが該セラミックパックの周辺部に位置する、前記第1ヒータコイルと第2ヒータコイルと、
    を備えた静電チャックと、
    (b)該セラミックパックの該裏面を受容するチャック受容部と該セラミックパックを超えて外向きに放射状に伸びている周辺部とを備えた上面を有する金属本体を備えたベースと、
    (c)該セラミックパックの該周辺棚の該ステップに載せられて、該セラミックパックの該受容面上に保持された基板の上に横たわるエッジでシールを形成するエッジリングと、(d)該ベースの該周辺部に固定されたクランプリングであって、内向きに放射状に伸びて該セラミックパックの周辺棚上に載せられて、該セラミックパックで気密シールを形成するリップを有する、前記クランプリングと、
    を備え、
    該第1ヒータコイルと第2ヒータコイルが該セラミックパックの該中央部と周辺部の温度の独立した制御を可能にするとともに該セラミックパックの該裏面上の該メサと協働して該セラミックパックの該基板受容面上に載置された基板の温度プロファイルの調整を可能にする、前記支持体。
  17. 該エッジリングがセラミックを含んでいる、請求項16記載の支持体。
  18. 該セラミックが石英を含んでいる、請求項17記載の支持体。
  19. 該クランプリングがアルミニウム又はチタンを含んでいる、請求項16記載の支持体。
  20. 該クランプリングの該リップが該セラミックパックと接触した下面を備え、該下面がポリマー層を備えている、請求項16記載の支持体。
  21. 前記第1ヒータコイルと第2ヒータコイルが相互に同心である、請求項16記載の支持体。
  22. 該ベースの該チャック受容面が、該セラミックパックの該裏面の該メサの周りに空気を含有するために周辺の溝を備えている、請求項16記載の支持体。
  23. 該ベースの該上面が中央の溝を備えている、請求項16記載の支持体。
  24. 該ベースが、該静電チャックの該電極に電力を導くための電気端子アセンブリを備え、該端子アセンブリが、該静電チャックの該電極とヒータコイルに電力を供給するための複数の端子をその中に組み込んだセラミック絶縁ジャケットを備え、各電気端子がコンタクトバンドで囲まれている、請求項16記載の支持体。
JP2006314598A 2006-04-27 2006-11-21 二重温度帯を有する静電チャックをもつ基板支持体 Active JP5069452B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US79601306P 2006-04-27 2006-04-27
US60/796013 2006-04-27

Publications (2)

Publication Number Publication Date
JP2007300057A JP2007300057A (ja) 2007-11-15
JP5069452B2 true JP5069452B2 (ja) 2012-11-07

Family

ID=38769279

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2006314598A Active JP5069452B2 (ja) 2006-04-27 2006-11-21 二重温度帯を有する静電チャックをもつ基板支持体
JP2007119297A Active JP5183092B2 (ja) 2006-04-27 2007-04-27 二重温度帯を有する静電チャックをもつ基板支持体

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2007119297A Active JP5183092B2 (ja) 2006-04-27 2007-04-27 二重温度帯を有する静電チャックをもつ基板支持体

Country Status (4)

Country Link
JP (2) JP5069452B2 (ja)
KR (2) KR101380879B1 (ja)
CN (4) CN101093811B (ja)
TW (2) TWI357629B (ja)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7501605B2 (en) * 2006-08-29 2009-03-10 Lam Research Corporation Method of tuning thermal conductivity of electrostatic chuck support assembly
US9263298B2 (en) 2008-02-27 2016-02-16 Tokyo Electron Limited Plasma etching apparatus and plasma etching method
US7884925B2 (en) * 2008-05-23 2011-02-08 Lam Research Corporation Electrical and optical system and methods for monitoring erosion of electrostatic chuck edge bead materials
JP4913113B2 (ja) * 2008-11-27 2012-04-11 エイ・ディ・ピー・エンジニアリング・コーポレーション・リミテッド 平板表示素子製造装置の下部電極組立体
CN102396060A (zh) * 2009-04-24 2012-03-28 应用材料公司 具有侧出气口的基板支撑件及方法
US8270141B2 (en) * 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8613288B2 (en) * 2009-12-18 2013-12-24 Lam Research Ag High temperature chuck and method of using same
JP5267603B2 (ja) * 2010-03-24 2013-08-21 Toto株式会社 静電チャック
JP2012028539A (ja) * 2010-07-23 2012-02-09 Ngk Spark Plug Co Ltd セラミックス接合体
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
WO2012118606A2 (en) * 2011-03-01 2012-09-07 Applied Materials, Inc. Thin heated substrate support
JP5961917B2 (ja) * 2011-03-24 2016-08-03 住友電気工業株式会社 ウェハ保持体
WO2013049589A1 (en) * 2011-09-30 2013-04-04 Applied Materials, Inc. Electrostatic chuck with temperature control
CN102931133B (zh) * 2012-11-12 2016-02-10 中微半导体设备(上海)有限公司 一种改善硅穿孔工艺中刻蚀均匀性的方法
CN103938186B (zh) * 2013-01-23 2016-12-07 北京北方微电子基地设备工艺研究中心有限责任公司 托盘、mocvd反应腔和mocvd设备
JP6080571B2 (ja) * 2013-01-31 2017-02-15 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US9196514B2 (en) * 2013-09-06 2015-11-24 Applied Materials, Inc. Electrostatic chuck with variable pixilated heating
US9853579B2 (en) * 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
TWI734668B (zh) * 2014-06-23 2021-08-01 美商應用材料股份有限公司 在epi腔室中的基材熱控制
WO2016014138A1 (en) * 2014-07-23 2016-01-28 Applied Materials, Inc. Tunable temperature controlled substrate support assembly
JP6392612B2 (ja) * 2014-09-30 2018-09-19 日本特殊陶業株式会社 静電チャック
JP6463938B2 (ja) 2014-10-08 2019-02-06 日本特殊陶業株式会社 静電チャック
JP5987966B2 (ja) * 2014-12-10 2016-09-07 Toto株式会社 静電チャックおよびウェーハ処理装置
US10781518B2 (en) * 2014-12-11 2020-09-22 Applied Materials, Inc. Gas cooled electrostatic chuck (ESC) having a gas channel formed therein and coupled to a gas box on both ends of the gas channel
US9888528B2 (en) * 2014-12-31 2018-02-06 Applied Materials, Inc. Substrate support with multiple heating zones
US20160230269A1 (en) * 2015-02-06 2016-08-11 Applied Materials, Inc. Radially outward pad design for electrostatic chuck surface
JP6124156B2 (ja) * 2015-04-21 2017-05-10 Toto株式会社 静電チャックおよびウェーハ処理装置
US9870934B2 (en) 2015-07-28 2018-01-16 Micron Technology, Inc. Electrostatic chuck and temperature-control method for the same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
TWI808334B (zh) * 2015-08-06 2023-07-11 美商應用材料股份有限公司 工件握持器
CN108352297B (zh) * 2015-12-07 2023-04-28 应用材料公司 合并式盖环
US10582570B2 (en) * 2016-01-22 2020-03-03 Applied Materials, Inc. Sensor system for multi-zone electrostatic chuck
US10079168B2 (en) * 2016-11-08 2018-09-18 Lam Research Corporation Ceramic electrostatic chuck including embedded Faraday cage for RF delivery and associated methods for operation, monitoring, and control
US10246777B2 (en) * 2017-06-12 2019-04-02 Asm Ip Holding B.V. Heater block having continuous concavity
US11387134B2 (en) * 2018-01-19 2022-07-12 Applied Materials, Inc. Process kit for a substrate support
JP6522180B1 (ja) * 2018-02-08 2019-05-29 Sppテクノロジーズ株式会社 基板載置台及びこれを備えたプラズマ処理装置及びプラズマ処理方法
CN111801787B (zh) * 2018-09-13 2023-10-03 日本碍子株式会社 晶圆载置装置
KR102646838B1 (ko) * 2019-02-05 2024-03-11 어플라이드 머티어리얼스, 인코포레이티드 증착 프로세스들을 위한 마스크의 척킹을 위한 기판 지지부
US11887878B2 (en) * 2019-06-28 2024-01-30 Applied Materials, Inc. Detachable biasable electrostatic chuck for high temperature applications
CN110331386A (zh) * 2019-07-09 2019-10-15 长江存储科技有限责任公司 在半导体晶圆上形成薄膜的方法
JP7390880B2 (ja) * 2019-12-05 2023-12-04 東京エレクトロン株式会社 エッジリング及び基板処理装置
US11551951B2 (en) 2020-05-05 2023-01-10 Applied Materials, Inc. Methods and systems for temperature control for a substrate
CN111607785A (zh) * 2020-05-26 2020-09-01 北京北方华创微电子装备有限公司 一种加热装置及半导体加工设备
TWI748774B (zh) * 2020-12-01 2021-12-01 天虹科技股份有限公司 晶圓承載盤及應用晶圓承載盤的薄膜沉積裝置
CN114959654B (zh) * 2021-02-26 2024-01-09 鑫天虹(厦门)科技有限公司 晶圆承载盘及应用晶圆承载盘的薄膜沉积装置
WO2024015187A1 (en) * 2022-07-11 2024-01-18 Applied Materials, Inc. Process kit for a substrate support

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62150839A (ja) * 1985-12-25 1987-07-04 Hitachi Ltd 半導体組立装置
JPH07153822A (ja) * 1993-11-30 1995-06-16 Oki Electric Ind Co Ltd プラズマ処理装置
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
JPH10303286A (ja) * 1997-02-25 1998-11-13 Applied Materials Inc 静電チャック及び半導体製造装置
JP3805134B2 (ja) * 1999-05-25 2006-08-02 東陶機器株式会社 絶縁性基板吸着用静電チャック
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
JP2002170753A (ja) * 1999-11-30 2002-06-14 Ibiden Co Ltd 半導体製造・検査用セラミックヒータ
JP4209057B2 (ja) * 1999-12-01 2009-01-14 東京エレクトロン株式会社 セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法
US6223447B1 (en) * 2000-02-15 2001-05-01 Applied Materials, Inc. Fastening device for a purge ring
US6481886B1 (en) * 2000-02-24 2002-11-19 Applied Materials Inc. Apparatus for measuring pedestal and substrate temperature in a semiconductor wafer processing system
KR20010111058A (ko) * 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
JP4697833B2 (ja) * 2000-06-14 2011-06-08 キヤノンアネルバ株式会社 静電吸着機構及び表面処理装置
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
JP4620879B2 (ja) * 2001-01-23 2011-01-26 キヤノンアネルバ株式会社 基板温度制御機構及び真空処理装置
KR100397891B1 (ko) 2001-07-25 2003-09-19 삼성전자주식회사 반도체 장치 식각설비의 척 조립체
US6664738B2 (en) * 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
JP4355159B2 (ja) 2002-04-16 2009-10-28 キヤノンアネルバ株式会社 静電吸着ホルダー及び基板処理装置
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
CN2585414Y (zh) * 2002-11-08 2003-11-05 冯自平 具有温度均衡通道的散热器
US7347901B2 (en) * 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
JP2004282047A (ja) 2003-02-25 2004-10-07 Kyocera Corp 静電チャック
EP1458019A3 (de) * 2003-03-13 2005-12-28 VenTec Gesellschaft für Venturekapital und Unternehmensberatung Mobiler transportabler elektrostatischer Substrathalter
CN1310285C (zh) * 2003-05-12 2007-04-11 东京毅力科创株式会社 处理装置
US7072165B2 (en) * 2003-08-18 2006-07-04 Axcelis Technologies, Inc. MEMS based multi-polar electrostatic chuck
US7663860B2 (en) * 2003-12-05 2010-02-16 Tokyo Electron Limited Electrostatic chuck
JP4674792B2 (ja) 2003-12-05 2011-04-20 東京エレクトロン株式会社 静電チャック
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US20060023395A1 (en) * 2004-07-30 2006-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for temperature control of semiconductor wafers
CN100382275C (zh) * 2004-10-29 2008-04-16 东京毅力科创株式会社 基板载置台、基板处理装置及基板的温度控制方法

Also Published As

Publication number Publication date
TWI357629B (en) 2012-02-01
CN101093811A (zh) 2007-12-26
JP5183092B2 (ja) 2013-04-17
CN101887865B (zh) 2013-06-19
CN102593031B (zh) 2015-09-16
CN101093812A (zh) 2007-12-26
CN101887865A (zh) 2010-11-17
CN102593031A (zh) 2012-07-18
CN101093811B (zh) 2012-04-25
KR101380879B1 (ko) 2014-04-02
TW200807606A (en) 2008-02-01
TW200809999A (en) 2008-02-16
JP2007300057A (ja) 2007-11-15
JP2007300119A (ja) 2007-11-15
TWI463588B (zh) 2014-12-01
KR20070105828A (ko) 2007-10-31
KR20070105929A (ko) 2007-10-31
KR101387598B1 (ko) 2014-04-23

Similar Documents

Publication Publication Date Title
JP5069452B2 (ja) 二重温度帯を有する静電チャックをもつ基板支持体
US8226769B2 (en) Substrate support with electrostatic chuck having dual temperature zones
US10257887B2 (en) Substrate support assembly
KR101532906B1 (ko) 신속한 온도 구배 제어에 의한 기판 프로세싱
US8216486B2 (en) Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
US10131994B2 (en) Inductively coupled plasma source with top coil over a ceiling and an independent side coil and independent air flow
JP2015536043A (ja) 基板処理システムにおける温度制御
JP2013526778A (ja) 限定プロセス容積pecvdチャンバ
WO2011075437A2 (en) Multifunctional heater/chiller pedestal for wide range wafer temperature control
KR19980063671A (ko) 기판의 균일 가열을 위한 기판 지지부재
JP2011228745A (ja) セラミック基体支持体
TW202121580A (zh) 具有多區加熱的托座
CN113169111A (zh) 具有改良的热耦合以用于热敏感处理的静电吸盘
TW202137824A (zh) 基板處理裝置及載置台
US6508062B2 (en) Thermal exchanger for a wafer chuck
CN108682635B (zh) 具有加热机制的晶圆座及包含该晶圆座的反应腔体
JP7413128B2 (ja) 基板支持台
TW201944855A (zh) 用於hdp cvd的帶有嵌入式加熱元件和嵌入式rf線圈的進階陶瓷蓋及感應耦合電漿處理腔室

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091111

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101108

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101209

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110920

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111013

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120113

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120118

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120210

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120215

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120313

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120316

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120412

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120719

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120817

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150824

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5069452

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150824

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150824

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150824

Year of fee payment: 3

R157 Certificate of patent or utility model (correction)

Free format text: JAPANESE INTERMEDIATE CODE: R157

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250