TWI808334B - 工件握持器 - Google Patents

工件握持器 Download PDF

Info

Publication number
TWI808334B
TWI808334B TW109125270A TW109125270A TWI808334B TW I808334 B TWI808334 B TW I808334B TW 109125270 A TW109125270 A TW 109125270A TW 109125270 A TW109125270 A TW 109125270A TW I808334 B TWI808334 B TW I808334B
Authority
TW
Taiwan
Prior art keywords
puck
heat sink
workpiece holder
thermal
heater
Prior art date
Application number
TW109125270A
Other languages
English (en)
Other versions
TW202111858A (zh
Inventor
大衛 班傑明森
迪米奇 路柏曼斯基
安納達希拉凡斯 瑪斯
沙拿凡納庫瑪 納塔拉杰
查瑞 修伯翰
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/820,422 external-priority patent/US9691645B2/en
Priority claimed from US14/820,365 external-priority patent/US9741593B2/en
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202111858A publication Critical patent/TW202111858A/zh
Application granted granted Critical
Publication of TWI808334B publication Critical patent/TWI808334B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting substrates others than wafers, e.g. chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • H01L21/3247Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering for altering the shape, e.g. smoothing the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Resistance Heating (AREA)
  • Drying Of Semiconductors (AREA)
  • Grinding Of Cylindrical And Plane Surfaces (AREA)

Abstract

一種工件握持器,包括:一定位盤;第一及第二加 熱裝置,與該定位盤的各別的內及外部分熱連通;及一冷源,與該定位盤熱連通。該第一及第二加熱裝置可獨立控制,且該第一及第二加熱裝置相較於該冷源與該定位盤進行的熱連通,與該定位盤進行更大的熱連通。一種控制一工件之溫度分佈的方法,包括以下步驟:將一熱交換流體流過一冷源,以對一定位盤建立一參考溫度;藉由啟動安置為與該定位盤的該徑向內及外部分熱連通的各別第一及第二加熱裝置,將該定位盤之徑向內及外部分的溫度升高至大於該參考溫度的第一及第二溫度;及將該工件放置在該定位盤上。

Description

工件握持器
對相關申請案的交叉引用:本揭示案關於第14/820,365號(代理人案號A23061/K947524)之共同擁有之美國專利申請案的標的,該美國申請案與此申請案同時於2015年8月6日提出,且該美國申請案的整體針對所有用途以引用方式併入本文中。
本揭示案廣泛地應用於處理設備的領域。更具體而言,揭露了用於在工件上提供空間上量身定制之處理的系統及方法。
積體電路及其他半導體產品通常在稱為「晶圓」之基板的表面上製造。有時候,處理執行於握持於載具中之晶圓的群組上,而在其他時候,處理及測試一次執行於一個晶圓上。在執行單一的晶圓處理或測試時,晶圓可定位於晶圓夾具上。亦可在類似的夾具上處理其他工件。夾具可為溫度受控的,以針對處理控制工件的溫度。
在一實施例中,一工件握持器定位一工件以供處理。該工件握持器包括:一實質圓柱形定位盤;一第一加熱裝置,安置為與該定位盤的一徑向內部分熱連通;一第二加熱裝置,安置為與該定位盤的一徑向外部分熱連通;及一冷源,安置為與該定位盤熱連通。該第一及第二加熱裝置相對於彼此可獨立控制,且相較於該冷源與該定位盤進行的一熱連通程度,該第一及第二加熱裝置與該定位盤各別進行更大程度的熱連通。
在一實施例中,一種控制一工件之空間溫度分佈的方法,包括以下步驟:藉由將一熱交換流體以一經控制溫度流過一冷源中與該定位盤熱連通的通道向一實質圓柱形定位盤提供一參考溫度,藉由啟動安置為與該定位盤的一徑向內部分熱連通的一第一加熱裝置,將該定位盤之該徑向內部分的一溫度升高至大於該參考溫度的一第一溫度,藉由啟動安置為與該定位盤的一徑向外部分熱連通的一第二加熱裝置,將該定位盤之該徑向外部分的一溫度升高至大於該參考溫度的一第二溫度,及將該工件放置於該定位盤上。
在一實施例中,定位一工件以供處理的一工件握持器包括:一實質圓柱形定位盤,特徵為一圓柱軸及一實質平面的頂面。該定位盤定義兩個徑向斷熱器。第一個斷熱器被特徵化為一徑向凹口,該徑向凹口以一第一半徑相交於該定位盤的一底面,且從該底面延伸穿過該定位盤之一厚度的至少一半。第二個斷熱器被特徵化為一徑向凹口,該徑向凹口以大於該第一半徑的一第二半徑相交於該定位盤的該頂面,且從該頂面延伸穿過該定位盤之一厚度的至少一半。該第一及第二斷熱器在該定位盤的一徑向內部分及該定位盤的一徑向外部分之間定義一分界。該定位盤包括嵌入於該定位盤之該徑向內部分內的一第一加熱裝置及嵌入於該定位盤之該徑向外部分內的一第二加熱裝置。該工件握持器亦包括一冷源,該冷源實質上延伸於該定位盤的該底面下方,該冷源包括一金屬板,該金屬板將一熱交換流體流過定義於其中的通道,以針對該定位盤維持一參考溫度。該冷源於附接點處與該定位盤機械及熱耦合,該等附接點在該冷源及該定位盤之間提供一熱連通程度,該熱連通程度小於該第一及第二加熱裝置中之各者及該定位盤之間的一熱連通程度。
可藉由結合採用以下所述的繪圖來參照以下的詳細說明來瞭解本揭示案,其中類似的參考標號係在若干繪圖各處用以指類似的元件。注意的是,為了清楚說明的目的,繪圖中的某些構件可不按比例繪製。可藉由使用後面有破折號的標號(例如加熱器220-1、220-2)指示項目的特定實例,而不具括號的標號指任何此類項目(例如加熱器220)。為了清楚說明,在圖示多個項目實例的實例中,只有實例中的某些部分可被標示。
圖1示意性地繪示晶圓處理系統100的主要構件。系統100係描繪為單一晶圓、半導體晶圓電漿處理系統,但對於本領域中具技藝者將是明確的是,本文中的技術及原理可施用於任何類型的晶圓處理系統(例如並不一定處理晶圓或半導體且不一定針對處理利用電漿的系統)。處理系統100包括用於晶圓介面115、使用者介面120、電漿處理單元130、控制器140及一或更多個電源150的外殼110。處理系統100由各種設施所支援,該等設施可包括氣體(或多種)155、外部電源170、真空160及可選的其他物。為了清楚說明,未圖示處理系統100內的內部管道及電性連接。
處理系統100圖示為所謂的間接電漿處理系統,其在第一位置處產生電漿,且將電漿及/或電漿產物(例如離子、分子碎體、受激物種及類似物)引導至處理步驟發生的第二位置。因此,在圖1中,電漿處理單元130包括電漿源132,該電漿源132供應處理腔室134的電漿及/或電漿產物。處理腔室134包括一或更多個工件握持器135,晶圓介面115將要握持以供處理的工件50(例如半導體晶圓,但可為不同類型的工件)放置在該等工件握持器135上。在工件50是半導體晶圓時,工件握持器135通常稱為晶圓夾具。操作時,氣體(或多種)155係引進電漿源132,且射頻產生器(RF Gen)165供應電力以點燃電漿源132內的電漿。電漿及/或電漿產物從電漿源132穿過擴散板137至處理腔室134,工件50在處理腔室134處被處理。替代於或附加於來自電漿源132的電漿,亦可在處理腔室134內點燃電漿以供進行工件50的直接電漿處理。
本文中的實施例針對電漿處理系統提供新的且有用的機能。顯著地在這幾年,在特徵尺寸已減少的同時半導體晶圓尺寸已增加,以致於每個受處理晶圓可收獲更多具有更佳機能的積體電路。在晶圓成長得更大的同時處理較小的特徵需要處理均勻性上的顯著改良。因為化學反應速率通常是對溫度敏感的,處理期間之跨晶圓的溫度控制通常對於均勻處理而言是關鍵的。
並且,某些類型的處理可具有徑向效應(例如從晶圓的中心到邊緣變化的處理)。某些類型的處理設備相較於其他類型的處理設備較佳地控制這些效應。本文中的實施例認識到,最好控制徑向效應,且會進一步有利的是能夠提供可量身定制以補償不能達到如此控制之處理的徑向處理。例如,考慮以下情況:層沉積於晶圓上且接著被選擇性地蝕刻掉,如在半導體處理中是常見的。若沉積步驟已知是於晶圓的邊緣處沉積相較於在該晶圓的中心處較厚的層,則補償蝕刻步驟會有利地在晶圓的邊緣處相較於該晶圓的中心處提供更高的蝕刻率,使得經沉積的層會在晶圓的所有部分處同時被蝕刻完全。類似地,若蝕刻處理已知為具有中心至邊緣的變化,則蝕刻處理之前的補償沉積可調整為提供相對應的變化。
在許多具有徑向效應之處理的如此情況下,可藉由提供明確的中心至邊緣溫度變化來提供補償處理,因為溫度通常實質影響處理的反應速率。
圖2為一示意橫截面,繪示圖1之工件握持器135的示例性構造細節。如圖2中所示,工件握持器135包括實質上圓柱形的定位盤200,且具有從圓柱軸Z在徑向方向R上有著定位盤半徑r1意義上的特徵。使用時,工件50(例如晶圓)可放置於定位盤200上以供處理。定位盤200的底面204被採取為定位盤200的中央底面高度;亦即,不包括定位盤200可能針對其他硬體形成為附接點的特徵(例如邊緣環或其他凸部206,或凹痕208)而在軸Z的方向上定義定位盤200之一般底面高度的平面。類似地,頂面202被採取為配置為容納工件50的平坦面,不考慮可能形成於該平坦面中的溝槽(例如真空通道,參照圖4)及/或固定工件50的其他特徵。所有此類凸部、凹痕、溝槽、環等等在此說明書的背景中並不減損定位盤200「實質上圓柱形」的特徵。定位盤200亦可具有在底面204及頂面202之間有著厚度t之意義上的特徵,如所示。在某些實施例中,定位盤半徑r1為定位盤厚度t的至少四倍,但這並非需求。
定位盤200定義一或更多個徑向斷熱器210,如所示。斷熱器210為定位盤200中所定義的徑向凹口,該凹口相交於定位盤200之頂面202或底面204中的至少一者。斷熱器210恰如其名地作用,亦即,它們在定位盤200的徑向內部分212及徑向外部分214之間提供熱阻。這促進了定位盤200之徑向內及外部分的明確的徑向(例如中心至邊緣)熱控制,這在提供內及外部分的準確熱匹配或跨內及外部分提供故意的溫度變化的意義上是有利的。斷熱器210可具有有著斷熱器深度及斷熱器半徑之意義上的特徵。斷熱器210的深度可在實施例之中變化,但斷熱器深度通常超過厚度t的二分之一。斷熱器210的徑向定位亦可在實施例之中變化,但斷熱器半徑r2通常為定位盤半徑r1的至少二分之一,且在其他實施例中,r2可為定位盤半徑r1的四分之三、五分之四、六分之五或更多。某些實施例可使用單一斷熱器210,而其他實施例可使用兩個斷熱器210(如圖2中所示)或更多個。徑向內部分212及徑向外部分214之間的區別點被繪示為兩個斷熱器210之間的徑向平均位置,但在具有單一斷熱器210的實施例中,此類區別點可被視為是單一斷熱器210的徑向中點。
可有利地使用斷熱器(如圖2中所繪示)的一個方式是,向定位盤200的內部分212及外部分214提供徑向施加的加熱及/或冷卻。圖3為一示意橫截面圖,繪示將加熱器及冷源與定位盤200的內及外部分整合。為了說明清楚,定位盤200的某些機械細節未示於圖3中。圖3繪示由定位盤200及可選冷源230所定義的中心通道201。與圖4連結描述中心通道201。內加熱器220-1及外加熱器220-2安置為與定位盤200熱連通;加熱器220圖示為嵌入於定位盤200內,儘管這並非是需要的。對於加熱器220而言可為有利的是,跨定位盤200的大部分放置,但加熱器220跨底面204的分佈可在實施例中變化。由加熱器220所提供的熱將實質控制定位盤200之內部分212及外部分214的溫度;斷熱器210協助部分212及214彼此熱隔離,以改良其熱控制的準確度。加熱器220一般為電阻式加熱器,但可使用其他類型的加熱器(例如利用受迫的氣體(forced gas)或液體)。
亦可提供可選的冷源230。冷源230可控制為相較於一般操作溫度呈現較低的溫度,其例如藉由使處於受控溫度下的熱交換液體穿過該冷源230流動來進行,或藉由使用冷卻裝置(例如帕耳帖(Peltier)冷卻器)來進行。當存在時,冷源230提供若干優點。一個此類優點是提供一參考溫度,在沒有由加熱器220所提供之熱的情況下,定位盤200的所有部分傾向於具有該參考溫度。亦即,儘管加熱器220可提供熱,此類熱通常會在所有方向上穿過定位盤200傳播。冷源230提供將定位盤200之所有部分驅動至較低溫度的能力,使得若加熱器220位於定位盤200的特定部分,由加熱器所產生的熱並不僅在每個方向上在 定位盤200各處擴散,且加熱定位盤200的一部分,在該部分處,來自加熱器220的熱局部超過冷源230移除熱的趨勢。當存在時,冷源230可在複數個附接點222(示意性地圖示於圖3中,儘管附接點222可不類似圖3中所示者;參照圖6A、6B及6C)處與定位盤200熱及/或機械耦合。附接點222最好是眾多的,且均勻地散佈於定位盤200的底面204周圍。附接點222實質上提供定位盤200與冷源230的所有熱連通,提供附接點222之眾多及均勻散佈的佈置,使得所提供的參考溫度被均一地施加。例如,直徑上至少十吋的定位盤200可具有至少二十個附接點或更多個,且直徑上至少十二吋的定位盤200可具有至少三十個附接點或更多個。
相關的優點是,冷源230可提供快速的熱沉降效能,使得在加熱器220的溫度設定(例如電流穿過電阻導線)減少時,定位盤200的相鄰部分以相對快速的溫度減少而反應。這例如提供了以下益處:能夠將工件50加載至定位盤200上,穿過加熱器220提供熱,及達成工件50上之溫度的快速穩定化,使得處理可快速開始,以最大化系統總處理量。在沒有允許某些熱耗散至冷源230之熱連通的情況下,由定位盤200的部分所到達的溫度會僅如其他熱耗散路徑會允許般地快速減少。
加熱器220及冷源230一般與定位盤200以不同程度的熱連通來安置;例如加熱器220可說是與定位盤200直接熱連通,而冷源與定位盤200間接熱連通。亦即,加 熱器220一般針對與定位盤200進行高度熱耦合而定位,其中冷源230針對與定位盤200進行較低程度(至少相較於加熱器220與定位盤200進行較低程度的熱耦合)的熱耦合而定位。並且,加熱器220具有充足的熱生成效能,使得由加熱器220所施加的熱可壓過定位盤200與冷源230進行的熱耦合,使得即使在由加熱器220所產生的某些熱穿過冷源230耗散的同時,加熱器220亦可升高定位盤200之內部分212及外部分214的溫度。因此,由加熱器220所提供的熱可(但非立即)穿過冷源230耗散。在實施例中,定位盤200、加熱器220及冷源230之中的熱耦合的放置及程度可依據本文中的原理來調整,以例如平衡以下考量:內部分212及外部分214中之各者內的溫度均勻性、熱穩定化的快速性、製造複雜性及成本以及整體能量消耗。
冷源230的又另一優點是將由加熱器220所產生的熱侷限於定位盤200附近。亦即,冷源230可針對相鄰的系統元件提供熱上限,以保護此類元件免於於定位盤200處產生的高溫。這可改良系統的機械穩定性及/或防止對於對溫度敏感之元件的損害。
可以各種方式實施加熱器220及冷源230。在一實施例中,由纜線型加熱構件提供加熱器220,該等加熱構件與定位盤200整合且接著(可選地)與冷源230整合以形成晶圓夾具組件。如本文中所揭露地設計、組裝及操作的實施例允許明確地控制工件(例如晶圓)邊緣區域相對於中心區域的溫度,且以明確的中心至邊緣溫度控制來促 進處理,該明確的中心至邊緣溫度控制一般不可以先前技術的系統來達成。
圖4為一示意橫截面圖,繪示晶圓夾具的一部分,其繪示定位盤200、充當加熱器220-1的電阻式加熱器以及冷源230的特徵。圖4為了清楚說明較小的特徵,表示晶圓夾具靠近其圓柱軸Z的一部分,且並非依比例繪製。定位盤200一般以鋁合金形成,例如熟知的「6061」合金類型。定位盤200圖示為定義連接於定位盤200之頂面202上的表面溝槽或通道205,且定義為具有居中於軸Z周圍的中心通道201。真空可供應至中心通道201,減少通道205內的壓力,使得大氣壓力(或相對高壓電漿或低壓沉積系統的氣體壓力,例如約10-20托(Torr))將使工件50(參照圖1、2)對著定位盤200推動,提供定位盤200及工件50之間的良好的熱連通。
內電阻式加熱器220-1繪示於圖4中,但應瞭解的是,內電阻式加熱器220-1的說明及以下描述同等地施加於外電阻式加熱器220-2。電阻式加熱器220-1包括纜線加熱器264,該纜線加熱器264以螺旋或其他方式纏繞於定位盤200內。纜線加熱器264藉由將其放置於定位盤200中的溝槽內及將溝槽加蓋來組裝進定位盤200(參照圖5)。在將纜線加熱器264組裝為內電阻式加熱器220-1(且將第二纜線加熱器組裝為外電阻式加熱器220-2)之後,定位盤200藉由固定器270組裝至冷源230。定位盤200及冷源230兩者針對固定器270提供附接點的區域被佈置為管理固定器270周圍之定位盤200及冷源230之間的熱傳輸特性,如以下更詳細進一步論述的(參照圖6A、6B、6C)。
圖5示意性地繪示定位盤200-1的下側,該下側具有安裝於該下側中而分別作為內及外電阻式加熱器的纜線加熱器264-1及264-2。斷熱器210為定義於定位盤200-1之底面204中的凹口,且在定位盤200的內部分212及外部分214之間形成徑向分界(參照圖2、3)。纜線加熱器264-1沿大致螺旋形的路徑從連接器262-1延伸,為了對內部分212的所有區域進行均勻的熱傳輸而佈置該路徑。加熱器蓋266-1繪示為螺旋形路徑的陰影部分;加熱器蓋266-1在纜線加熱器264-1被放置到位之後耦合到位。在一實施例中,加熱器蓋266-1是預先形成成溝槽形狀的楞條,纜線加熱器264-1安裝於該溝槽中,且該加熱器蓋266-1被固定到位。加熱器蓋266-1例如可使用電子束焊接技術焊接到位,但亦可以黏著劑或填充物(例如環氧樹脂)固定。楞條較佳地至少沿纜線加熱器之弧長的部分焊接到位,但不需要沿該纜線加熱器的整個弧長焊接(例如可不焊接部分以避免對於上覆結構(例如纜線加熱器264-2)的損害)。在一實施例中,加熱器蓋266-1使用電子束焊接技術焊接到位。冷至熱轉移點265-1指示纜線加熱器264-1(從連接器262-1延伸且隱藏在加熱器蓋266-1下方)中的導線與纜線加熱器264-1內的電阻材料連接於何處。因此,小量的熱產生於連接器262-1及轉移點265-1之間,但均勻的每單位長度熱量產生於經過轉移點265-1的纜線加熱器264-1中。纜線加熱器264-2從連接器262-2延伸,首先徑向朝外從定位盤200的中心區域(在該處,穿過晶圓夾具的軸作出連接)延伸,接著沿針對均勻熱傳輸而佈置的大致圓形的路徑延伸至外部分214。加熱器蓋266-2繪示為螺旋形路徑的陰影部分;加熱器蓋266-2在纜線加熱器264-2被放置到位之後耦合到位。在一實施例中,加熱器蓋266-2是預先形成成溝槽形狀的楞條,纜線加熱器264-2安裝於該溝槽中,且該加熱器蓋266-2使用電子束焊接技術焊接到位。類似於加熱器蓋266-1,形成加熱器蓋266-2的楞條較佳地至少沿其弧長的部分焊接到位,但不需要沿其整個弧長焊接。冷至熱轉移點265-2指示纜線加熱器264-2(從連接器262-2延伸且隱藏在加熱器蓋266-2下方)中的導線與纜線加熱器264-2內的電阻材料連接於何處。因此,小量的熱產生於連接器262-2及轉移點265-2之間,但均勻的每單位長度熱量產生於經過轉移點265-2的纜線加熱器264-2中。凸部268的集合亦繪示於圖5中。凸部268為從底面204凸出繪圖平面的凸部(例如使得它們將面向冷源230,參照圖3)。凸部268形成用於附接點222的位置,與固定器270協同作用(圖4),且於下連結圖6A、6B更詳細地論述。
圖6A為在固定器270附近之如圖4中所示之定位盤200之一部分及可選冷源230的詳細視圖。定位盤200包括以加熱器蓋266密封進定位盤200的纜線加熱器264,如以上連結圖5所論述的。如以上進一步提到的,可選冷源230可針對定位盤200提供參考溫度,然而理想的是,冷源230及定位盤200相較於定位盤200及加熱器220之間是針對較低程度的熱連通而佈置。因此,允許冷源230及定位盤200間之熱連通的附接點最好是佈置為管理其間的熱傳輸特性。例如,定位盤200及冷源230可經製造,使得側向間隙276存在於凸部268及冷源230之間,如所示。亦即,冷源230的厚度在凸部268附近的變薄區域235中減少,且變薄區域235的側向幅度大於凸部268的側向幅度,形成凸部268及冷源230的整個厚度部分之間的側向間隙276。冷源230形成供固定器270穿過的孔徑,且凸部268定義內部孔隙275,該孔隙275的一部分的內部可具有螺紋以供固定器270耦合至該孔隙275。然而,孔隙275相較於固定器270的長度可較長(例如如圖6A中所示),以限制從定位盤200穿過凸部268進行的熱傳輸。定位盤200附接至冷源230的實體附接點包括凸部268、固定器270及墊圈272的對偶。固定器270附近的主熱傳輸路徑於圖6A及6B中圖示為實的、波形的箭頭278,而次(例如輻射的)熱傳輸路徑圖示為虛的、波形的箭頭279。於下連結圖6C論述孔隙231。
圖6B示意性地繪示未壓縮狀態下之波形墊圈272的實施例。儘管可能在某些實施例中利用扁平墊圈,波形墊圈在其他實施例中是有利的。墊圈272之方位上波形的形式的有利之處在於,定位盤200可在不相對於彼此過度限制定位盤200或冷源230的情況下,在複數個點處與冷源230耦合。亦即,假設只有三個點形成數學意義上的平面,定位盤200及冷源230之間的大於三個附接點形成被過度限制的系統,該系統在定位盤200的冷源230及凸部268之間的該複數個附接點上施加非常嚴格的機械容差。使用波形墊圈272在此類特徵中允許更寬鬆的平面性容差,因為墊圈272將在一定範圍壓縮的各處提供機械耦合,而不是需要各別元件的附接點沿完美的平坦表面定位。類似地,波形墊圈272的壓縮範圍允許定位盤200及/或冷源230中的局部熱膨脹效應。在某些實施例中,波形墊圈272具有經壓縮厚度274至少兩倍的未壓縮厚度273;在其他實施例中,波形墊圈272具有經壓縮厚度274至少五倍的未壓縮厚度273。儘管墊圈272為了清楚說明在圖6A中以扁平橫斷面輪廓圖示,在閱讀及瞭解本揭示案之後將理解的是固定器270可不完全緊縮至扁平化波形墊圈272的點,使得某些波形在安裝時將存在於波形墊圈272的許多(若非全部的話)實例中。並且,在使用時,波形墊圈272藉由迫使熱從凸部268穿過至墊圈272接觸凸部268的局部尖峰,接著側向地在墊圈272內通到墊圈272接觸冷源230的局部通孔,來減少凸部268及冷源230之間的熱連通。墊圈272可例如以鈹銅形成。某些實施例利用兩個墊圈272,其中一個墊圈272在冷源230的任一側上(如所示),而其他實施例僅利用單一墊圈272,該單一墊圈272一般在凸部268及冷源230之間。
圖6C提供固定器270附近之向上看的底部平面圖。在圖6C中,虛線6A-6A指示圖6A中所示的橫截平面。冷源230在固定器270附近的變薄區域235內形成一或更多個孔隙231。孔隙231進一步減少定位盤200及冷源230之間的熱連通。圖示於圖6C中之冷源230中之孔隙231的數量及佈置是非必要的;在閱讀及瞭解本揭示案之後將理解的是,孔隙231在尺寸、數量及佈置上可更改,以調整冷源230及定位盤200之間的熱耦合特性。例如,冷源230及定位盤200之間的熱耦合可藉由以下步驟進一步減少:提供第二孔隙231的集合(從孔隙231徑向向外,如圖6C中所示),及相對於所示的孔隙231交錯額外集合的佈置,以加長凸部268及冷源230主體之間的熱路徑。並且,儘管圖6C將變薄區域235的外緣圖示為與孔隙231的外緣重合,不一定總是是此類情況。某些實施例可具有在變薄區域235邊緣很裡面的孔隙231,或該等孔隙231部分地延伸進變薄區域235外面的冷源230。類似地,凸部268的數量、放置及壁厚度可更改為在定位盤200及冷源230之間達成更高或更低的熱傳導。
提供相交於定位盤200之頂面的至少一個斷熱器210的進一步優點是,某些機械特徵可至少部分地安置在斷熱器內,使得該等機械特徵不在定位盤200的表面上產生熱異常。例如,晶圓夾具通常提供升降銷,該等升降銷可用以升起晶圓而離開夾具一小段距離,以促進由晶圓處置工具進行接取(一般使用在晶圓升起之後插入於晶圓及夾具之間的輪葉或其他裝置來進行)。然而,升降銷一般回縮進夾具中的孔洞,且此類孔洞及升降銷結構可在處理期間局部影響晶圓溫度。在斷熱器相交於定位盤200的頂面時,已經存在供在不引起熱異常的情況下放置如此機構的位置。
圖7示意性地繪示晶圓夾具具有升降銷機構300的一部分,該升降銷機構控制升降銷310,該升降銷安置於斷熱器210內。亦圖示加熱器220的部分及可選冷源230。圖7中所繪示的橫截平面穿過機構300的中心,使其元件在一斷熱器210下部分內。在所示的平面中及外,定位盤200、斷熱器210及冷源230可具有類似於圖3及4中所示的那些輪廓的輪廓,使得斷熱器210將穿過定位盤200沿該斷熱器210的弧長延續(參照圖8),其中機構300安置於該斷熱器210中。並且,升降銷機構300相對於定位盤200的中心軸受限於相當小的方位角(再次參照圖8)。亦即,若向圖7中所示平面的內或外以一定距離採取橫截平面,定位盤200的底面沿圖7中指示底面204的相同平面會是連續的,且冷源230在定位盤200下會是連續的。升降銷機構300的小尺寸在升降銷機構300的區域中限制定位盤200的熱偏差。圖7圖示處於回縮位置下的升降銷310,其中其將不在定位盤200的表面上產生熱異常。
圖8示意性地在一平面圖中繪示三個升降銷佈置,其中升降銷310安置於斷熱器210內。圖8並非按依比例繪製,具體而言,斷熱器210被誇大,以清楚圖示升降銷機構300及升降銷310。因為升降銷310回縮到定位盤200的平均表面很下方而進入斷熱器210,升降銷310並不在處理期間產生空間的熱異常,使得在升降銷310的位置處被處理之工件的部分(例如位於半導體晶圓之相對應位置處的特定積體電路)經歷與工件上其他處處理一致的處理。
圖9為用於處理晶圓或其他工件(在瞭解該等概念可施用於晶圓以外的工件的情況下,在下文中為了方便就稱為「產品晶圓」)之方法400的流程圖。方法400可獨一地由連結圖2-8所述的熱管理裝置所啟用,該熱管理裝置可用以提供明確的中心至邊緣的熱控制,其反過來允許明確的中心至邊緣的處理控制。方法400的第一步驟420以第一中心至邊緣處理變化處理產品晶圓。方法400的第二步驟440以補償第一中心至邊緣變化的第二中心至邊緣處理變化處理產品晶圓。一般而言,將在設備中或在無意間或不可控制地產生相關聯之中心至邊緣處理變化(下文中稱為「未經控制的變化」)的處理環境中實現420或440中的一者或另一者,但這並非必要的。並且,一般而言,另一者實現於例如為本文中所述之設備的設備中,使得穿過允許明確控制產品晶圓的中心及邊緣部分的熱管理技術,引起另一中心至邊緣處理變化(下文中稱為「經控制的變化」),以提供相對應的、反向的處理變化。然而,未經控制的變化及經控制的變化可以任一順序發生。亦即,420可引起未經控制的或經控制的變化,而440可引起未經控制及經控制的變化中的另一者。圖10及11向本領域中具技藝者提供額外指引,以允許有用地行使方法400。
圖10為一方法401的流程圖,該方法401包括(但不限於)方法400之方法的步驟420。圖10中所示的所有410-418及422在執行方法400以達成有用的晶圓處理結果時被視為是可選的(但在實施例中可為有幫助的)。
步驟410設置關於第一中心至邊緣處理變化的設備特性,該中心至邊緣處理變化將產生於420處。例如,在期望420引起經控制的變化時,410可涉及提供例如為加熱器設定的設備參數,該等設備參數將提供經控制的中心至邊緣溫度變化。如本文中圖2-8中所述的設備在提供經控制的中心至邊緣溫度變化時是有用的。步驟412量測關於第一中心至邊緣處理變化的設備特性。可隨時間獲取關於設備設定(或經量測之設備特性)中的何者在產生已知的中心至邊緣處理變化時是成功(或至少提供穩定的處理變化,儘管是無意的)的處理知識。在考量此處理知識時,若412中所量測的設備特性可能被改良,則方法401可可選地從412回到410,以調整設備特性。步驟414處理接收第一中心至邊緣處理變化的一或更多個測試晶圓。步驟416量測於步驟414中所處理之測試晶圓上之第一中心至邊緣處理變化的一或更多個特性。方法401可可選地從416回到410,以依據416中所量測的中心至邊緣處理特性來調整設備特性。414中所處理的任何晶圓可可選地在418中被儲存,以供在第二處理(例如之後在440中要執行的處理) 中測試。並且,可與420平行執行414。亦即,在處理設備被適當配置時,可在處理產品晶圓的同時處理測試晶圓(例如,若第一處理是所謂的「批量」處理的話,像是將晶圓匣浸漬進液體浴、在安瓿、擴散爐或沉積腔室中一起處理晶圓集合等等)。
步驟420以第一中心至邊緣處理變化來處理產品晶圓。步驟422量測產品晶圓上的一或更多個第一中心至邊緣特性,以產生用於設備處理控制用途的資料、用於相關聯產品晶圓的產量或效能的資料及/或用於相關聯圍繞步驟440之資訊的資料,如以下進一步描述的。
圖11為一方法402的流程圖,該方法402包括(但不限於)方法400之方法的步驟440。圖11中所示的所有430-436及442在執行方法400以達成有用的晶圓處理結果時被視為是可選的(但在實施例中可為有幫助的)。
步驟430設置關於第二中心至邊緣處理變化的設備特性,該中心至邊緣處理變化將產生於步驟440處。例如,在期望440引起經控制的變化時,430可涉及提供例如為加熱器設定的設備參數,該等設備參數將提供經控制的中心至邊緣溫度變化。如本文中圖2-8中所述的設備在提供經控制的中心至邊緣溫度變化時是有用的。步驟432量測關於第二中心至邊緣處理變化的設備特性。在考量處理知識時,如以上所論述的,方法402可可選地從432回到430,以依據432中所量測的設備特性調整設備特性。步驟434處理接收第二中心至邊緣處理變化的一或更多個測試 晶圓;434中所處理的測試晶圓可包括上述儲存自418中之第一處理步驟的一或更多個測試晶圓。步驟436量測於434中所處理之測試晶圓上之第二中心至邊緣處理變化的一或更多個特性。在考量先前所獲取的處理知識時,方法402可可選地從436回到430,以依據436中所量測的中心至邊緣處理特性來調整設備特性。
步驟440以第二中心至邊緣處理變化來處理產品晶圓。並且,儘管未圖示於方法402中,當然可與產品晶圓平行處理額外的測試晶圓。步驟442量測產品晶圓上的一或更多個第二中心至邊緣特性,以產生用於設備處理控制用途的資料、用於相關聯產品晶圓的產量或效能的資料及/或用於相關聯圍繞420之資訊的資料,如上所述。此類量測亦可執行於任何測試晶圓上,但在任何情況下,442將一般不進一步變更產品晶圓上所呈現的任何條件。亦即,420及440的結果將在440的結束時固定在產品晶圓中,無論任何進一步完成的測試。
已描述若干實施例,將由本領域中具技藝的該等人所辨識的是,可使用各種修改、替代結構及等效物而不脫離本發明的精神。此外,未描述許多熟知的處理及構件,以避免不必要地模糊了本發明。據此,不應將以上說明當作本發明的限制。
電漿處理晶圓以外的工件亦可受益於改良的處理均勻性,且被視為是在本揭示案的範圍內。因此,本文中夾具具有用於握持「晶圓」之「晶圓夾具」的特徵應被瞭解為等效於用於握持任何種類之工件的夾具,且將「晶圓處理系統」瞭解為類似地等效於處理系統。
凡提供了值的範圍,則瞭解的是,亦具體揭露了該範圍之上及下限之間的各中間值(高達下限之單位的十倍,除非在其他情況下上下文清楚地指示)。係包括任何經陳述的值或經陳述範圍中的中間值及該經陳述範圍中的任何其他經陳述或中間的值之間的各個較小範圍。這些較小範圍的上及下限可獨立地被包括或排除於範圍中,且包括任一限值、皆不包括該等限值或皆包括該等限值的各個範圍亦包括在本發明中,受制於經陳述範圍中之任何具體排除的限值。凡經陳述的範圍包括該等限值中的一者或兩者,則亦包括排除該等經包括之限值中之任一者或兩者的範圍。
如本文中及隨附請求項中所使用的,單數形式「一個(a)」、「一個(an)」及「該(the)」包括了複數的指涉對象,除非原本就在上下文清楚指示。因此,例如,對於「一處理」的指稱包括了複數個此類處理,且對於「該電極」的指稱包括了對於一或更多個電極及其對本領域中具技藝者是熟知之等效物的指稱,以此類推。並且,用字「包括(comprise)」、「包括(comprising)」、「包括(include)」、「包括(including)」及「包括(includes)」當用在此說明書中及以下請求項中時,係欲指定所陳述特徵、整數、元件或步驟的存在,但它們並不排除一或更多個其他特徵、整數、元件、步驟、動作或群組的存在或增加。
50:工件
100:晶圓處理系統
110:外殼
115:晶圓介面
120:使用者介面
130:電漿處理單元
132:電漿源
134:處理腔室
135:工件握持器
137:擴散板
140:控制器
150:電源
155:氣體
160:真空
165:射頻產生器
170:外部電源
200、200-1:定位盤
201:中心通道
202:頂面 204:底面 205:表面溝槽或通道 206:凸部 208:凹痕 210:徑向斷熱器 212:內部分 214:外部分 220-1:內電阻式加熱器 220-2:外電阻式加熱器 222:附接點 230:冷源 231:孔隙 235:變薄區域 262-1:連接器 262-2:連接器 264:纜線加熱器 264-1:纜線加熱器 264-2:纜線加熱器 265-1:冷至熱轉移點 265-2:冷至熱轉移點 266:加熱器蓋 266-1:加熱器蓋 266-2:加熱器蓋 268:凸部 270:固定器 272:墊圈 273:未壓縮厚度 274:經壓縮厚度 275:孔隙 276:側向間隙 278:主熱傳輸路徑 279:次(例如輻射的)熱傳輸路徑 300:升降銷機構 310:升降銷 400:方法 401:方法 402:方法 410:步驟 412:步驟 414:步驟 416:步驟 418:步驟 420:第一步驟 422:步驟 430:步驟 432:步驟 434:步驟 436:步驟 440:第二步驟 442:步驟 r1:定位盤半徑 r2:斷熱器半徑 R:徑向方向 t:定位盤厚度 Z:圓柱軸
圖1示意性地繪示依據一實施例之具有工件握持器之處理系統的主要構件。
圖2為一示意橫截面圖,繪示圖1之工件握持器的示例性構造細節。
依據一實施例,圖3為一示意橫截面圖,繪示將加熱器及冷源與定位盤的內及外部分整合,該整合部分形成圖1之工件握持器的一部分。
依據一實施例,圖4為一示意橫截面圖,繪示晶圓夾具的一部分,該圖繪示定位盤、電阻式加熱器及冷源的特徵。
依據一實施例,圖5示意性地繪示具有纜線加熱器之定位盤的下側,該纜線加熱器安裝於該定位盤中作為內及外電阻式加熱器。
圖6A為在固定器附近之圖4之定位盤及可選冷源的一部分的詳細視圖。
依據一實施例,圖6B示意性地繪示處於未壓縮狀態下之波形墊圈的實施例。
圖6C提供圖6A中之定位盤及可選冷源的向上看的底部平面圖。
依據一實施例,圖7示意性地繪示安置於斷熱器內的升降銷機構。
依據一實施例,圖8示意性地在一平面圖中繪示三個升降銷佈置,其中升降銷安置於斷熱器內。
圖9為依據一實施例之用於處理晶圓或其他工件之方法的流程圖。
圖10為一方法的流程圖,該方法包括(但不限於)圖9之方法的一個步驟。
圖11為一方法的流程圖,該方法包括(但不限於)圖9之方法的另一個步驟。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
200-1:定位盤
201:中心通道
204:底面
210:徑向斷熱器
212:內部分
214:外部分
262-1:連接器
262-2:連接器
264-1:纜線加熱器
264-2:纜線加熱器
265-1:冷至熱轉移點
265-2:冷至熱轉移點
266-1:加熱器蓋
266-2:加熱器蓋
268:凸部

Claims (18)

  1. 一種工件握持器,包括:一實質圓柱形的定位盤;一第一加熱裝置,安置為與該定位盤的一徑向內部分熱連通;一第二加熱裝置,安置為與該定位盤的一徑向外部分熱連通,其中該第一及第二加熱裝置可相對於彼此獨立控制,及其中該第一加熱裝置及該第二加熱裝置中的至少一者被安置在一螺旋形的溝槽內,該螺旋形的溝槽定義於該定位盤的一底面內;及一冷源,安置為與該定位盤熱連通,其中相較於該冷源與該定位盤進行的一熱連通程度,該第一及第二加熱裝置各別與該定位盤進行較大程度的熱連通;其中該定位盤在複數個附接點處與該冷源機械及熱耦合,其中對於該等附接點中的至少一者而言:該定位盤形成面向該冷源的一凸部;該冷源形成一孔徑;及一固定器穿過該孔徑及耦合於該凸部內。
  2. 如請求項1所述之工件握持器,其中該第一加熱裝置及該第二加熱裝置中的至少一者包括一電阻式加熱器。
  3. 如請求項2所述之工件握持器,更包括一加熱器蓋,該加熱器蓋放置在該溝槽內,以將該電阻式加熱器握持到位,該加熱器蓋沿該電阻式加熱器之一弧長 的至少部分固定至該定位盤。
  4. 如請求項1所述之工件握持器,其中該複數個附接點實質上提供該冷源與該定位盤進行的所有熱連通。
  5. 如請求項1所述之工件握持器,其中該定位盤在直徑上至少十吋,且該複數個附接點包括至少二十個附接點。
  6. 如請求項5所述之工件握持器,其中該定位盤在直徑上至少十二吋,且該複數個附接點包括至少三十個附接點。
  7. 如請求項1所述之工件握持器,其中於該等附接點中的該至少一者處:該凸部定義一第一側向幅度,及該冷源定義一變薄部分,該變薄部分在該孔徑周圍在厚度上減少,該變薄部分具有大於該第一側向幅度的一第二側向幅度,使得一側向間隙存在於該凸部及該冷源的一整個厚度部分之間。
  8. 如請求項7所述之工件握持器,其中該冷源定義該孔徑附近及該變薄部分內的一或更多個孔隙,以限制從該定位盤到該冷源進行的熱傳輸。
  9. 如請求項1所述之工件握持器,更包括一波形墊圈,該波形墊圈安置於該冷源及該凸部之間的該固定器周圍,該波形墊圈具有其經壓縮厚度至少二倍的一淨未壓縮厚度。
  10. 如請求項1所述之工件握持器,其中該冷源包括一金屬板,該金屬板定義一或更多個流體通道,且其中一熱交換流體流過該一或更多個流體通道,以定義該冷源的一參考溫度。
  11. 如請求項1所述之工件握持器,其中該定位盤的特徵為一圓柱軸、該圓柱軸周圍的一定位盤半徑及一定位盤厚度,且其中該實質圓柱形定位盤的至少一頂面是實質平面的。
  12. 如請求項11所述之工件握持器,其中該實質圓柱形定位盤定義該定位盤之該徑向內及徑向外部分之間的一或更多個徑向斷熱器。
  13. 如請求項12所述之工件握持器,其中各斷熱器被特徵化為一徑向凹口,該徑向凹口相交於該實質圓柱形定位盤的該頂面及一底面中的至少一者。
  14. 如請求項13所述之工件握持器,其中該徑向凹口的特徵為:一斷熱器深度,從該定位盤的該頂面或該底面延伸穿過該定位盤厚度的至少一半,及一斷熱器半徑,對稱安置於該圓柱軸的周圍,且為該定位盤半徑的至少一半。
  15. 一種工件握持器,包括:一實質圓柱形定位盤,特徵為一圓柱軸及一實質平面的頂面,其中該定位盤定義兩個徑向斷熱器,該等斷熱器中的一第一者被特徵化為一徑向凹口, 該徑向凹口以一第一半徑相交於該定位盤的一底面,該等斷熱器中的一第二者被特徵化為一徑向凹口,該徑向凹口以大於該第一半徑的一第二半徑相交於該定位盤的該頂面,及其中該等斷熱器中的該第一者及該等斷熱器中的該第二者各自跨越穿過該定位盤且平行於該頂面及該底面的一平面。
  16. 如請求項15所述之工件握持器,其中該等斷熱器中的該第一者及該等斷熱器中的該第二者在該定位盤的一徑向內部分及該定位盤的一徑向外部分之間定義一分界。
  17. 如請求項16所述之工件握持器,其中該定位盤包括:一第一加熱裝置,嵌入於該定位盤的該徑向內部分內,及一第二加熱裝置,嵌入於該定位盤的該徑向外部分內。
  18. 如請求項17所述之工件握持器,其中該工件握持器更包括一冷源,該冷源實質上延伸於該定位盤的該底面下方,該冷源包括一金屬板,該金屬板將一熱交換流體流過定義於其中的通道,以針對該定位盤維持一參考溫度;且其中該冷源於複數個附接點處與該定位盤機械及熱耦合,該複數個附接點在該冷源及該定位盤之間提供一熱連通程度,該熱連通程度小於該第一及第 二加熱裝置中之各者及該定位盤之間的一熱連通程度。
TW109125270A 2015-08-06 2016-08-03 工件握持器 TWI808334B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/820,422 2015-08-06
US14/820,422 US9691645B2 (en) 2015-08-06 2015-08-06 Bolted wafer chuck thermal management systems and methods for wafer processing systems
US14/820,365 2015-08-06
US14/820,365 US9741593B2 (en) 2015-08-06 2015-08-06 Thermal management systems and methods for wafer processing systems

Publications (2)

Publication Number Publication Date
TW202111858A TW202111858A (zh) 2021-03-16
TWI808334B true TWI808334B (zh) 2023-07-11

Family

ID=57944032

Family Applications (4)

Application Number Title Priority Date Filing Date
TW109125270A TWI808334B (zh) 2015-08-06 2016-08-03 工件握持器
TW105124590A TWI757242B (zh) 2015-08-06 2016-08-03 用於晶圓處理系統的熱管理系統及方法
TW105124570A TWI703671B (zh) 2015-08-06 2016-08-03 螺接式晶圓夾具熱管理系統及用於晶圓處理系統的方法
TW111104729A TW202224081A (zh) 2015-08-06 2016-08-03 用於晶圓處理系統的熱管理系統及方法

Family Applications After (3)

Application Number Title Priority Date Filing Date
TW105124590A TWI757242B (zh) 2015-08-06 2016-08-03 用於晶圓處理系統的熱管理系統及方法
TW105124570A TWI703671B (zh) 2015-08-06 2016-08-03 螺接式晶圓夾具熱管理系統及用於晶圓處理系統的方法
TW111104729A TW202224081A (zh) 2015-08-06 2016-08-03 用於晶圓處理系統的熱管理系統及方法

Country Status (5)

Country Link
JP (4) JP7014607B2 (zh)
KR (4) KR102652012B1 (zh)
CN (4) CN113851419A (zh)
TW (4) TWI808334B (zh)
WO (2) WO2017024132A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11276590B2 (en) * 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10535549B2 (en) * 2017-10-27 2020-01-14 Applied Materials, Inc. Lift pin holder
KR20200023988A (ko) 2018-08-27 2020-03-06 삼성전자주식회사 정전 척 및 상기 정전 척을 탑재한 웨이퍼 식각 장치
KR102460313B1 (ko) * 2018-12-13 2022-10-28 주식회사 원익아이피에스 기판 처리 장치의 서셉터 및 기판 처리 장치
JP7254542B2 (ja) * 2019-02-01 2023-04-10 東京エレクトロン株式会社 載置台及び基板処理装置
JP2022047847A (ja) * 2020-09-14 2022-03-25 株式会社Kelk ウェーハの温度調節装置
CN115371366B (zh) * 2022-08-22 2024-05-28 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 刚性转架装置及其安装工艺和载片刚性转架

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030015515A1 (en) * 2000-07-25 2003-01-23 Yasutaka Ito Ceramic substrate for semiconductor manufacture/inspection apparatus, ceramic heater, electrostatic clampless holder, and substrate for wafer prober
US20040188413A1 (en) * 2003-03-27 2004-09-30 Sumitomo Electric Industries, Ltd. Ceramic Susceptor and Semiconductor or Liquid-Crystal Manufacturing Apparatus in Which the Susceptor Is Installed
US7297894B1 (en) * 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
US20080017104A1 (en) * 2006-07-20 2008-01-24 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US20120148369A1 (en) * 2010-12-09 2012-06-14 Hamilton Sundstrand Corporation Sealing washer assembly for large diameter holes on flat surfaces
US8390980B2 (en) * 2008-08-12 2013-03-05 Applied Materials, Inc. Electrostatic chuck assembly
US20130279066A1 (en) * 2012-04-24 2013-10-24 Dmitry Lubomirsky Electrostatic chuck with advanced rf and temperature uniformity
US20130343829A1 (en) * 2012-06-26 2013-12-26 Steven M. Benedetti Plastic High Heat Fastener
US20140209245A1 (en) * 2013-01-31 2014-07-31 Tokyo Electron Limited Mounting table and plasma processing apparatus

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62133721A (ja) * 1985-12-05 1987-06-16 Anelva Corp 基体ホルダ−
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5835334A (en) * 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition
US20050211385A1 (en) * 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
US7161121B1 (en) * 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
JP3742349B2 (ja) * 2002-02-15 2006-02-01 株式会社日立製作所 プラズマ処理装置
JP2003243490A (ja) * 2002-02-18 2003-08-29 Hitachi High-Technologies Corp ウエハ処理装置とウエハステージ及びウエハ処理方法
JP2004200156A (ja) 2002-12-05 2004-07-15 Ibiden Co Ltd 金属ヒータ
US7993460B2 (en) * 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
US7361865B2 (en) * 2003-08-27 2008-04-22 Kyocera Corporation Heater for heating a wafer and method for fabricating the same
JP2006080148A (ja) * 2004-09-07 2006-03-23 Hitachi Kokusai Electric Inc 基板処理装置
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
JP4908021B2 (ja) * 2005-03-07 2012-04-04 日本特殊陶業株式会社 静電チャック、静電チャック装置、静電チャックの製造方法、真空チャック、真空チャック装置、真空チャックの製造方法、セラミックヒーター、セラミックヒーター装置、及びセラミックヒーターの製造方法
JP4783213B2 (ja) * 2005-06-09 2011-09-28 日本碍子株式会社 静電チャック
JP4052343B2 (ja) * 2006-02-08 2008-02-27 Toto株式会社 静電チャック
CN2917466Y (zh) * 2006-03-10 2007-07-04 宁波新大陆电器有限公司 保温盘
JP5069452B2 (ja) * 2006-04-27 2012-11-07 アプライド マテリアルズ インコーポレイテッド 二重温度帯を有する静電チャックをもつ基板支持体
JP2009535801A (ja) * 2006-04-28 2009-10-01 ダンスン エレクトロン カンパニー リミテッド サセプタの製造方法、及び、この方法によって製造されたサセプタ
US7576018B2 (en) * 2007-03-12 2009-08-18 Tokyo Electron Limited Method for flexing a substrate during processing
WO2008112673A2 (en) * 2007-03-12 2008-09-18 Tokyo Electron Limited Dynamic temperature backside gas control for improved within-substrate processing uniformity
JP5367232B2 (ja) * 2007-03-29 2013-12-11 株式会社日本セラテック セラミックスヒーター
KR20090001685A (ko) * 2007-05-10 2009-01-09 (주) 컴파스 시스템 컴퓨터 신호에 따른 화상을 화면에 출력하는 광고장치 및이에 적합한 광고 방법
JP4438008B2 (ja) * 2007-06-29 2010-03-24 東京エレクトロン株式会社 基板処理装置
JP5660753B2 (ja) * 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
US20090086400A1 (en) * 2007-09-28 2009-04-02 Intevac, Inc. Electrostatic chuck apparatus
US8336188B2 (en) * 2008-07-17 2012-12-25 Formfactor, Inc. Thin wafer chuck
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US8633423B2 (en) * 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
JP5994772B2 (ja) * 2011-03-23 2016-09-21 住友大阪セメント株式会社 静電チャック装置
WO2013033315A2 (en) * 2011-09-01 2013-03-07 Veeco Instruments Inc. Wafer carrier with thermal features
JP6017781B2 (ja) * 2011-12-07 2016-11-02 新光電気工業株式会社 基板温調固定装置及びその製造方法
JP6001402B2 (ja) * 2012-09-28 2016-10-05 日本特殊陶業株式会社 静電チャック
CN103794538B (zh) * 2012-10-31 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘以及等离子体加工设备
US9520315B2 (en) * 2013-12-31 2016-12-13 Applied Materials, Inc. Electrostatic chuck with internal flow adjustments for improved temperature distribution
US9622375B2 (en) * 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
KR20180001685A (ko) * 2016-06-27 2018-01-05 세메스 주식회사 기판 지지체 및 이를 갖는 베이크 유닛

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030015515A1 (en) * 2000-07-25 2003-01-23 Yasutaka Ito Ceramic substrate for semiconductor manufacture/inspection apparatus, ceramic heater, electrostatic clampless holder, and substrate for wafer prober
US20040188413A1 (en) * 2003-03-27 2004-09-30 Sumitomo Electric Industries, Ltd. Ceramic Susceptor and Semiconductor or Liquid-Crystal Manufacturing Apparatus in Which the Susceptor Is Installed
US20080017104A1 (en) * 2006-07-20 2008-01-24 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US7297894B1 (en) * 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
US8390980B2 (en) * 2008-08-12 2013-03-05 Applied Materials, Inc. Electrostatic chuck assembly
US20120148369A1 (en) * 2010-12-09 2012-06-14 Hamilton Sundstrand Corporation Sealing washer assembly for large diameter holes on flat surfaces
US20130279066A1 (en) * 2012-04-24 2013-10-24 Dmitry Lubomirsky Electrostatic chuck with advanced rf and temperature uniformity
US20130343829A1 (en) * 2012-06-26 2013-12-26 Steven M. Benedetti Plastic High Heat Fastener
US20140209245A1 (en) * 2013-01-31 2014-07-31 Tokyo Electron Limited Mounting table and plasma processing apparatus

Also Published As

Publication number Publication date
JP2018523913A (ja) 2018-08-23
KR102631838B1 (ko) 2024-01-30
JP7250076B2 (ja) 2023-03-31
KR102652012B1 (ko) 2024-03-27
TWI703671B (zh) 2020-09-01
TW201712798A (zh) 2017-04-01
KR20240015747A (ko) 2024-02-05
KR20240045352A (ko) 2024-04-05
JP2018525808A (ja) 2018-09-06
CN107484433A (zh) 2017-12-15
WO2017024127A1 (en) 2017-02-09
JP7376623B2 (ja) 2023-11-08
KR20180028400A (ko) 2018-03-16
TW201712790A (zh) 2017-04-01
CN107533999B (zh) 2022-03-15
TW202111858A (zh) 2021-03-16
JP2022064922A (ja) 2022-04-26
JP7014607B2 (ja) 2022-02-01
WO2017024132A1 (en) 2017-02-09
JP2021185605A (ja) 2021-12-09
CN114566458A (zh) 2022-05-31
CN107484433B (zh) 2021-10-08
TWI757242B (zh) 2022-03-11
TW202224081A (zh) 2022-06-16
CN107533999A (zh) 2018-01-02
JP6925977B2 (ja) 2021-08-25
KR20180028401A (ko) 2018-03-16
CN113851419A (zh) 2021-12-28

Similar Documents

Publication Publication Date Title
US11217462B2 (en) Bolted wafer chuck thermal management systems and methods for wafer processing systems
TWI808334B (zh) 工件握持器
US11158527B2 (en) Thermal management systems and methods for wafer processing systems
JP3129419U (ja) 基板の温度を制御する装置
JP2014146822A (ja) 空間温度分布の制御方法及び装置
US11367597B2 (en) Electrostatic chuck and plasma processing apparatus including the same
JP2007067037A (ja) 真空処理装置
JP2023513002A (ja) 温度調整空洞特徴部を有するウエハチャック