JP2010521820A - 基板内での処理の均一性を改善するための動的な温度背面ガス制御 - Google Patents

基板内での処理の均一性を改善するための動的な温度背面ガス制御 Download PDF

Info

Publication number
JP2010521820A
JP2010521820A JP2009553719A JP2009553719A JP2010521820A JP 2010521820 A JP2010521820 A JP 2010521820A JP 2009553719 A JP2009553719 A JP 2009553719A JP 2009553719 A JP2009553719 A JP 2009553719A JP 2010521820 A JP2010521820 A JP 2010521820A
Authority
JP
Japan
Prior art keywords
substrate
substrate support
ports
gas
backside gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009553719A
Other languages
English (en)
Inventor
サンダララヤン,ラジャ
チェン,リー
ファンク,メリット
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/684,818 external-priority patent/US7674636B2/en
Priority claimed from US11/684,957 external-priority patent/US7576018B2/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2010521820A publication Critical patent/JP2010521820A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Abstract

不均一効果-システム又はプロセスに起因する不均一性を含む-を補償するため、半径方向又は非半径方向の温度分布が、プロセス中基板全体にわたって制御される。ウエハ支持チャック(基板支持台20,20a)上の各異なる領域にわたって各異なるようにして背面ガスを流してウエハ全体にわたって熱伝導を変化させることにより、温度が-好適には動的に-制御される。前記支持台(20,20a)内のポート(26,26a)は1つの群をなす。前記領域の各々でのガス圧力を制御して空間的かつ好適には動的にウエハ温度を制御することでシステム及びプロセスの不均一性を補償する制御装置(35)に応答する各異なるバルブ(32)によって、前記群に対して出入りするガスは各独立して制御される。ポート(26,26a)及び該ポート(26,26a)を取り囲む複数のポート(26,26a)へのガス流に影響を及ぼすバルブを各独立かつ動的に制御することによって、各異なるポート(26,26a)での前記背面ガスの圧力を制御して前記基板の背面に及ぶ局所的な力を制御することによって、ウエハの変形は影響を受ける。

Description

本発明は基板全体にわたるプロセス均一性の制御に関する。本発明は特に、基板処理中での基板の温度及び変形の制御、並びに基板背面でのガス圧力の調節に関する。
半導体の製造においては、半導体基板上に形成されたデバイスの複雑性が急速なペースで増大し続けている一方で、部位-たとえばトランジスタのゲート-のサイズは93ナノメートル(nm)テクノロジーノードを十分下回るまで減少し続けている。その結果、製造プロセスは、ますます洗練されたユニットプロセス及びプロセス集積手法を必要とし、それだけではなく基板全体にわたってデバイスを均一に作製することを保証するプロセス及びハードウエアの制御計画も必要となる。たとえばトランジスタデバイス中のゲート電極構造の製造中、基板上に形成される複数の材料膜中でのゲート構造の形成を助けるパターニング及びエッチングシステムは、高アスペクト比のデバイス内部においてゲート構造の限界寸法(CD)を垂直方向でゲート構造の限界寸法(CD)を実現及び維持するのみならず、基板全体にわたるデバイス間でもゲート構造の限界寸法(CD)を実現及び維持することが求められている。基板全体にわたるCDのばらつきの減少並びにプロファイル及び側壁角の減少は、高性能デバイス(つまり速度、電力消費等)の均一な歩留まりに影響を及ぼすと考えられる。さらにたとえば複雑な幾何学構造全体を覆うように薄いコンフォーマル性の膜を堆積する間、堆積システムには、両平坦面-たとえば構造の平坦領域と底部だけでなく高アスペクト比の構造の側壁に沿っても-全体にわたって均一な厚さの薄膜を堆積することが求められる。
材料プロセス手法においては、パターニング及びエッチングシステムがパターンエッチングを行うのに利用される。パターンエッチングは、放射線感受性材料-たとえばフォトレジスト-を基板の上面に堆積する工程、フォトリソグラフィを用いてこの放射線感受性材料の薄膜をパターニングしてこの膜中にパターンを形成する工程、及びエッチングプロセスを用いて下地の材料膜にパターンを転写する工程を有する。たとえば放射線感受性材料のパターニングは一般的に、放射線感受性材料(たとえば「フォトレジスト」)の薄膜で基板の上面をコーティングする工程、及びその後たとえばフォトリソグラフィシステムを用いて前記放射線感受性材料を電磁(EM)放射線の幾何学パターンに曝露し、それに続いて現像溶液を用いて前記放射線感受性材料の照射領域(ポジ型のレジストの場合)又は前記放射線感受性材料の非照射領域(ネガ型のレジストの場合)を除去する工程を有する。その後放射線感受性材料の薄膜中に形成されるパターンは、エッチングプロセス-たとえばドライプラズマエッチングプロセス-を用いて下地層へ転写される。
ドライプラズマエッチングプロセス中、プラズマ存在中に生成されるプラズマ及び化学物質は、基板上にパターニングされる微細線に沿って又はビア若しくはコンタクト内部の材料をエッチングすなわち除去するのに利用される。プラズマエッチングプロセスは一般的に、プロセスチャンバ内において上にパターニングされた保護層-たとえば上述したフォトレジスト層-を有する半導体基板を設置する工程を有する。一旦基板がチャンバ内部に設けられると、イオン化及び分解可能なガス混合物が所定の流速でチャンバ内に導入され、同時に真空ポンプは大気プロセス圧力を実現するように調節される。その後、存在するガス種の一部が、誘導的若しくは容量的に高周波(RF)出力を送ることにより、又はたとえば電子サイクロトロン共鳴(ECR)を用いたマイクロ波を送ることにより生成される交流電場の存在中に加熱された電子によってイオン化される。しかも加熱された電子は、周辺ガス種の一部を分解し、かつ曝露表面のエッチング用化学物質に適した(複数の)反応種を生成する役割を果たす。一旦プラズマが生成されると、基板の選択された表面がプラズマによってエッチングされる。そのプロセスは適切な条件を実現するように調節される。その適切な条件には、選択された領域内の様々な部位(たとえば溝、ビア、コンタクト等)をエッチングするのに望ましい反応物の適切な濃度及びイオン分布が含まれる。そのようなエッチングを必要とする基板材料には、二酸化シリコン(SiO2)、low-k誘電材料、多結晶シリコン、及びシリコン窒化物が含まれる。
これらのプラズマエッチングシステムでは、基板全体にわたるプロセス結果の均一性は、基板上方のプロセス空間内部でのプラズマ密度の空間的なばらつき-典型的には電子密度ne(r,θ)の空間分布として表される-、プロセス化学物質の空間的なばらつき(つまり化学種の空間分布)、及び基板温度の空間的なばらつきによる影響を受ける。通常プロセス空間内での化学種の滞在時間τ(r,θ)は、化学種と励起した電子との間の相互作用により生じるプラズマの分解量に相関するものと考えられる。よって滞在時間はプロセス化学物質に相関すると考えられる。つまり滞在時間が長くなればなるほど化学種の分解量は多くなるし、滞在時間が短ければ短いほど化学種の分解量は少なくなる。
エッチングプロセス中、プロセス結果の均一性には、基板全体にわたる部位の限界寸法(CD)又は基板全体にわたる側壁角(SWA)の空間分布の均一性(又は不均一性)が含まれて良い。たとえばゲート構造の作製中、(一連の)エッチングプロセス後、基板全体にわたってゲート幅(エッチングされた部位の上部及び底部での値だけではなく、該上部と底部の間での値も含まれる)の均一な分布を実現することが望ましい。
エッチングプロセスは基板温度の影響を受けるため、基板温度の分布はプロセス結果の空間分布に直接影響を及ぼすと考えられる。基板温度の空間分布は-制御可能である場合には-他のプロセス又はシステムの不均一性を補償するのに利用されて良い。従来のプロセスシステムでは、基板温度に影響を及ぼす他の要素は基板と基板ホルダとの間の熱的接触である。たとえば基板が基板ホルダに固定されているとき、基板と基板ホルダとの間の熱的接触を改善するため、基板背面と基板ホルダ上面との間の微小空間に伝熱ガス-たとえばヘリウム-が導入される。基板の周辺端部での伝熱ガスのわずかな漏れを除き、基板背面への伝熱ガスの正味の流れは実質的にゼロである。
基板温度の半径方向でのばらつきに影響を及ぼすため、多領域背面ガス供給システムを利用して伝熱ガスの分布を調節する従来の基板ホルダが供されてきた。たとえば2領域(中心/端部)又は3領域(中心/半径の半分/端部)背面ガス供給システムは、特にエッチングシステムにおいて一般的である。しかしこれらのシステムは伝熱ガスの静的な分布しか助けられない。
半導体製造におけるプロセスの均一性の改善は重要な目標であるので、プロセス中において基板表面全体にわたってプロセスパラメータの均一性を改善するシステムは依然として必要とされている。
米国特許第6492612号明細書 国際公開第2002/086957号パンフレット 米国特許出願第10/168544号明細書
本発明の一般的な目的は、プロセス中、基板表面全体にわたる半導体製造でのプロセス均一性を改善することである。
本発明のより特別な目的は、プロセス条件の不均一効果を相殺するプロセスパラメータ制御を供することで、基板表面全体にわたってプロセス均一性を改善することである。
本発明のさらなる目的は、プロセス中、温度又は基板表面全体にわたる温度分布の制御を行うことである。
本発明の他の目的は、基板の処理中にその基板を変形させることである。
本発明のより詳細な目的は、基板背面上でのガス圧力を動的に制御することによって基板を局所的に曲げることである。
本発明の原理によると、プロセス中の基板条件及び基板全体にわたる条件のばらつきを制御するため、背面ガス圧力が基板ホルダ全体にわたって変化する。
本発明の他の原理によると、基板ホルダ上で支持されている基板表面全体にわたるプロセスの均一性を改善するため、1つ以上のプロセスパラメータが基板全体にわたって変化する。
本発明の特定の実施例では、温度又は温度分布は、基板と基板ホルダとの間での熱伝導率を変化させることによって、被処理基板の表面全体にわたって制御される。
本発明の特定の実施例では、ガス圧力は、基板又は基板支持体全体にわたる圧力、温度、又は他のパラメータの測定に応じて、基板ホルダ上の基板背面全体にわたって制御されて良い。そのような制御は、プロセス装置のプログラムされた制御装置によって自動的又は相互作用的に実装されて良い。
本発明の記載された実施例は、基板と基板ホルダとの間の背面ガスの熱伝導率が基板と基板ホルダとの間のガス圧力に強く依存するという基本概念に基づくことで部分的に予測される。従って基板背面全体にわたって背面ガス圧力が変化することで、基板と基板ホルダとの間の熱伝導の変化を助ける、つまり基板温度の変化を助ける本発明の実施例が供される。
本発明の他の実施例によると、プロセス中に基板全体にわたる半径方向又は非半径方向の温度分布を制御できる方法及び装置が供される。特定の実施例では、基板温度は、プロセス条件の不均一効果を補償又は相殺するように制御される。特別な実施例では、基板温度は、たとえばプラズマ密度、プロセス化学物質、プロセス圧力、又はこれらの結合の効果の動作条件の不均一効果を相殺するような不均一な方法で制御されて良い。
本発明の例示された実施例によると、基板背面に供給され、かつ基板背面から除去される伝熱ガスは、基板を支持するチャック上の各異なる位置全体にわたって動的に制御される。伝熱ガスの供給を動的に制御することで、基板表面全体にわたるチャックと基板との間での熱伝導の空間的なばらつきが生じる。動的制御は背面ガスの効果を調節することで、他のプロセス条件によって生じる不均一性の効果を相殺して良い。動的制御は特に、半径方向及び角度方向での不均一性を解決するのに適している。
本発明の特定の実施例では、背面ガス-たとえばヘリウム-の流れがチャック全体にわたって制御されることで、基板処理の均一性が良好に制御される。さらに動的に流れている期間は、入ってくる基板の不均一性の効果又は静的なエッチングプロセスの不均一性を相殺する役割を果たすことができる。
本発明の特定の典型的実施例によると、基板ホルダは複数の領域に分割される。これらの領域はたとえばおおよそ基板上のダイのサイズであって良い。領域の厳密なパターン形状及び領域の数は、シミュレーション及び測定された均一性の結果に経験的に基づいて各独立に決定又は設計されて良い。領域は予め選ばれた周波数で動的に調節されて良い。そしてこの周波数はたとえばプロセス条件に依存して変化して良い。たとえば限界寸法、エッチングプロファイル等の均一なエッチング結果を得ることを目的として、入ってくる基板の不均一性及びプロセス条件の不均一性を補償するため、多領域かつ動的に制御された伝熱ガスの供給及び排気が行われて良い。本発明は、基板表面全体にわたる温度の空間分布を制御するための効率的な方法を供する。当該方法は、基板背面への伝熱ガスの供給及び基板背面からの伝熱ガスの排気の動的変化を含む。当該方法は、多領域の温度制御されたチャック又は単領域チャックのいずれで用いられても良い。当該方法は、プロセス工程間及びプロセス工程中での基板温度の空間分布を調節して良い。
本発明の例示された装置の実施例では、各領域がガスを供給する少なくとも1つのポート及びガスを除去する少なくとも1つのポートを有する多領域チャックが供される。領域内でのガス圧力の監視、調節、又は制御のうちの少なくとも1つを行う圧力レギュレータが供される。基板表面上でのプロセス結果の空間均一性を調節するため、各領域内部でガス圧力を動的に変化させることのできる制御が行われる。
ガス領域は基板全体にわたって活性化され、その一方で過去に活性化された領域は動的に制御された方法で不活性化されて良い。各領域には、流入及び/又は流出ポートのアレイが供される。この流入及び/又は流出ポートのアレイは、ガス分配マニホールド又は別な方法によって群とされて良い。たとえ表面部位-たとえばリッジ-が、領域を画定するのに用いられるチャック内に供されるとしても、群を形成することでたとえば領域を画定して良い。
伝熱ガスの供給及び除去が開始され、その後中断されて良い。それにより背面ガス流が中断された位置での局所温度が増大し、同時に背面ガス流が開始された位置での局所温度は減少する。領域によるこれらの流れの変化のタイミング又は順序は、基板背面での動的な圧力分布又は圧力波を生成することができる。動的な圧力分布又は圧力波は、基板全体にわたって半径方向、又は円周方向又はそれ以外の方向に伝播する動的温度分布又は熱波に影響を及ぼすことができる。たとえば伝熱ガスの供給及び除去のタイミング又は順序は、位相速度が実質的に一定の圧力波を生成するように行われて良い。あるいはその代わりに、伝熱ガスの供給及び除去のタイミング又は順序は、位相、速度、振幅等が可変な圧力波を生成するように行われても良い。代替方法が、基板全体にわたる系統的な領域又は無作為な領域の組合せを用いても良い。しかも多領域が同時に変化しても良い。
本発明のさらに他の実施例によると、基板処理中に基板の変形を生じさせる方法が供される。当該方法は、プロセス用の真空チャンバ内の基板支持体上で基板を支持する工程、前記基板支持体全体にわたる複数の領域の各々に存在する複数のポートの群の各々に係る流入ポートを介して、前記基板支持体と前記基板との間の空間へ背面ガスを供する工程であって、前記の基板支持体の領域の各々は、背面ガス供給体と接続する少なくとも1つの背面ガス流入ポート及び真空排気システムと接続する少なくとも1つの背面ガス流出ポートを有する、工程、並びに、複数のポートうちの各異なるポートでの背面ガス圧力を各独立して制御することで、前記ポートの各々の領域周辺で、前記基板背面に及ぼされる局所的な圧力を各独立して制御する工程、を有する。
これらの他の実施例のうちの中には、圧力の制御が、前記領域の各々のポートへのガス流に影響を及ぼす少なくとも一のバルブを各独立に動的制御する一方で、ガスが導入される前記ポートを取り囲む前記領域の各々の残り複数のポートからのガス流に影響を及ぼす少なくとも1つの他のバルブを各独立に動的制御する工程を有して良いものもある。
本発明のさらに他の実施例では、プロセス中での基板の変形プロファイルが決定される基板の局所的変形方法が供される。基板はプロセス用の真空チャンバ内の基板支持体上で支持されている。背面には、基板支持体全体にわたる複数の領域内に存在する複数のポートの群の各々に係る流入ポートを介して、前記基板支持体と前記基板との間の空間へ背面ガスが供される。前記の基板支持体の領域の各々は、背面ガス供給体と接続する少なくとも1つの背面ガス流入ポート及び真空排気システムと接続する少なくとも1つの背面ガス流出ポートを有する。プロセス中、複数のポートうちの各異なるポートでの背面ガス圧力が各独立して制御されることで、前記ポートの各々の領域周辺で、前記基板背面に及ぼされる局所的な圧力を各独立して制御されることで、前記基板の所定の変形プロファイルが実現される。
本発明のさらに他の実施例では、圧力プロファイルは所定の変形プロファイルを生成するように予め決定され、かつ背面ガス圧力は所定の圧力プロファイルに従って各独立して制御される。他の実施例では、基板の変形はプロセス中に検知され、かつ背面ガスの圧力は基板の検知された変形に応答して各独立して制御される。
本発明のこれら及び他の目的並びに利点は、本発明の図示された実施例についての以降の詳細な説明からすぐに明らかとなる。
本発明の一の実施例による多数の制御された背面ガス領域に分割される基板支持チャックの上面のダイアグラムである。 本発明の他の実施例による代替パターンに分割される基板支持チャックの上面の同様なダイアグラムである。 基板支持チャックを有するプラズマプロセスシステムの単純化されたダイアグラムである。 1つ以上の領域での基板背面上の圧力を動的に変化させる方法を表している。 1つ以上の領域での基板背面上の圧力を動的に変化させる方法を表している。 1つ以上の領域での基板背面上の圧力を動的に変化させる方法を表している。 各異なる実施例による基板の局所的変形の効果を図示している。 各異なる実施例による基板の局所的変形の効果を図示している。
以降の記載では、限定ではない説明を目的として、具体的詳細-たとえば基板処理システムの特別な幾何学形状及び様々なプロセスの記載-について述べる。しかし本発明はこれらの具体的詳細から逸脱する他の実施例で実施することも可能であることに留意して欲しい。
基板処理システムはプラズマによって基板を処理するように備えられたプラズマ処理システムを有して良い。あるいはその代わりに基板処理システムは、基板を処理するように備えられた非プラズマ処理システムを有しても良い。基板処理システムは、プラズマを利用して半導体製造中でのドライエッチングを助けるエッチングシステムを有して良い。絵チングシステムの例は特許文献1及び特許文献2で与えられている。それでも本発明は、堆積システム又は他のプロセスシステムでの均一性を改善するのに用いられて良い。
基板処理システムは、たとえばトラックシステムのようなフォトレジストコーティングシステム中の熱処理モジュール、たとえば化学気相成長(CVD)システム、プラズマCVD(PECVD)システム、原子層堆積(ALD)システム、プラズマALD(PEALD)システム、物理気相成長(PVD)システム、若しくはイオン化PVD(iPVD)システムのような気相成長システム、ドライプラズマエッチングシステム、ドライ非プラズマエッチングシステム、ドライクリーニングシステム、アッシングシステム、化学酸化物除去(COR)システム、又は基板を熱処理するように備えられている単一基板熱処理システムを有して良い。
基板処理システムは任意の形状の基板を処理するように備えられて良い。典型的な基板は様々な直径の円形基板であって良い。様々な直径には、200ミリメートル(mm)、300mm、450mm又はそれ以上が含まれるが、これらに限定されるわけではない。それに加えてたとえば、基板は半導体基板-たとえばシリコン基板、SiGe基板、又はシリコン・オン・インシュレータ(SOI)基板-であって良い。あるいはその代わりにたとえば基板は長方形の形状-たとえばフラットパネル又は液晶ディスプレイ(LCD)のような-を有しても良い。
全図を通じて、同様な参照番号は同一又は対応する部品を表す。図1は、基板処理モジュール-上述したエッチングモジュール-のプロセスチャンバでのプロセスを行うために基板を支持する基板支持台20の上面図である。台20は、基板を台20の上面に固定する基板固定システム(たとえば電気的又は機械的固定システム)を有する。たとえば台20は静電チャック(ESC)を有して良い。固定システムは、基板背面に伝熱ガスを供給する結果生じる圧力を抑えるのに十分な固定力を供する。さらに固定力は、台20に対する基板の接触の程度に影響を及ぼすように調節されて良い。基板固定システムの設計及び実装は、半導体製造装置並びに機械的及び静電的固定システムの当業者にとって周知である。
台20は1つ以上の温度制御素子を有する台温度制御システムを有する。台温度制御システムは加熱システム及び/又は冷却システムを有して良い。たとえば台20は台20の表面付近に基板加熱素子又は基板冷却素子(図示されていない)を有して良い。たとえば加熱システム又は冷却システムは再循環流体流を有して良い。その再循環流体流は、冷却するときには台20から熱を受け取ってその熱を熱交換器(図示されていない)へ輸送し、又は加熱するときには熱を熱交換器から台20へ輸送する。加熱システム又は冷却システムは、台20内に設けられた加熱/冷却素子-たとえば抵抗加熱素子又は熱電ヒーター/クーラー-を有して良い。それに加えて加熱素子及び/又は冷却素子は各独立して制御される2つ以上の温度領域内に備えられて良い。図1に図示されているように、支持台20は2つの熱領域-内側の円形領域22及び外側の環状領域24-を有して良い。領域22と24の温度は支持台の熱領域を各独立に加熱又は冷却することによって制御されて良い。
台20の上面にはガスポート26のアレイが供されて良い。このガスポート26のアレイを介して伝熱ガス-たとえばヘリウム-は、基板背面と台20の上面との間の空間へ導入され、又は該空間から除去されて良い。基板背面へ伝熱ガスを導入することにより基板と台20との間での熱伝導の増大が促進され、他方基板背面から伝熱ガスが除去されることにより基板と台20との間での熱伝導の減少が促進される。エッチングシステムでは、伝熱ガスの典型的な圧力は約30Torrであって良く、典型的は真空処理チャンバ内でのプロセス圧力を超えるので、この圧力差は支持台20表面から外側へ基板上への正味の力が生じる。上述したように、この圧力は、たとえば基板を台20へ保持する静電固定力を供する静電チャックのような固定システムによって抑えられる。従来のシステムでは、伝熱ガスの導入は、典型的には基板と台20の上面との間での静的条件に維持される。
図1に図示された実施例によると、ガスポート26は複数の領域に群をなすように配置される。たとえばポート26の群はデカルト座標又はグリッドを形成するように配置されて良い。そのような場合の例として、25の正方形領域30からなる長方形のアレイが図示されている。しかし各領域の形状及び/又は領域数は任意であって良い。たとえば各領域は基板ダイに対応してよい。
領域30の各々は少なくとも1つのガスポートを有する。そのガスポートを介して、伝熱ガスを導入又は除去することができる。たとえば図1に図示されているように、各領域は36のガスポート26からなる組を有して良い。これらのガスポート26のうちの少なくとも一部は、図3に図示されているように、領域制御バルブ32を介してガス供給源28と結合して良い。領域30内のこれらのガスポート26のうちの少なくとも一部は他の制御バルブ32を介して排気システム29と結合して良い。図3に図示されているように、伝熱ガスを供給するガスポートは、伝熱ガスを除去するガスポートから独立して良い。あるいはその代わりに伝熱ガスを供給するガスポートは伝熱ガスを除去するのに利用されても良い。そのような場合、少なくとも2つの制御バルブは、各領域について、排出ポートへの流れを止めて伝熱ガスが基板へ流れることができるようにバルブを閉めること、又はガス供給源からの流れを止めて基板から排出ポートへ流れることができるようにバルブを閉じることを交互に行うのに利用されて良い。
伝熱ガスを特定領域30へ供給するように備えられたその領域に対応するガスポート26が共通のガス供給マニホールドに結合する一方で、伝熱ガスを特定領域30から除去するように備えられたその領域に対応するガスポート26が共通のガス排気マニホールドに結合して良い。それに加えてガスポート26の群は、台20内の表面部位-たとえばリッジ-(図示されていない)によってさらに画定されて良い。
領域は伝熱ガスをその領域へ供給するように活性化される一方で、過去に活性化された領域はその領域から伝熱ガスを除去するように非活性化されて良い。領域の活性化又は非活性化は動的に制御された方法で実施されて良い。伝熱ガスが基板背面へ供給される際に通過する各制御バルブ32は、特定領域でのガス圧力を設定する圧力レギュレータを有するか、又はそのような圧力レギュレータと接続した状態で用いられる。たとえば各領域へ供給されるガス圧力は数Torrから約100Torrの範囲であって良い。それに加えてたとえば各領域へ供給されるガス圧力は10Torrから約50Torrの範囲であって良い。たとえば領域が活性化されている間ガス圧力は50Torrにまで増大する一方で、非活性化されている間ガス圧力は約10〜30Torr以下にまで減少して良い。
伝熱ガスの供給及び除去が開始され、その後中断されて良い。それにより背面ガス流が中断された位置での局所温度が増大し、同時に背面ガス流が開始された位置での局所温度は減少する。領域によるこれらの流れの変化のタイミング又は順序は、基板背面での動的な圧力分布又は圧力波を生成することができる。動的な圧力分布又は圧力波は、基板全体にわたって半径方向、又は円周方向又はそれ以外の方向に伝播する動的温度分布又は熱波に影響を及ぼすことができる。本明細書において「圧力波」という語は基板背面に加えられた空間的に変化する圧力を指称する。また「圧力波」という語は、連続的な気体雰囲気内部での分子間衝突に依存する縦波である従来の意味での音響圧力波と混同されてはならない。伝熱ガスの供給及び除去のタイミング又は順序は、位相速度が実質的に一定の圧力波を生成するように行われて良い。あるいはその代わりに、伝熱ガスの供給及び除去のタイミング又は順序は、位相、速度、振幅等が可変な圧力波を生成するように行われても良い。代替方法が、基板全体にわたる系統的な領域又は無作為な領域の組合せを用いても良い。しかも多領域が同時に変化しても良い。
各領域の制御バルブ32は制御装置35によって各独立に制御可能であって良い。たとえば制御装置35は、たとえば図1の矢印36の方向に、基板全体にわたって熱波を生成するように、バルブ32を台20の一の端部から対向する端部へ順次制御して良い。あるいはその代わりに制御装置35は、たとえば図1の矢印38の方向に、基板の中心について周辺を伝播する熱波を生成するように、バルブ32を台20の中心について円周方向に順次制御して良い。あるいはその代わりに制御装置35は、図1の矢印39の方向のように、基板の中心へ向かって内側へ、又は基板の中心から外側へ向かって半径方向に伝播する熱波を生成するように、バルブ32を台20の中心へ向かって又はその台20から離れるように半径方向に順次制御して良い。あるいはその代わりに他のパターンが用いられても良い。
ここで図4Aから図4Cを参照すると、背面圧力の動的調節を図示した複数の例がさらに供される。図4Aに図示されているように、複数の領域130がグリッド状に配置された状態で供される。一の領域132が第1期間中に活性化される一方で、周辺領域134は非活性化される。周辺領域の非活性化は(領域132に対して)減圧してこれらの領域を操作する工程を有して良く、又はこれらの領域を排気する工程を有しても良い。第1期間に続き、活性化された領域132は非活性化されて良く、その後図4Aの操作方向136で示されているように、隣接領域が活性化されて良い。
図4Bに図示されているように、複数の領域230がグリッド状に配置された状態で供される。一の領域232が第1期間活性化される一方で、周辺領域235は非活性化される。周辺領域235の非活性化は、(領域232に対して)減圧してこれらの領域を操作する工程を有して良く、又はこれらの領域を排気する工程を有しても良い。しかも中間領域234は中程度の圧力-たとえば活性化領域232と周辺領域235との間の値-で活性化されて良い。第1期間に続き、活性化された領域232は非活性化されて良く、その後図4Bの操作方向236で示されているように、隣接領域が活性化されて良い。
図4Cに図示されているように、複数の領域330がグリッド状に配置された状態で供される。複数の領域332が第1期間活性化される一方で、周辺領域334は非活性化される。周辺領域334の非活性化は、(領域332に対して)減圧してこれらの領域を操作する工程を有して良く、又はこれらの領域を排気する工程を有しても良い。第1期間に続き、活性化された領域332は非活性化されて良く、その後図4Cの操作方向336で示されているように、隣接領域が活性化されて良い。
ここで図5A及び図5Bを参照すると、基板の局所的な変形を引き起こすための背面圧力の動的調節を表す複数の追加例がさらに供される。図5Aに図示されているように、基板400はサイト410で局所的に変形する一方で、背面圧力は周辺領域434で非活性化すなわち排気される。一の例によると、サイト410での基板400の変形は基板400内のサイト410で引っ張り応力を生じさせる一方で、基板400内の周辺サイトでは圧縮応力を生じさせる。たとえば動的に応力-引っ張り応力と圧縮応力の両方を含む-をかけること、及び基板の応力を除去することは、結晶界面の緩和に影響を及ぼし、又はより結晶境界を誘起し、かつさらには結晶欠陥を減らすことが可能である。他の例によると、図5Bに図示されているように、サイトAでの基板400の傾きは、衝突する処理剤512によって部位500の側壁510のより直接的な処理に影響を及ぼすと考えられる。たとえば処理剤512は、側壁510上に堆積される材料又はエッチング用プラズマ、クリーニング用プラズマ、若しくは処理用プラズマからのイオンを有して良い。
局所的圧力は50Torrを超えて良く、又は望ましくは100Torrを超えている。あるいはその代わりに局所的圧力は500Torrを超えても良い。
台20は、温度監視システム(図示されていない)及び1つ以上の温度測定デバイスをさらに有して良い。制御装置35は、温度監視システムと結合して、1つ以上の位置で、基板温度の調節、制御、又は監視のうちの少なくとも1つを実行するように備えられて良い。
温度測定デバイスは、光ファイバ温度計、光学パイロメータ、特許文献3に記載されているようなバンド端温度測定システム、又はたとえばK型熱電対のような熱電対を有して良い。光学温度計の例には、アドバンストエナジー社(Advanced Energies)から市販されているOR2000F型光ファイバ熱電対、ラクストロン社(Luxtron Corporations)から市販されているM600型光ファイバ温度計、又は高岡電気から市販されているFT-1420型光ファイバ温度計が含まれる。
温度の空間マップ、又はプロセス結果-たとえば限界寸法、プロファイル、側壁角(SWA)、エッチング速度、堆積速度、膜厚等が含まれる-の空間マップが、基板背面上での様々な熱領域への伝熱ガスの供給を調節する制御手法の支配に利用されて良い。たとえば基板のエッチング速度の空間マップ、又はリソグラフィ後の基板のパターンCDの空間マップが得られ、かつエッチング速度(若しくはCD)と基板温度との関係(たとえばエッチング速度が温度の上昇と共に増大する)が分かる場合、それに従って、特別な熱領域のガス圧力、又は、特別な熱領域が(特定の圧力で伝熱ガスが供されることで)活性化される時間、若しくは特別な熱領域が(減圧した状態及び/又は排気された状態で伝熱ガスが供されることで)非活性化される時間のうちの少なくとも1つが調節されて良い。たとえば(エッチング速度について取得された不均一の空間マップを補正するため)低いエッチングレートを必要とする熱領域は、高い背面圧力及び/又は長い期間動作して良い。その一方で高いエッチングレートを必要とする熱領域は、低い背面圧力及び/又は短い期間動作して良い。
制御装置35は典型的には、マイクロプロセッサ、メモリ、及びデジタル入出力(I/O)ポートを有して良い。デジタル入出力(I/O)ポートは場合によっては、台20からの出力を監視するだけでなく台20への入力を起動してやり取りするのに十分な制御電圧を発生することのできるデジタル/アナログ(D/A)及び/又はA/Dコンバータを有する。図3に図示されているように、制御装置35は、制御バルブ32、ガス供給システム28、排気システム29、固定システム(図示されていない)、台温度制御システム(図示されていない)、及び温度監視システム(図示されていない)と結合して情報をやり取りして良い。メモリ内に記憶されたプログラムは、記憶されたプロセスレシピに従って熱処理集合体の部品と相互作用する。
制御装置35はまた、汎用コンピュータ、プロセッサ、デジタル信号プロセッサ等で実装されても良い。その制御装置は、熱処理集合体に、コンピュータによる読み取りが可能な媒体から制御装置に格納されている1以上の命令に係る1以上のシーケンスを実行する制御装置35に応答して、本発明に係る処理工程の一部又は全部を実行させる。コンピュータによる読み取りが可能な媒体又はメモリは、本発明の教示に従ってプログラミングされた命令を保持し、かつ本明細書に記載されたデータ構造、テーブル、レコード又は他のデータを有する。制御装置35の一例はデルコーポレーションから販売されているDELL PRECISION WORKSTATION610(商標)のような汎用コンピュータであって良い。コンピュータによる読み取りが可能な媒体の例には、コンパクトディスク(たとえばCD-ROM)若しくは他の光学式媒体、ハードディスク、フロッピーディスク、テープ、磁気光学ディスク、PROMs(EPROM、EEPROM、フラッシュEPROM)、DRAM、SRAM、SDRAM若しくは他の磁気媒体、パンチカード、紙テープ若しくは穴のパターンを有する他の物理媒体、又は搬送波(後述)若しくはコンピュータによる読み取りが可能な他の媒体がある。
制御装置35は、台20に対して局所的に設置されても良いし、又はインターネット又はイントラネットを介して処理システム1に対して離れた場所に設置されても良い。よって制御装置35は、直接接続、イントラネット、インターネット及びワイヤレス接続のうちの少なくとも1を用いることによって処理システム1とのデータのやり取りをして良い。制御装置35は、たとえば顧客側(つまりデバイスメーカー等)のイントラネットと結合して良いし、又はたとえば売り手側(つまり装置製造者等)のイントラネットと結合しても良い。さらに別なコンピュータ(つまり制御装置、サーバー等)が、たとえば制御装置とアクセスすることで、直接接続、イントラネット及びインターネットのうちの少なくとも1つを介してデータのやり取りをして良い。
図2は、領域30aからなる同心円状の環状アレイ及びガスポート26aからなる同心円状のリングを有する。前記同心円状の環状アレイ及び前記同心円状のリングはバルブをなすように群を形成する。前記群は、各領域30a内部のポート26aに対する流入出を制御する。その制御は図1の領域30と同様の方法で操作可能である。様々な領域パターンが用いられて良い。図1の実施例は矢印36によって表されるパターンをより容易に生成することが可能な一方で、図2の実施例は矢印38又は39によって表されるパターンをより容易に生成することが可能である。とはいえいずれも各パターン又は他のパターンに近づくように制御されて良い。
支持台20又は20aは典型的には、図3に図示されているように、真空チャンバ40の底部で上方を向くように設けられる。それにより支持台20又は20aは、チャンバ40の上部での基板上方に位置するプラズマ源42に対向するように基板を支持する。この場合、プラズマ源42は、チャンバ40内で誘導結合プラズマを生成するRF発生装置44と結合するコイル又はアンテナである。あるいはその代わりにプラズマ源42は容量結合プラズマを生成する電極を有する。
たとえ本発明のある典型的実施例のみが詳細に説明されたとしても、当業者は、本発明の新規な教示及び利点からほとんど逸脱することなく、多くの修正型が可能であることをすぐに理解する。従って多くの係る修正型は、本発明の技術的範囲内に含まれるものと解される。

Claims (38)

  1. 基板表面全体にわたるプロセスの均一性を制御する方法であって:
    プロセス用の真空チャンバ内の基板支持体上で基板を支持する工程;
    前記基板支持体内の複数の領域内に存在する複数のポートを介して前記基板支持体と前記基板との間の空間へ背面ガスを供する工程;及び、
    前記複数のポートうちの各異なるポートでの背面ガス圧力を各独立して制御することで、前記ポートの各々の領域周辺で、プロセス中における前記基板全体にわたる前記背面ガス圧力のばらつき又は均一性を各独立して制御する工程;
    を有する方法。
  2. 前記の背面圧力を制御する工程が、前記圧力を制御して前記基板と前記基板支持体との間の熱伝導度を制御することで、プロセス中での前記基板全体にわたる温度分布に影響を及ぼす工程を有する、請求項1に記載の方法。
  3. 前記基板全体にわたるパラメータを観測する工程及び該パラメータに応じて前記背面圧力を制御する工程をさらに有する、請求項1に記載の方法。
  4. 前記の基板支持体上で基板を支持する工程が前記基板を静電力によって前記基板支持体に保持する工程を有する、請求項1に記載の方法。
  5. 前記の背面ガスを供する工程が、前記ガスを、複数の領域の各々に存在するポートからなる複数の群の各々に係る複数のポートを介して、前記基板支持体全体にわたって注入する工程を有する、請求項1に記載の方法。
  6. 前記基板表面全体にわたる温度差に対して動的に影響する手順に従って、各領域内のポートを流れる背面ガス流を各独立して制御する工程をさらに有する、請求項3に記載の方法。
  7. 前記基板支持体全体にわたる各領域内の複数のポートからなる群を流れる背面ガス流を各独立に制御することで、プロセスチャンバ内部での他のプロセス条件によって生じるプロセスの不均一性に対する不均一効果を相殺するように、前記基板全体にわたる温度分布に影響を及ぼす工程をさらに有する、請求項1に記載の方法。
  8. 前記基板支持体全体にわたる各領域内の複数のポートからなる群を流れる背面ガス流を各独立かつ動的に変化させることで、入ってくるウエハの不均一性又は静的エッチングプロセスの不均一性の効果を相殺するように、前記基板全体にわたる温度分布に影響を及ぼす工程、をさらに有する、請求項1に記載の方法。
  9. 前記基板支持体の領域の各々が少なくとも2つの前記ポートを有し、
    該2つの前記ポートは、背面ガスの供給体と接続する少なくとも背面ガス流入ポート、及び真空排気システムと接続する少なくとも1つの流出ポートを有する、方法であって、
    当該方法は、プロセス中での前記基板全体にわたる温度分布を変化させるように前記領域の各々のポートを流入出するガス流に影響を及ぼす少なくとも1つのバルブを各独立して動的に制御する工程をさらに有する、
    請求項1に記載の方法。
  10. 真空チャンバ;
    該真空チャンバ内に存在する基板支持表面を有する基板支持体;
    前記真空チャンバと結合するプラズマ源;
    前記基板支持体表面と該表面上でプロセスのために支持される基板との間で背面ガスをやり取りするように設置された前記基板支持体表面内の複数のガスポート;
    前記基板支持体の温度に影響を及ぼす前記基板支持体内の温度制御素子;
    各々が前記ガスポートのうちの少なくとも1つと結合する複数のバルブ;並びに、
    各独立して前記バルブを制御するように動作して前記基板支持体表面全体にわたる前記背面ガス分布を制御することで、請求項1の方法による前記板全体にわたる前記基板支持体表面と前記基板との間の熱伝導度に影響を及ぼすように動作可能な、制御装置;
    を有するプラズマ処理装置。
  11. 前記ポートでの背面ガスを制御して、前記基板の直径に沿って少なくとも部分的に前記基板の温度を動的に変化させる工程をさらに有する、請求項1に記載の方法。
  12. 前記ポートでの背面ガスを制御して、前記基板の中心から少なくとも部分的には半径方向に前記基板の温度を動的に変化させる工程をさらに有する、請求項1に記載の方法。
  13. 前記ポートでの背面ガスを制御して、前記基板の中心について少なくとも部分的には円周方向に前記基板の温度を動的に変化させる工程をさらに有する、請求項1に記載の方法。
  14. 真空チャンバ;
    該真空チャンバ内に存在する基板支持表面を有する基板支持体;
    前記真空チャンバと結合するプラズマ源;
    前記基板支持体表面と該表面上でプロセスのために支持される基板との間で背面ガスをやり取りするように設置された前記基板支持体表面内の複数のガスポート;
    前記基板支持体の温度に影響を及ぼす前記基板支持体内の温度制御素子;
    各々が各異なるガスポートと結合する複数のバルブ;並びに、
    各独立して前記バルブを制御するように動作して前記基板支持体表面全体にわたる前記背面ガス分布を制御することで、前記板全体にわたる前記基板温度に影響を及ぼすように動作可能な、制御装置;
    を有するプラズマ処理装置。
  15. 前記基板又は前記基板支持体全体にわたるパラメータを観測するセンサ;及び
    該センサに応答して前記バルブを各独立して制御するように動作可能な制御装置;
    をさらに有する、請求項14に記載の装置。
  16. 前記基板又は前記基板支持体全体にわたる圧力を観測するセンサ;及び
    該センサに応答して前記バルブを各独立して制御するように動作可能な制御装置;
    をさらに有する、請求項14に記載の装置。
  17. 前記基板又は前記基板支持体全体にわたる温度を観測するセンサ;及び
    該センサに応答して前記バルブを各独立して制御するように動作可能な制御装置;
    をさらに有する、請求項14に記載の装置。
  18. 前記基板支持体が静電チャックである、請求項14に記載の装置。
  19. 前記基板支持体が静電チャックであって、
    該静電チャックは、前記基板表面の複数の領域の各々の温度を各独立に制御するために前記静電チャック内部に多領域温度制御素子を有する、
    請求項14に記載の装置。
  20. 前記ポートがパターンをなすように配置されてかつ複数の群に分割され、
    各群のポートは前記基板支持体表面の各異なる領域にわたって設けられ、
    各バルブは、前記群の各々での前記背面ガスを制御して前記群のポート付近での熱伝導度に影響を及ぼすことで前記基板表面上の基板全体にわたる温度分布に影響を及ぼすように動作可能である、
    請求項14に記載の装置。
  21. 背面ガス供給体;及び
    真空排気システム;
    をさらに有する装置であって、
    前記基板支持体表面は複数の領域を有し、
    各領域は該領域内部に複数のポートを有し、
    該ポートは前記背面ガス供給体と接続する少なくとも1つの流入ポート及び前記真空排気システムと接続する少なくとも1つの流出ポートを有し、
    各領域に係る前記ポートのうちの少なくとも1つは前記バルブのうちの対応するバルブを介して接続し、
    前記制御装置は、各バルブを制御して前記背面ガスを制御することで前記基板支持体表面の各領域付近での前記基板の局所温度に影響を及ぼすことによって前記基板表面上の基板全体にわたる温度分布に影響を及ぼすように動作可能である、
    請求項14に記載の装置。
  22. 基板を局所的に変形させる方法であって:
    プロセス用の真空チャンバ内の基板支持体上で基板を支持する工程;
    前記基板支持体全体にわたる複数の領域の各々に存在する複数のポートの群の各々に係る流入ポートを介して、前記基板支持体と前記基板との間の空間へ背面ガスを供する工程であって、前記の基板支持体の領域の各々は、背面ガス供給体と接続する少なくとも1つの背面ガス流入ポート及び真空排気システムと接続する少なくとも1つの背面ガス流出ポートを有する、工程;並びに、
    前記領域の各々のポートへのガス流に影響を及ぼす少なくとも一のバルブを各独立に動的制御する一方で、ガスが導入される前記ポートを取り囲む前記領域の各々の残り複数のポートからのガス流に影響を及ぼす少なくとも1つの他のバルブを各独立に動的制御することによって、複数のポートうちの各異なるポートでの背面ガス圧力を各独立して制御して、前記ポートの各々の領域周辺で、前記基板背面に及ぼされる局所的な圧力を各独立して制御する工程;
    を有する方法。
  23. 前記基板支持体上で前記基板を支持する工程が前記基板を静電力によって前記基板支持体に保持する工程を有する、請求項22に記載の方法。
  24. 前記の背面ガスを供する工程が、前記ガスを、複数の領域の各々に存在するポートからなる複数の群の各々に係る複数のポートを介して、前記基板支持体全体にわたって注入する工程を有する、請求項22に記載の方法。
  25. 前記基板表面全体にわたる温度差に対して動的に影響する手順に従って、各領域内のポートを流れる背面ガス流を各独立して制御する工程をさらに有する、請求項24に記載の方法。
  26. 前記基板上の部位に膜を堆積する工程をさらに有する、請求項22に記載の方法。
  27. 前記基板上の部位上の膜をエッチングする工程をさらに有する、請求項22に記載の方法。
  28. 前記基板上の部位上の膜の側壁を処理する工程をさらに有する、請求項22に記載の方法。
  29. 前記基板支持体の領域の各々が少なくとも2つの前記ポートを有し、
    該2つの前記ポートは、背面ガスの供給体と接続する少なくとも背面ガス流入ポート、及び真空排気システムと接続する少なくとも1つの流出ポートを有する、
    請求項22に記載の方法。
  30. 前記領域の1つでの前記基板背面上に及ぼされる局所的圧力が50Torrを超える、請求項22に記載の方法。
  31. 前記領域の1つでの前記基板背面上に及ぼされる局所的圧力が100Torrを超える、請求項22に記載の方法。
  32. 前記領域の1つでの前記基板背面上に及ぼされる局所的圧力が500Torrを超える、請求項22に記載の方法。
  33. 前記領域の1つでの前記基板背面上に及ぼされる局所的圧力が前記基板の変形を生じさせる、請求項22に記載の方法。
  34. 前記領域の1つでの前記基板背面上に及ぼされる局所的圧力が前記基板内での引っ張り応力及び圧縮応力の発生を起こす、請求項22に記載の方法。
  35. 基板を局所的に変形させる方法であって:
    プロセス中での基板の変形プロファイルを予め決定する工程;
    プロセス用の真空チャンバ内の基板支持体上で基板を支持する工程;
    前記基板支持体全体にわたる複数の領域の各々に存在する複数のポートの群の各々に係る流入ポートを介して、前記基板支持体と前記基板との間の空間へ背面ガスを供する工程であって、前記の基板支持体の領域の各々は、背面ガス供給体と接続する少なくとも1つの背面ガス流入ポート及び真空排気システムと接続する少なくとも1つの背面ガス流出ポートを有する、工程;並びに、
    複数のポートうちの各異なるポートでの背面ガス圧力を各独立して制御して、前記ポートの各々の領域周辺で、前記基板背面に及ぼされる局所的な圧力を各独立して制御することによって前記の基板の所定の変形プロファイルを実現する工程;
    を有する方法。
  36. 前記所定の変形プロファイルを生成するために圧力プロファイルを予め決定する工程;及び、
    前記の予め決定された圧力プロファイルに従って前記背面ガスの圧力を各独立して制御する工程;
    をさらに有する、請求項35に記載の方法。
  37. プロセス中での前記基板の変形を検知する工程;及び、
    前記の検知された基板の変形に応答して前記背面ガスの圧力を各独立に制御する工程;
    をさらに有する、請求項35に記載の方法。
  38. 前記圧力を制御する工程が、前記領域の各々のポートへのガス流に影響を及ぼす少なくとも一のバルブを各独立に動的制御する一方で、ガスが導入される前記ポートを取り囲む前記領域の各々の残り複数のポートからのガス流に影響を及ぼす少なくとも1つの他のバルブを各独立に動的制御することによって、複数のポートうちの各異なるポートでの背面ガス圧力を各独立して制御して、前記ポートの各々の領域周辺で、前記基板背面に及ぼされる局所的な圧力を各独立して制御する工程を有する、
    請求項35に記載の方法。
JP2009553719A 2007-03-12 2008-03-11 基板内での処理の均一性を改善するための動的な温度背面ガス制御 Pending JP2010521820A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/684,818 US7674636B2 (en) 2007-03-12 2007-03-12 Dynamic temperature backside gas control for improved within-substrate process uniformity
US11/684,957 US7576018B2 (en) 2007-03-12 2007-03-12 Method for flexing a substrate during processing
PCT/US2008/056478 WO2008112673A2 (en) 2007-03-12 2008-03-11 Dynamic temperature backside gas control for improved within-substrate processing uniformity

Publications (1)

Publication Number Publication Date
JP2010521820A true JP2010521820A (ja) 2010-06-24

Family

ID=39760346

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009553719A Pending JP2010521820A (ja) 2007-03-12 2008-03-11 基板内での処理の均一性を改善するための動的な温度背面ガス制御

Country Status (4)

Country Link
JP (1) JP2010521820A (ja)
KR (1) KR101526615B1 (ja)
CN (1) CN101681870B (ja)
WO (1) WO2008112673A2 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170085098A (ko) * 2014-11-19 2017-07-21 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 후면 가스 흐름을 통한 작업물 온도의 제어
JP2018505561A (ja) * 2015-02-06 2018-02-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 静電チャック表面の半径方向外側パッド設計
JP2018085498A (ja) * 2016-09-06 2018-05-31 エスピーティーエス テクノロジーズ リミティド ウェハ基板の変形を監視及び制御する方法及びシステム
KR20200030455A (ko) * 2018-09-12 2020-03-20 도쿄엘렉트론가부시키가이샤 진공 반송 모듈 및 진공 반송 방법
JP2020068350A (ja) * 2018-10-26 2020-04-30 日本特殊陶業株式会社 保持装置

Families Citing this family (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8637794B2 (en) * 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR101783077B1 (ko) * 2010-06-11 2017-09-28 도쿄엘렉트론가부시키가이샤 화학 증착 제어용 장치 및 방법
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102420162A (zh) * 2011-04-29 2012-04-18 上海华力微电子有限公司 一种静电卡盘温度控制区的设计
US20120309115A1 (en) * 2011-06-02 2012-12-06 Applied Materials, Inc. Apparatus and methods for supporting and controlling a substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
CN103928368B (zh) * 2014-03-20 2017-10-03 上海华力微电子有限公司 一种在线实时控制硅片背压的结构及方法
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9679749B2 (en) * 2014-09-26 2017-06-13 Lam Research Corporation Gas distribution device with actively cooled grid
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10036964B2 (en) 2015-02-15 2018-07-31 Kla-Tencor Corporation Prediction based chucking and lithography control optimization
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN104928652A (zh) * 2015-04-27 2015-09-23 沈阳拓荆科技有限公司 一种圆形分布的凸台表面结构的可控温加热盘
CN104862673A (zh) * 2015-04-27 2015-08-26 沈阳拓荆科技有限公司 一种中心出气的可控温加热盘
CN104928651A (zh) * 2015-04-27 2015-09-23 沈阳拓荆科技有限公司 一种温流室出气的可控温加热盘
CN104988472B (zh) * 2015-06-25 2018-06-26 沈阳拓荆科技有限公司 半导体镀膜设备控温系统
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
TWI757242B (zh) * 2015-08-06 2022-03-11 美商應用材料股份有限公司 用於晶圓處理系統的熱管理系統及方法
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN106480430A (zh) * 2015-08-31 2017-03-08 沈阳拓荆科技有限公司 一种带有热交换器的温控系统
CN105624636B (zh) * 2016-03-11 2019-07-05 京东方科技集团股份有限公司 一种溅射成膜的参数调节方法及系统
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
CN106298453A (zh) * 2016-08-31 2017-01-04 上海华力微电子有限公司 一种维持晶圆背压稳定的方法
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102147174B1 (ko) * 2016-11-18 2020-08-28 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반응관 구조 및 반도체 장치의 제조 방법
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
CN107422609A (zh) * 2017-05-25 2017-12-01 昆山国显光电有限公司 一种光刻的显影辅助方法及设备
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11114327B2 (en) * 2017-08-29 2021-09-07 Applied Materials, Inc. ESC substrate support with chucking force control
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
CN108376659B (zh) * 2018-01-30 2020-08-04 上海华力微电子有限公司 一种静电吸盘除静电时的氦气压力控制系统及方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
CN108364845B (zh) * 2018-03-20 2020-05-05 武汉华星光电技术有限公司 一种干法刻蚀设备
CN110361938B (zh) * 2018-03-26 2021-03-02 上海微电子装备(集团)股份有限公司 一种曝光方法及半导体器件的制造方法
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN113168123A (zh) * 2018-12-21 2021-07-23 Asml控股股份有限公司 掩模版子场热控制
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20200243305A1 (en) * 2019-01-25 2020-07-30 Mattson Technology, Inc. Post Plasma Gas Injection In A Separation Grid
KR102650914B1 (ko) * 2021-11-17 2024-03-26 주식회사 테스 기판처리장치
US20240096605A1 (en) * 2022-09-16 2024-03-21 Applied Materials, Inc. Backside deposition for wafer bow management
CN116024555B (zh) * 2023-03-27 2023-07-11 长鑫存储技术有限公司 衬底处理装置和方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005079415A (ja) * 2003-09-02 2005-03-24 Hitachi High-Technologies Corp プラズマ処理装置
JP2005203425A (ja) * 2004-01-13 2005-07-28 Hitachi High-Technologies Corp プラズマ処理装置及び静電吸着電極

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6492612B1 (en) * 1998-12-28 2002-12-10 Tokyo Electron Limited Plasma apparatus and lower electrode thereof
US6902622B2 (en) * 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
US20060090855A1 (en) * 2004-10-29 2006-05-04 Tokyo Electron Limited Substrate mounting table, substrate processing apparatus and substrate temperature control method
CN100382275C (zh) * 2004-10-29 2008-04-16 东京毅力科创株式会社 基板载置台、基板处理装置及基板的温度控制方法
US7718007B2 (en) * 2005-03-17 2010-05-18 Tokyo Electron Limited Substrate supporting member and substrate processing apparatus
US7705275B2 (en) * 2005-08-17 2010-04-27 Applied Materials, Inc. Substrate support having brazed plates and resistance heater
WO2008075340A1 (en) * 2006-12-18 2008-06-26 Camtek Ltd. A chuck and a method for supporting an object

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005079415A (ja) * 2003-09-02 2005-03-24 Hitachi High-Technologies Corp プラズマ処理装置
JP2005203425A (ja) * 2004-01-13 2005-07-28 Hitachi High-Technologies Corp プラズマ処理装置及び静電吸着電極

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170085098A (ko) * 2014-11-19 2017-07-21 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 후면 가스 흐름을 통한 작업물 온도의 제어
JP2018503969A (ja) * 2014-11-19 2018-02-08 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド 背面ガス流による加工物の温度制御
KR102412534B1 (ko) * 2014-11-19 2022-06-23 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 작업물의 온도를 제어하기 위한 시스템 및 방법
JP2018505561A (ja) * 2015-02-06 2018-02-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 静電チャック表面の半径方向外側パッド設計
JP2018085498A (ja) * 2016-09-06 2018-05-31 エスピーティーエス テクノロジーズ リミティド ウェハ基板の変形を監視及び制御する方法及びシステム
KR20200030455A (ko) * 2018-09-12 2020-03-20 도쿄엘렉트론가부시키가이샤 진공 반송 모듈 및 진공 반송 방법
KR102338151B1 (ko) 2018-09-12 2021-12-10 도쿄엘렉트론가부시키가이샤 진공 반송 모듈 및 진공 반송 방법
JP2020068350A (ja) * 2018-10-26 2020-04-30 日本特殊陶業株式会社 保持装置
JP7198629B2 (ja) 2018-10-26 2023-01-04 日本特殊陶業株式会社 保持装置

Also Published As

Publication number Publication date
WO2008112673A2 (en) 2008-09-18
KR20100015515A (ko) 2010-02-12
CN101681870B (zh) 2011-08-17
KR101526615B1 (ko) 2015-06-05
CN101681870A (zh) 2010-03-24
WO2008112673A3 (en) 2009-02-05

Similar Documents

Publication Publication Date Title
JP2010521820A (ja) 基板内での処理の均一性を改善するための動的な温度背面ガス制御
TWI406348B (zh) 基於改善基板內之製程均勻性目的之動態溫度背側氣體控制
US7576018B2 (en) Method for flexing a substrate during processing
US7988813B2 (en) Dynamic control of process chemistry for improved within-substrate process uniformity
US8715455B2 (en) Multi-zone gas distribution system for a treatment system
CN102593025B (zh) 半导体晶片的多区域温度控制
US8012305B2 (en) Exhaust assembly for a plasma processing system
TWI802439B (zh) 具有空間分離的單個晶圓處理環境
US8048226B2 (en) Method and system for improving deposition uniformity in a vapor deposition system
KR101313426B1 (ko) 기판 상의 잔류물을 제거하기 위한 에칭후 처리 시스템
US20100099266A1 (en) Etch reactor suitable for etching high aspect ratio features
JP7228989B2 (ja) 載置台、エッジリングの位置決め方法及び基板処理装置
TWI719377B (zh) 半導體裝置製造設備與製造方法
US20210313156A1 (en) Temperature and bias control of edge ring
TW202004868A (zh) 原子層自對準的基板處理及整合式工具集
KR20120049823A (ko) 플라즈마 처리 장치
TWI757671B (zh) 用於改進的熱傳遞和溫度均勻性的加熱的基座設計
KR101392654B1 (ko) 회전 척을 이용한 플라즈마 프로세스, 막 증착 방법 및 시스템
TWI780369B (zh) 操作空間沉積工具的方法
TW202143380A (zh) 用於快速氣體交換的基座幾何形狀
JPH11102903A (ja) 薄膜形成方法および薄膜形成装置ならびに半導体装置の製造方法
TW202027241A (zh) 互補圖案站設計
JP2000124139A (ja) プラズマ処理装置
JPH0837178A (ja) アッシング装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110301

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120228

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130128

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130205

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130501

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140204