TW202143380A - 用於快速氣體交換的基座幾何形狀 - Google Patents

用於快速氣體交換的基座幾何形狀 Download PDF

Info

Publication number
TW202143380A
TW202143380A TW110108808A TW110108808A TW202143380A TW 202143380 A TW202143380 A TW 202143380A TW 110108808 A TW110108808 A TW 110108808A TW 110108808 A TW110108808 A TW 110108808A TW 202143380 A TW202143380 A TW 202143380A
Authority
TW
Taiwan
Prior art keywords
substrate
support
substrate support
top surface
gas
Prior art date
Application number
TW110108808A
Other languages
English (en)
Inventor
桑傑夫 巴魯札
特賈斯 烏拉維
亞瑟多瑟 雅加爾瓦
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202143380A publication Critical patent/TW202143380A/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4587Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially vertically
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

用於向處理腔室中的基板提供背側壓力控制和邊緣淨化氣體的設備和方法。基板支撐件的支撐區域由外帶界定。支撐區域包含在基板支撐件的頂表面中的一個或多個開口。外帶包含複數個間隔開的柱。還揭露了處理腔室、處理基板的方法及含有用以處理基板的指令的非暫時性電腦可讀媒體。

Description

用於快速氣體交換的基座幾何形狀
本揭露書的實施例涉及基板支撐部件。特別地,本揭露書的實施例涉及具有改善的氣體交換的基板支撐件。
在半導體晶圓處理腔室(在諸如原子層沉積(ALD)腔室)中,晶圓邊緣淨化和背側壓力控制是有用的特徵。這些特徵的主要功能是提供背側壓力控制,以改善晶圓的溫度均勻性和邊緣淨化,以防止在晶圓的背側和邊緣上的沉積。
在許多ALD處理腔室中,被夾持到基座的晶圓在兩個或更多個處理站之間來回移動。沉積循環的每個部分包括晶圓曝露於一定劑量的反應氣體的時間段和淨化處理站以移除未反應物種的時間段。
常規的邊緣淨化可藉由幾種不同的技術來完成。氣體可藉由基座中的管線進行輸送,並藉由遞歸通道、基座的周圍附近的氣室或兩者的組合而分配到晶圓邊緣的下側的邊緣。淨化技術的有效性基於流可圍繞晶圓的邊緣分佈的程度而受到限制。
在許多處理環境中,晶圓放置在形成在基板支撐件中的凹穴內。有效的斜面淨化可合併到基座中,但會佔用大量空間,並且可能難以與移動的基板支撐件一起採用。沒有有效的淨化,在晶圓邊緣和基板支撐件中的凹穴之間將存在無效容積(dead-volume)區或再循環區。當晶圓在處理站之間移動時,殘留的前驅物可能保留在這個無效容積中,並導致晶圓邊緣上的非期望氣相反應。這些氣相沉積可能不利地影響膜組成、電阻率及/或共形性。
對於背側壓力控制和邊緣淨化而言,置於基座中的任何特徵將影響其他設計部件和目標。例如,由於需要的設計折衷,將氣體分配通道放置在基座中將對用那個基座而實現的溫度均勻性產生負面影響。
因此,在本領域中存在具有改善的邊緣淨化的基板支撐設備的需求。
本揭露書的一個或多個實施例涉及基板支撐基座,包含:支撐主體,具有界定厚度的頂表面和底表面。頂表面具有由外帶分界的支撐區域並且包含一個或多個開口。外帶包含複數個間隔開的柱。
本揭露書的附加實施例涉及處理腔室,包含基板支撐組件和複數個氣體分配組件。基板支撐組件包含複數個基板支撐基座,基板支撐基座的每一個包含支撐主體,支撐主體具有界定厚度的頂表面和底表面。頂表面具有由外帶分界的支撐區域並且包含一個或多個開口在頂表面中。外帶包含複數個間隔開的柱。基板支撐組件是繞中心軸線可旋轉的。複數個氣體分配組件繞處理腔室的內側間隔開。氣體分配組件的每一個配置成將氣流朝支撐主體的頂表面引導。
本揭露書的進一步的實施例涉及處理方法,處理方法包含以下步驟:向基板支撐基座的支撐區域提供氣流,基板支撐基座包含支撐主體,支撐主體具有界定厚度的頂表面和底表面,頂表面具有由外帶分界的支撐區域並且包含一個或多個開口在頂表面中,外帶包含複數個間隔開的柱;及抽空支撐區域,以從支撐區域提供淨化流通過分界支撐區域的間隔開的柱。
本揭露書的實施例涉及用於在處理腔室中整合背側壓力控制和邊緣淨化的設備和方法。在一些實施例中,藉由產生穿過密封帶的受控洩漏來實現背側壓力控制,使得背側壓力控制氣體也將用作邊緣淨化氣體。
本揭露書的一些實施例有利地提供了用以產生或改善邊緣淨化氣流的均勻性及/或效率的設備和方法。在一些實施例中,利用更均勻的邊緣淨化氣體,降低邊緣淨化氣體的流率。一些實施例有利地消除了圍繞晶圓的邊緣的環形無效容積。一些實施例維持了加熱器凹穴置中和捕獲晶圓的優點,同時改善了淨化效率。
本揭露書的一些實施例提供了結合有柱的可移動加熱器/基板支撐件。一些實施例的柱形成了作用類似於凹穴的基板支撐件的支撐區域的邊界。例如,一些實施例的藉由柱而分界的支撐區域使局部熱效應最小化,而不會在晶圓周圍產生無效容積。在一些實施例中,外帶提供物理屏障,以使基板置中在帶內的支撐區域上。在一些實施例中,在基板周圍基本上沒有無效容積。在一些實施例中,晶圓邊緣成為有效流動路徑的一部分,從而改善了淨化效率和循環時間。在一些實施例中,晶圓邊緣在熱和化學上對置中或換手效應(hand-off effect)不敏感。
參照第1圖,本揭露書的一個或多個實施例涉及基板支撐基座200和包含基板支撐基座200的處理腔室100。第1圖中所示的處理腔室100包含腔室壁102、底部103和頂部104,腔室壁102、底部103和頂部104包圍內部容積105。氣體分配組件110位於處理腔室100內,以向內部容積105中提供氣流112。
在所示的實施例中,氣體分配組件110是腔室頂部104的一部分。然而,熟悉該領域者將認識到氣體分配組件110可與腔室頂部104分離或位於處理腔室100的內部容積105的不同部分中。例如,在一些實施例中,氣體分配組件從腔室100的側壁102以相對於基板支撐件的頂表面傾斜的角度提供氣流。
第1至6圖顯示了根據本揭露書的各種實施例的基板支撐基座200。基板支撐基座200包括用於在處理期間支撐晶圓或基板的支撐主體202。支撐主體202具有界定支撐主體202的厚度T的頂表面204和底表面206。支撐主體202具有界定支撐主體202的總體形狀的外邊緣208。在一些實施例中,支撐主體202是具有圓形外邊緣208和厚度T的大體上圓柱形的部件。
支撐主體202的頂表面204具有支撐區域210。支撐區域210是頂表面204的指定用以在處理期間固定基板的一部分。一些實施例的支撐區域210包含在頂表面204中的一個或多個開口212。一些實施例的一個或多個開口212與真空源、反應性氣體源或淨化氣體源的一個或多個流體連通。
在圖式中顯示的實施例顯示了與圓形基板一起使用的基板支撐基座200。然而,熟悉本領者將認識到,本揭露書不限於圓形基板和圓形支撐主體202,並且可使用任何合適形狀的基板和支撐主體。
支撐區域210由包含複數個間隔開的柱225的外帶220分界。如在這份說明書和附隨的申請專利範圍中所使用的,術語「帶」是指具有柱225的區域,其間具有頂表面204。「帶」是指柱225的整體印象和佈置,並不意味著任何特定的形狀。第2和3圖顯示了在基座200的頂表面204上的基板160。外帶220由圍繞基板160的外周邊邊緣161的間隔開的柱225形成。
第4至6圖顯示了根據本揭露書的一個或多個實施例的基座200的放大圖。第4圖顯示了沿第2圖所示的實施例的線4-4’的局部橫截面圖。第3和4圖所示的實施例的相似之處在於,兩者都在帶220的沒有柱225的區域處的橫截面中顯示了基座200(在第3圖中顯示為虛線)。第5圖顯示了沿第2圖所示的實施例的線5-5’的局部橫截面圖,線5-5’穿過帶220的具有柱225的區域截取。第6圖顯示了用於進一步描述的目的的第5圖的示意圖。
第5和6圖顯示了具有寬度Wb 的帶220。寬度Wb 是從最接近主體202的外邊緣208的柱225的邊緣225o到最接近基板160的外周邊邊緣161的柱225的邊緣225i測量的。頂表面204的支撐區域210是主體202在柱225的邊緣225i的邊界內的部分。
第7圖顯示了帶220的示意圖,帶由24個柱225的圓形佈置表示。所示柱225的每一個相對於中心軸線221旋轉15°。在一些實施例的相鄰柱225之間的間隔Sp 是均勻的。如以這種方式使用的,均勻的間隔意味著任何給定的間隔Sp 在柱225之間的平均間隔的5%、2%、1%或0.5%內。在一些實施例中,在柱225之間的間隔Sp 是可變的。例如,在一些實施例中,一些帶220的一側附近的柱225比帶220的相對側上的柱225更靠近在一起,如第8A圖所示。
一些實施例的間隔開的柱225對來自支撐區域210的氣流基本上不提供任何阻擋。在柱225的角度上與帶220相切地測量的各個柱225的橫截面寬度與支撐區域210的區域相比較小。在一些實施例中,間隔開的柱225的組合橫截面寬度小於或等於支撐區域210的周長或帶220的平均周長的50%。在一些實施例中,間隔開的柱的組合橫截面寬度小於或等於支撐區域210的周長或帶220的平均周長的25%、20%、15%、10%、5%、2%或1%。
第8B圖顯示了根據本揭露書的一個或多個實施例的基板支撐組件280。組件280具有十字形的支撐底座281,其在支撐件281的每個支腳的末端處具有四個基板支撐件200。四個基板支撐件200繞支撐底座281的中心軸線282旋轉。如第8B圖的實施例所示,柱225在離偏移支撐組件的中心軸線282最遠的基座200的側面287上具有比最靠近中心軸線282的側面288更高的密度(較小的間隔Sp ),如第8B圖所示。
返回參考第6圖,帶220與基座的外周邊208間隔開距離Do ,以將支撐區域210與外部區域211分開。在一些實施例中,帶220與基板160的外周邊邊緣161間隔開Di 。距離Do 和Di 是測量到帶220的寬度Wb 的中心225c。
從基座的外邊緣208到帶220的距離可為任何合適的距離。在一些實施例中,帶220到基座的外邊緣208的距離Do 在約0.25mm至約10mm的範圍中,或在約0.5mm至約6mm的範圍中,或在約0.75mm至約4mm的範圍中,或在約1mm至約2mm的範圍中。
在一些實施例中,從帶220到基板160的距離Di 可為任何合適的距離。在一些實施例中,距離Dii 是從帶220的內邊緣225i到基板160的外周邊邊緣161測量的。距離Dii 可為任何合適的距離。在一些實施例中,距離Dii 在約0.1mm至約5mm的範圍中,或在約0.2mm至約3mm的範圍中。在一些實施例中,當基板160存在於支撐區域210中時,外帶220與基板160的外周邊邊緣161間隔開平均距離Dii ,平均距離Dii 在約0.1mm至約5mm的範圍中,在約0.2mm至約3mm的範圍中,或在約0.5mm至約5mm的範圍中。
柱225的形狀可為任何合適的形狀。在所示的實施例中,柱225是從主體202的頂表面204延伸高度Hs 的圓柱形部件。在一些實施例中,高度Hs在約0.2mm至約5mm的範圍中。在一些實施例中,柱225的最靠近基板160的側壁基本上垂直於支撐主體202的頂表面204延伸。如以這種方式使用的,術語「基本上垂直」是指與頂表面204成在約80°到110°的範圍中的角度。
帶220的寬度Wb 界定為在內面225i和外面225o之間的距離。在一些實施例中,帶220的寬度Wb 在約0.5mm至約25mm的範圍中,或在約1mm至約20mm的範圍中,或在約2mm至約15mm的範圍中,或在約3mm至約10mm的範圍中。
如第5圖所示,帶220的高度HS 界定為從主體202的頂表面204到柱225的頂表面226的距離。在一些實施例中,帶220的高度HS 在約0.2mm至約20mm的範圍中,或在約0.5mm至約15mm的範圍中,或在約0.75mm至約10mm的範圍中,或在約1mm至約5mm的範圍中。在一些實施例中,帶220具有足夠的高度HS ,使得柱225的頂表面226與基板160的頂表面161基本上共面。如以這種方式使用的,術語「基本上共面」是指由基板160形成的主平面在柱225的頂表面226的主平面的±0.5mm內。
柱225的形狀可改變,以改變通過柱225的氣流。第9A至11B圖顯示了柱225形狀的三個可能的、非限制性示例。在第9A圖中,柱225是圓柱形的。第9B圖顯示了第9A圖的柱225的佈置,以形成根據一個或多個實施例的帶220。在第10A圖中,柱225是半圓筒。第10B圖顯示了第10A圖的柱225的佈置,以形成根據一個或多個實施例的帶220。在第11A圖中,柱是淚滴形的。第11B圖顯示了第11A圖的柱225的佈置,以形成帶220。
返回參考第1圖,一些實施例的基板支撐基座200包括基座軸250。基座軸250從主體202的底表面206延伸。在一些實施例中,基座軸250與支撐主體202一體地形成。在一些實施例中,基座軸250是與支撐主體202分離的部件。
一些實施例的基座軸250包含氣體管線255,氣體管線255穿過基座軸250延伸到支撐區域210中的開口213。在一些實施例中,存在有基座軸250,基座軸250具有氣體管線255延伸穿過基座軸到開口213,從而通過開口212到支撐區域210。
在一些實施例中,支撐主體202是靜電夾盤。如熟悉本領域者將理解的,靜電夾盤包括一個或多個電極260,其可被極化以將基板夾持到支撐主體202。在一些實施例中,支撐主體202包括在支撐主體202的厚度內的一個或多個熱元件265。熱元件265連接到功率源(未顯示),功率源可引起支撐主體202的溫度變化。在一些實施例中,熱元件265是加熱線圈。在一些實施例中,熱元件265是冷卻元件。在一些實施例中,熱元件265包含加熱線圈和冷卻元件,以控制基板的溫度。
返回參第1圖,一些實施例包括連接到氣體管線255的流量控制器170、壓力計172、泵174或反饋電路176的一個或多個。熟悉本領域者將熟悉與處理腔室一起使用的流量控制器、壓力計、泵和反饋迴路。在一些實施例中,流量控制器170、壓力計172、泵174和反饋電路176用以控制藉由氣體管線255進入支撐區域210中的背側氣體的流量。
在第1圖所示的實施例中,流量控制器170在氣體管線255的上游並且與氣體管線255流體連通。壓力計172在氣體管線255的下游並且與氣體管線255流體連通,並且泵174在壓力計172的下游並且與氣體管線255流體連通。流量控制器170、壓力計172和泵174的組合可用以控制提供給支撐區域210的背側氣體壓力。在一些實施例中,反饋電路176配置為測量氣體管線255中的壓力並調節流量控制器172,以維持氣體管線255內的均勻壓力。
在一些實施例中,基板支撐基座200或處理腔室100或兩者連接到控制器190。控制器190可配置成控制流量控制器170、壓力計172、泵174或反饋電路176的一個或多個及/或接收來自流量控制器170、壓力計172、泵174或反饋電路176的一個或多個的資訊。在一些實施例中,反饋電路176是控制器190的一部分。
在第1圖的處理腔室100中,處理腔室100的內部容積105內的基板支撐基座200在支撐主體202的頂表面204附近界定反應空間106。氣體分配組件110將氣流105朝支撐主體202的頂表面204和基板160引導。反應空間壓力計109配置成測量反應空間106內的壓力。
處理腔室100的一些實施例包括至少一個控制器190,控制器190耦合到處理腔室100、基座200、流量控制器170、壓力計172、泵174、反饋電路176、反應空間壓力計108或氣體分配組件110的一個或多個。在一些實施例中,有不止一個控制器190連接到各個部件,並且主控制處理器耦合到單獨的控制器或處理器的每一個以控制系統。控制器190可為任何形式的通用電腦處理器、微控制器、微處理器等,其可在工業環境中用於控制各種腔室和子處理器。
至少一個控制器190可具有處理器192、耦合到處理器192的記憶體194、耦合到處理器192的輸入/輸出裝置196以及支持電路198,以在不同的電子部件之間進行通信。記憶體194可包括暫時性記憶體(如,隨機存取記憶體)和非暫時性記憶體(如,儲存器)的一個或多個。
處理器的記憶體194或電腦可讀媒體可為一種或多種容易獲得的記憶體,諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟或任何其他形式的本端或遠端的數位儲存器。記憶體194可保留可由處理器192操作以控制系統的參數和部件的指令集。支持電路198耦合到處理器192,用於以常規方式支持處理器。電路可包括(例如)快取、功率供應器、時脈電路、輸入/輸出電路、子系統及類似者。
處理通常可作為軟體例程儲存在記憶體中,軟體例程當由處理器執行時使處理腔室執行本揭露書的處理。軟體例程還可由第二處理器(未顯示)儲存及/或執行,第二處理器遠離由該處理器控制的硬體而定位。本揭露書的一些或全部方法也可在硬體中執行。這樣,處理可以軟體實現並且可使用電腦系統,以硬體(如,專用積體電路或其他類型的硬體實現)或者以軟體和硬體的組合來執行。當由處理器執行時,軟體例程將通用電腦轉換為控制腔室操作的專用電腦(控制器),使得處理被執行。
在一些實施例中,控制器190具有一個或多個配置以執行單獨的處理或子處理,以執行方法的實施例。控制器190可連接到中間部件並配置成操作中間部件以執行方法的功能。例如,控制器190可連接到氣體閥、致動器、馬達、狹縫閥、真空控制等的一個或多個並配置成控制氣體閥、致動器、馬達、狹縫閥、真空控制等的一個或多個。
一些實施例的控制器190或非暫時性電腦可讀媒體具有一種或多種配置或指令,配置或指令選自:將機器人上的基板移動至提升銷的配置;從系統加載及/或卸載基板的配置;提供藉由氣體分配組件的氣流的配置,測量反應空間壓力的配置;測量氣體管線中的壓力的配置;控制流量控制器以控制到氣體管線的背側氣體的流量的配置;控制從氣體管線及流量控制器到泵的氣流以調節氣體管線中的壓力的配置;基於來自反應空間壓力計的讀數來調節流量控制器以維持氣體管線內的均勻壓力的配置;相對於反應空間在內部凹穴區域中維持正壓的配置;控制支撐主體內的靜電夾盤及/或電極的配置;控制熱元件以控制支撐主體的溫度的配置。
在一些實施例中,非暫時性電腦可讀媒體或控制器包括使背側氣體流到基板支撐件基座的支撐區域的指令;使處理氣體流到處理腔室中的反應空間的配置;決定在支撐區域與帶的外側的外部區域之間的壓力差或反應空間的壓力的配置;及/或控制背側氣體向支撐區域的流量,以維持從支撐區域通過帶的均勻氣流。
在整個說明書中,對「一個實施例」、「某些實施例」、「一個或多個實施例」或「一實施例」的引用是指結合該實施例描述的特定特徵、結構、材料或特性包括在本揭露書的至少一個實施例中。因此,在整個說明書中各個地方出現諸如「在一個或多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」之類的短語不一定是指本揭露書的相同實施例。此外,在一個或多個實施例中,可以任何合適的方式組合特定的特徵、結構、材料或特性。
儘管已經參考特定實施例描述了本揭露書,但是熟悉本領域者將理解,所描述的實施例僅是本揭露書的原理和應用的說明。對於熟悉本領域者將顯而易見的是,在不背離本揭露書的精神和範圍的情況下,可對本揭露書的方法和設備做出各種修改和變化。因此,本揭露書可包括在附隨的申請專利範圍及其等效元件的範圍內的修改和變化。
100:腔室 102:壁/側壁 103:底部 104:頂部 105:內部容積/氣流 106:反應空間 109:反應空間壓力計 110:氣體分配組件 112:氣流 160:基板 161:外周邊邊緣/頂表面 170:流量控制器 172:壓力計/流量控制器 174:泵 176:反饋電路 190:控制器 192:處理器 194:記憶體 196:輸入/輸出裝置 198:支持電路 200:基座/基板支撐件 202:主體 204:頂表面 206:底表面 208:外邊緣/外周邊 210:支撐區域 211:外部區域 212:開口 213:開口 220:帶 221:中心軸線 225:柱 225c:中心 225i:邊緣/面 225o:邊緣/面 250:基座軸 255:氣體管線 260:電極 265:熱元件 281:支撐底座/支撐件 282:中心軸線 287:側面 288:側面
為了可詳細地理解本揭露書的上述特徵的方式,可藉由參考實施例來獲得以上簡要概述的本揭露書的更詳細的描述,一些實施例顯示在附隨的圖式中。然而,應當注意,附隨的圖式僅顯示了本揭露書的典型實施例,並且因此不應被認為是對其範圍的限制,因為本揭露書可允許其他等效的實施例。在附隨圖式的圖式中,以示例而非限制的方式顯示了於此所述的實施例,在附隨圖式中,相似的元件符號指示相似的元件。
第1圖顯示了根據本發明的一個或多個實施例的處理腔室的橫截面示意圖;
第2圖顯示了根據本揭露書的一個或多個實施例的基板支撐基座的平行投影圖;
第3圖顯示了根據本揭露書的一個或多個實施例的基板支撐基座的局部橫截面圖;
第4圖顯示了沿線4-4'截取的第2圖的基板支撐基座的局部橫截面示意圖;
第5圖顯示了沿線5-5'截取的第2圖的基板支撐基座的局部橫截面示意圖;
第6圖顯示了根據本揭露書的一個或多個實施例的基板支撐基座的局部橫截面示意圖;及
第7圖顯示了根據本揭露書的一個或多個實施例的外帶的示意性俯視圖;
第8A圖顯示了根據本揭露書的一個或多個實施例的外帶的示意性俯視圖;
第8B圖顯示了根據本揭露書的一個或多個實施例的具有多個基板支撐基座的基座組件的示意性俯視圖;
第9A圖顯示了根據本揭露書的一個或多個實施例的柱的平行投影圖;
第9B圖顯示了使用第9A圖的柱的基座的示意性俯視圖;
第10A圖顯示了根據本揭露書的一個或多個實施例的柱的平行投影圖;
第10B圖顯示了使用第10A圖的柱的基座的示意性俯視圖;
第11A圖顯示了根據本揭露書的一個或多個實施例的柱的平行投影圖;及
第11B圖顯示了使用第11A圖的柱的基座的示意性俯視圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:腔室
102:壁/側壁
103:底部
104:頂部
105:內部容積/氣流
106:反應空間
109:反應空間壓力計
110:氣體分配組件
112:氣流
160:基板
170:流量控制器
172:壓力計/流量控制器
174:泵
176:反饋電路
190:控制器
192:處理器
194:記憶體
196:輸入/輸出裝置
198:支持電路
200:基座/基板支撐件
202:主體
204:頂表面
206:底表面
208:外邊緣/外周邊
210:支撐區域
212:開口
213:開口
225:柱
250:基座軸
255:氣體管線
260:電極
265:熱元件

Claims (20)

  1. 一種基板支撐基座,包含:一支撐主體,具有界定一厚度的一頂表面和一底表面,該頂表面具有由一外帶分界的一支撐區域並且包含一個或多個開口在該頂表面中,該外帶包含複數個間隔開的柱。
  2. 如請求項1所述之基板支撐基座,其中該等間隔開的柱的每一個具有一橫截面寬度,並且該等間隔開的柱的組合寬度小於或等於該支撐區域的一周長的50%。
  3. 如請求項2所述之基板支撐基座,其中該等間隔開的柱的組合寬度小於或等於該支撐區域的該周長的25%。
  4. 如請求項2所述之基板支撐基座,其中該等間隔開的柱的組合寬度小於或等於該支撐區域的該周長的10%。
  5. 如請求項1所述之基板支撐基座,其中當在該支撐區域中存在一基板時,該外帶提供一物理邊界以將該基板保持置中在該帶內。
  6. 如請求項5所述之基板支撐基座,其中在該基板周圍基本上沒有無效容積。
  7. 如請求項1所述之基板支撐基座,其中當在該支撐區域中存在一基板時,該外帶與該基板的一外周邊邊緣間隔開約0.5mm至約5mm的範圍中的一平均距離。
  8. 如請求項1所述之基板支撐基座,其中該等間隔開的柱的每一個具有在約0.2mm至約5mm的範圍中的一高度。
  9. 如請求項1所述之基板支撐基座,其中該等柱之間的間隔基本相同。
  10. 如請求項1所述之基板支撐基座,其中該等柱具有基本垂直於該支撐主體的該頂表面延伸的一側壁。
  11. 如請求項1所述之基板支撐基座,其中該支撐主體是包含多個電極的一靜電吸盤。
  12. 如請求項1所述之基板支撐基座,其中該支撐主體包含在該支撐主體的該厚度內的多個加熱器線圈。
  13. 如請求項1所述之基板支撐基座,進一步包含從該支撐主體的該底表面延伸的一基座軸。
  14. 如請求項13所述之基板支撐基座,其中該基座軸包含一氣體管線,該氣體管線延伸穿過該基座軸到達該支撐區域中的一個或多個開口。
  15. 如請求項14所述之基板支撐基座,其中該支撐區域中的該一個或多個開口與一真空源、一反應性氣體源或一淨化氣體源的一個或多個流體連通。
  16. 如請求項14所述之基板支撐基座,進一步包含連接至該氣體管線的一流量控制器、壓力計、泵和反饋電路,以控制藉由該氣體管線進入該支撐區域的一氣流。
  17. 如請求項16所述之基板支撐基座,進一步包含一控制器,配置成控制該流量控制器、壓力計、泵或反饋電路的一個或多個及/或接收來自該流量控制器、壓力計、泵或反饋電路的一個或多個的資訊。
  18. 如請求項17所述之基板支撐基座,其中該流量控制器在該氣體管線的上游並且與該氣體管線流體連通,該壓力計在該氣體管線的下游並且與該氣體管線流體連通,且該泵在該壓力計的下游並且與該氣體管線流體連通,且該反饋電路配置成測量該氣體管線中的壓力並調節該流量控制器以維持該氣體管線內的一均勻壓力。
  19. 一種處理腔室,包含: 一基板支撐組件,包含複數個基板支撐基座,該等基板支撐基座的每一個包含一支撐主體,該支撐主體具有界定一厚度的一頂表面和一底表面,該頂表面具有由一外帶分界的一支撐區域並且包含一個或多個開口在該頂表面中,該外帶包含複數個間隔開的柱,該基板支撐組件是繞一中心軸線可旋轉的;及 複數個氣體分配組件,繞該處理腔室的內側間隔開,該等氣體分配組件的每一個配置成將一氣流朝該支撐主體的該頂表面引導。
  20. 一種處理方法,包含以下步驟: 向一基板支撐基座的一支撐區域提供一氣流,該基板支撐基座包含一支撐主體,該支撐主體具有界定一厚度的一頂表面和一底表面,該頂表面具有由一外帶分界的一支撐區域並且包含一個或多個開口在該頂表面中,該外帶包含複數個間隔開的柱;及 抽空該支撐區域,以從該支撐區域提供一淨化流通過分界該支撐區域的該等間隔開的柱。
TW110108808A 2020-03-21 2021-03-12 用於快速氣體交換的基座幾何形狀 TW202143380A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202062992980P 2020-03-21 2020-03-21
US62/992,980 2020-03-21

Publications (1)

Publication Number Publication Date
TW202143380A true TW202143380A (zh) 2021-11-16

Family

ID=77747603

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110108808A TW202143380A (zh) 2020-03-21 2021-03-12 用於快速氣體交換的基座幾何形狀

Country Status (3)

Country Link
US (1) US20210292898A1 (zh)
TW (1) TW202143380A (zh)
WO (1) WO2021194822A1 (zh)

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6186092B1 (en) * 1997-08-19 2001-02-13 Applied Materials, Inc. Apparatus and method for aligning and controlling edge deposition on a substrate
US6634882B2 (en) * 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance
US20030168174A1 (en) * 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US20040247787A1 (en) * 2002-04-19 2004-12-09 Mackie Neil M. Effluent pressure control for use in a processing system
US20050217585A1 (en) * 2004-04-01 2005-10-06 Blomiley Eric R Substrate susceptor for receiving a substrate to be deposited upon
US8277569B2 (en) * 2004-07-01 2012-10-02 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus and substrate treating method
JP4666473B2 (ja) * 2005-05-12 2011-04-06 大日本スクリーン製造株式会社 基板熱処理装置
TWI327339B (en) * 2005-07-29 2010-07-11 Nuflare Technology Inc Vapor phase growing apparatus and vapor phase growing method
DE102005055252A1 (de) * 2005-11-19 2007-05-24 Aixtron Ag CVD-Reaktor mit gleitgelagerten Suszeptorhalter
JP2011021253A (ja) * 2009-07-16 2011-02-03 Nuflare Technology Inc 成膜装置
US9245777B2 (en) * 2013-05-15 2016-01-26 Lam Research Ag Apparatus for liquid treatment of wafer shaped articles and heating system for use in such apparatus
SG10201810390TA (en) * 2014-05-21 2018-12-28 Applied Materials Inc Thermal processing susceptor
KR101598465B1 (ko) * 2014-09-30 2016-03-02 세메스 주식회사 기판 처리 장치 및 방법
US20170175265A1 (en) * 2015-12-18 2017-06-22 Applied Materials, Inc. Flat susceptor with grooves for minimizing temperature profile across a substrate

Also Published As

Publication number Publication date
US20210292898A1 (en) 2021-09-23
WO2021194822A1 (en) 2021-09-30

Similar Documents

Publication Publication Date Title
KR102244230B1 (ko) 과도 균일성을 위한 캐스케이드 설계 샤워헤드
KR101526615B1 (ko) 처리 균일성 제어 방법, 플라즈마 처리 장치 및 기판 국소 변형 방법
KR20230136584A (ko) 다공성 베플을 갖는 저 볼륨 샤워헤드
KR102664779B1 (ko) 공간적인 원자 층 증착에서의 가스 분리 제어
JP2016139795A (ja) 空間的に分離された原子層堆積チャンバのための改良型注入器
JP2021010016A (ja) 縁部クリティカルディメンジョンの均一性制御用のプロセスキット
TWI754913B (zh) 基板處理裝置
JP6974169B2 (ja) 複数の加熱ゾーンを有する基板支持体
US10294565B2 (en) Substrate processing apparatus
KR20220046004A (ko) 공간 분리를 갖는 단일 웨이퍼 프로세싱 환경들
TW201806027A (zh) 被處理體的處理方法
TW201740435A (zh) 微體積沉積腔室
TW202111150A (zh) 雙功能性晶圓背側壓力控制及邊緣淨化
KR102003585B1 (ko) 기판 보유 지지구 및 기판 처리 장치
US20080227227A1 (en) Dynamic temperature backside gas control for improved within-substrate process uniformity
TW201941303A (zh) 負載鎖定整合斜面蝕刻器系統
JP6557992B2 (ja) 成膜装置、成膜方法及び記憶媒体
TW202133365A (zh) 使用具有可調式泵的處理腔室蓋的ald循環時間縮減
JP2022176935A (ja) 正確な温度及び流量制御を備えたマルチステーションチャンバリッド
TW202230471A (zh) 熱均勻的沉積站
JP6758428B2 (ja) 空間的ald処理チャンバ内での堆積の均一性を高めるデバイス
TW202143380A (zh) 用於快速氣體交換的基座幾何形狀
TW202117067A (zh) 用於改善均勻性的抖動或動態偏移
TW202334493A (zh) 用於處理腔室蓋同心度對齊的設備與方法
US8419855B2 (en) Substrate processing chamber with off-center gas delivery funnel