JP2022176935A - 正確な温度及び流量制御を備えたマルチステーションチャンバリッド - Google Patents

正確な温度及び流量制御を備えたマルチステーションチャンバリッド Download PDF

Info

Publication number
JP2022176935A
JP2022176935A JP2022123068A JP2022123068A JP2022176935A JP 2022176935 A JP2022176935 A JP 2022176935A JP 2022123068 A JP2022123068 A JP 2022123068A JP 2022123068 A JP2022123068 A JP 2022123068A JP 2022176935 A JP2022176935 A JP 2022176935A
Authority
JP
Japan
Prior art keywords
station
purge
lid
processing chamber
lid body
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2022123068A
Other languages
English (en)
Other versions
JP7441900B2 (ja
Inventor
ドリティマン スバ カシャプ,
Subha Kashyap Dhritiman
ゴプ クリシュナ,
Krishna Gopu
サンジーヴ バルジャ,
Baluja Sanjeev
マイケル ライス,
Rice Michael
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022176935A publication Critical patent/JP2022176935A/ja
Application granted granted Critical
Publication of JP7441900B2 publication Critical patent/JP7441900B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

【課題】複数のステーション開口部を備えたマルチステーション処理チャンバリッドの提供。【解決手段】マルチステーション処理チャンバのためのトッププレート300において、ステーション開口部310の周りには、ステーション分離パージチャネル329が存在する。複数の角度付きパージチャネル329は、ステーション開口部310を、隣接したステーション開口部から分離する。2つの位置でトッププレート300のエッジと接触しトッププレート300の中心部305を通過するリッド支持ビームは、チャンバリッド本体307のたわみを補償する。【選択図】図4

Description

本開示の実施形態は、処理チャンバリッドを対象とする。特に、本開示の実施形態は、半導体基板ウエハのマルチステーション処理のためのチャンバリッドに関する。
半導体製造で利用される膜堆積法は、温度に大きく依存している。基板表面全体にわたる温度の変動は、不均一な堆積を生じさせ、最終的にはデバイスの故障及び/又はスループットの低下につながる可能性がある。したがって、処理中に基板表面全体にわたる均一な温度制御が必要とされている。これは、ある処理環境から別の処理環境へと移送されるウエハにも必要とされている。
加えて、ある特定のプロセスでは、処理中に基板表面からガス種が放出される。これらの種は、それと反応することが可能な場合には、基板表面の品質を低下させる可能性がある。したがって、種が基板表面と反応することができる前に、ガス放出された種を基板表面から迅速かつ効率的に除去することができるパージシステムが必要とされている。
処理チャンバの設計における最近の進歩には、単一の処理チャンバ内の複数のウエハ処理環境が含まれる。このタイプの処理環境では、ウエハはチャンバ内の隣接する処理ステーション間を移動する。各処理ステーションは、ウエハ処理の幾つかの態様を実行するように構成されている。均一かつ再現性のある処理を行うためには、ウエハ上での0.5℃の厳密な温度制御が必要とされる。ウエハは、ステーション間の移送中に、全サイクル時間の最大50%をリッド下の移動に費やす。ウエハ温度は、処理ステーション間の移動中に変化する可能性があり、温度の平衡化に追加の時間が必要とされることにより、処理の均一性又はスループットに影響を与える。したがって、当技術分野では、単一の処理チャンバ内で複数の処理環境を分離することができる温度制御及びパージシステムが必要とされている。
1つ以上の実施形態は、上面及び底面を備えたリッド本体を含む処理チャンバリッドを対象とする。複数のステーション開口部は、上面からリッド本体を通って底面まで延在する。各開口部は、外周エッジを有している。ステーション分離パージチャネルは、複数のステーション開口部の各々の外周エッジの周りに延びる。ステーション分離パージチャネルは、該ステーション分離パージチャネルからリッド本体の底面まで延びる複数の開孔を有している。複数の開孔は、複数のステーション開口部の周りに間隔を置いて配置される。複数の角度付きパージチャネルは、リッド本体の中心部から、隣接したステーション開口部間のリッド本体の外周エッジ部分まで延びる。各角度付きパージチャネルは、該角度付きパージチャネルからリッド本体の底面まで延びる、複数の間隔を空けた開孔を備えている。
本開示の追加の実施形態は、処理方法を対象とする。パージガスは、複数のステーション開口部の外周エッジの周りに延びるステーション分離パージチャネルを通って流れる。ステーション分離パージチャネルは、該ステーション分離パージチャネルからリッド本体の底面まで延びる複数の開孔を有しており、これが処理ステーションの周りの処理チャンバの内部容積へのパージガスの流れを可能にする。パージガスは、隣接したステーション開口部間にリッド本体の中心部からリッド本体の外周エッジ部分まで延びる複数の角度付きパージチャネルを通って流れる。各角度付きパージチャネルは、該角度付きパージチャネルからリッド本体の底面まで延び、処理ステーション間の処理チャンバの内部容積にパージガスを流す、複数の間隔を空けた開孔を備えている。
本開示のさらなる実施形態は、非一時的コンピュータ可読媒体であって、処理チャンバのコントローラによって実行されると、処理チャンバに次の動作:複数のステーション開口部の外周エッジの周りに延びるステーション分離パージチャネルを通じてパージガスを流すことであって、該ステーション分離パージチャネルが、処理ステーションの周りの処理チャンバの内部容積へとステーション分離パージチャネルからリッド本体の底面まで延びる複数の開孔を有している、パージガスを流すこと;及び、隣接したステーション開口部間にリッド本体の中心部からリッド本体の外周エッジ部分まで延びる複数の角度付きパージチャネルを通じてパージガスを流すことであって、各角度付きパージチャネルが、該角度付きパージチャネルからリッド本体の底面まで延び、パージガスを処理ステーション間の処理チャンバの内部容積に流す、複数の間隔を空けた開孔を含む、パージガスを流すことを実行させる命令を含む、非一時的コンピュータ可読媒体を対象とする。
本開示の上記の特徴を詳細に理解できるように、その一部が添付の図面に示されている実施形態を参照することにより、上に簡単に要約されている本開示のより詳細な説明を得ることができる。本開示は他の等しく有効な実施形態も許容しうることから、添付の図面がこの開示の典型的な実施形態のみを例示しており、したがって本開示の範囲を限定すると見なすべきではないことに留意されたい。本明細書に記載の実施形態は、例として示されているのであって、同様の参照が同様の要素を示す添付の図面の図に限定されない。
本開示の1つ以上の実施形態による処理チャンバの断面等角図 本開示の1つ以上の実施形態による処理チャンバの断面図 本開示の1つ以上の実施形態による処理チャンバのトッププレートを示す図 本開示の1つ以上の実施形態による処理チャンバリッドの部分断面平行投影図 図4の領域5の拡大図 線6-6’に沿った図4の断面図 本開示の1つ以上の実施形態による処理チャンバリッドの概略図 本開示の1つ以上の実施形態による処理チャンバリッドの断面図 図8の断面図の領域9を示す図
本開示の幾つかの例示的な実施形態を説明する前に、本開示が、以下の説明に記載される構成又はプロセスステップの詳細に限定されないということが理解されるべきである。本開示は、他の実施形態も可能であり、さまざまな方法で実施又は実行することができる。
本明細書で用いられる「基板」とは、その上で製造処理中に膜処理が行われる、任意の基板表面又は基板上に形成された材料表面のことを指す。例えば、処理が実施されうる基板表面は、用途に応じて、シリコン、酸化シリコン、ストレインドシリコン、シリコンオンインシュレータ(SOI)、炭素をドープした酸化シリコン、アモルファスシリコン、ドープしたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、並びに、金属、金属窒化物、金属合金、及び他の導電材料など、他の任意の材料を含む。基板には半導体ウエハが含まれるが、これに限定されない。基板表面を研磨、エッチング、還元、酸化、ヒドロキシル化、アニール、及び/又はベイクするために、基板を前処理プロセスに曝してもよい。基板自体の表面に直接膜処理することに加えて、本開示では、開示された任意の膜処理ステップは、以下により詳細に開示されるように、基板上に形成された下層にも行うことができ、「基板表面」という用語は、文脈が示すように、こうした下層を含むことが意図されている。したがって、例えば、膜/層又は部分的な膜/層が基板表面上に堆積されている場合には、新たに堆積された膜/層の露出面が基板表面となる。
本明細書及び添付の特許請求の範囲で使用する場合、「前駆体」、「反応物質」、「反応性ガス」などの用語は、基板表面と反応することができる任意のガス種を指すために、交換可能に用いられる。
本開示の幾つかの実施形態は、その下で処理されるウエハの温度ドリフトを最小限に抑えるように熱制御されるチャンバリッドに関する。チャンバリッドは、複数の処理領域と、チャンバリッドの周囲の加熱素子とを備えており、該加熱素子は処理領域を取り囲んでいる。加熱素子は、約150℃±10℃のリッド温度と、0.5℃未満の処理されたウエハの温度均一性を維持することができる。幾つかの実施形態では、加熱素子は、約75℃から約175℃の範囲のリッド温度を維持するように構成される。
本開示の1つ以上の実施形態は、処理ステーションを効果的に分離するために、処理ステーションの周りに均一なパージ分布のための再帰的経路を有するチャンバリッドを対象とする。幾つかの実施形態では、チャンバリッドは、ウエハの移送中にガス放出された粒子を運ぶための角度付きパージを提供することによって、膜の劣化を最小限に抑える。幾つかの実施形態では、チャンバリッドは、所定の設定値まで加熱されて、ウエハ上での温度スキューの発生を最小限に抑えるか又は防止する。幾つかの実施形態では、再帰的流路は、ガスを噴射せずに、1%未満のガス分布の不均一性を達成するように構成される。幾つかの実施形態では、再帰的流路は、効果的なガス分離及び均一な分布をもたらす。
本開示の幾つかの実施形態は、複数の処理領域の処理流れを分離するチャンバリッドを対象とする。チャンバリッドは、各処理領域を取り囲む円形のパージチャネルを備えており、該パージチャネルは中心パージフィードに接続される。幾つかの実施形態は、近隣の処理領域における反応物質の質量分率が間隙の間隔の範囲にわたって1e-6未満になるように、処理領域間のクロストークを効果的に防止する。幾つかの実施形態では、反応物質が1つのステーションで用いられる場合、近隣の(隣接する)ステーションにおけるその反応物質の濃度は、1ppm未満、又は100ppb未満、又は10ppb未満、又は1ppb未満である。
本開示のさらなる実施形態は、処理領域間のガス放出された種を除去するチャンバリッドを対象とする。チャンバリッドは、処理領域間に角度付きパージチャネルを備えている。ウエハが処理領域間を移動すると、角度付きパージチャネルが基板表面からガス放出された種を除去する。幾つかの実施形態では、角度付きパージチャネルは、ガス放出された種を0.5秒未満で除去することができ、サイクル時間を短縮することができる。
本開示の幾つかの実施形態は、複数の処理ステーションを有する処理チャンバを対象とする。処理ステーションは、同じ又は異なる熱環境を有することができ、したがって、処理ステーション間の移動が処理に一時的な影響を与える可能性がある。幾つかの実施形態では、処理チャンバは、約2から約8の範囲の処理ステーションを有する。
本開示の幾つかの実施形態は、前駆体を特定の処理ステーションに拘束し、クロストークを防止又は最小限に抑えるための装置及び方法を有利に提供する。幾つかの実施形態は、有効性のためにパージガス流路を最適化することによって総パージガス流量を最小限に抑えるための装置及び方法を有利に提供する。
ステーション間の前駆体の拡散は、リッドとトッププレートとの間隙距離が長くなると、及び、ある特定の前駆体(例えば、NH)で、増加する。1つ以上の実施形態は、前駆体の拡散を制限するための装置及び方法を有利に提供する。
図1及び2は、本開示の1つ以上の実施形態による処理チャンバ100を例示している。図1は、本開示の1つ以上の実施形態による断面等角図として例示された処理チャンバ100を示している。図2は、本開示の1つ以上の実施形態による処理チャンバ100の断面を示している。したがって、本開示の幾つかの実施形態は、支持アセンブリ200及びトッププレート300を組み込んだ処理チャンバ100を対象とする。
処理チャンバ100は、壁104及び底部106を備えた筐体102を有する。筐体102は、トッププレート300とともに、処理容積とも呼ばれる内部容積109を画成する。
処理チャンバ100は、複数の処理ステーション110を含む。処理ステーション110は、筐体102の内部容積109に位置しており、支持アセンブリ200の回転軸211の周りに円形配置で位置決めされている。処理ステーション110は、処理チャンバ100の内部容積109の周りに空間的に配置されている。各処理ステーション110は、前面114を有するガスインジェクタ112を備えている。幾つかの実施形態では、ガスインジェクタ112の各々の前面114は、実質的に同一平面上にある。幾つかの実施形態では、ガスインジェクタ112の前面114は非同一平面上にあり、前面114と支持面231との間に異なる間隙を形成する。処理ステーション110は、処理を行うことができる領域として定義される。例えば、処理ステーション110は、ヒータ230の支持面231及びガスインジェクタ112の前面114によって画成することができる。
処理ステーション110は、任意の適切な処理を実行し、任意の適切な処理条件を提供するように構成することができる。用いられるガスインジェクタ112のタイプは、例えば、実行する処理のタイプ及びシャワーヘッド又はガスインジェクタのタイプに応じて決まる。例えば、原子層堆積装置として動作するように構成された処理ステーション110は、シャワーヘッド又は渦流式ガスインジェクタを有しうる。一方、プラズマステーションとして動作するように構成された処理ステーション110は、プラズマガスがウエハに向かって流れることを可能にしつつ、プラズマを生成するための1つ以上の電極及び/又は接地プレート構成を有しうる。図2に例示される実施形態は、図面の右側(処理ステーション110b)とは異なるタイプの処理ステーション110を図面の左側(処理ステーション110a)に有する。適切な処理ステーション110には、熱処理ステーション、マイクロ波プラズマ、3電極CCP、ICP、平行板CCP、UV露光、レーザ処理、ポンピングチャンバ、アニーリングステーション、及び計測ステーションが含まれるが、これらに限定されない。
支持アセンブリ200は、回転可能な中心ベース210を含む。回転可能な中心ベース210は、対称又は非対称の形状を有することができ、回転軸211を画成する。回転軸211は、垂直方向と呼ばれうる又はz軸に沿った第1の方向に延びる;しかしながら、このような「垂直」という用語の使用は、重力の引力に対する方向に限定されないことが理解されよう。
幾つかの実施形態では、支持アセンブリ200は、中心ベース210に接続されてそこから延びる少なくとも2つの支持アーム220を含む。支持アーム220は、内端221及び外端222を有する。内端221は中心ベース210と接触しており、したがって、中心ベース210が回転軸211の周りを回転すると、支持アーム220も同様に回転する。支持アーム220は、留め具(例えば、ボルト)によって、又は中心ベース210と一体的に形成されることによって、内端221において中心ベース210に接続されうる。
支持アセンブリ200内の支持アーム220の数は、変化させることができる。幾つかの実施形態では、少なくとも2つ、3つ、4つ、5つ、6つ、7つ、又は8つの支持アーム220が存在する。幾つかの実施形態では、3つの支持アーム220が存在する。幾つかの実施形態では、4つの支持アーム220が存在する。幾つかの実施形態では、処理ステーション110と同じ数の支持アーム220が存在する。
支持アーム220は、中心ベース210の周りに対称に配置することができる。例えば、4つの支持アーム220を備えた支持アセンブリ200では、支持アーム220の各々は、中心ベース210の周りに90°の間隔で位置決めされる。3つの支持アーム220を備えた支持アセンブリ200では、支持アーム220は、中心ベース210の周りに120°の間隔で位置決めされる。別の言い方をすれば、4つの支持アーム220を有する実施形態では、支持アームは、回転軸211の周りに4回対称をもたらすように配置される。幾つかの実施形態では、支持アセンブリ200は、n個の支持アーム220を有しており、このn個の支持アーム220は、回転軸211の周りにn回対称をもたらすように配置される。
ヒータ230は、支持アーム220の外端222に位置決めされる。幾つかの実施形態では、各支持アーム220はヒータ230を有する。ヒータ230の中心は、中心ベース210の回転時にヒータ230が円形経路で移動するように、回転軸211から距離を置いて配置される。
ヒータ230は、ウエハを支持することができる支持面231を有する。幾つかの実施形態では、ヒータ230の支持面231は、実質的に同一平面上にある。この態様で用いられる場合、「実質的に同一平面」とは、個々の支持面231によって形成される平面が、他の支持面231によって形成される平面の±5°、±4°、±3°、±2°又は±1°以内にあることを意味する。
幾つかの実施形態では、ヒータ230は、支持アーム220の外端222に直接位置決めされる。幾つかの実施形態では、図面に示されるように、ヒータ230は、ヒータスタンドオフ234によって、支持アーム220の外端222より上に持ち上げられる。ヒータスタンドオフ234は、ヒータ230の高さを増加させるように任意のサイズ及び長さにすることができる。
幾つかの実施形態では、チャネル236は、中心ベース210、支持アーム220、及び/又はヒータスタンドオフ234のうちの1つ以上に形成される。チャネル236は、電気接続をルート設定するため、又はガス流を供給するために使用することができる。
ヒータは、当業者に知られている任意の適切なタイプのヒータでありうる。幾つかの実施形態では、ヒータは、ヒータ本体内に1つ以上の加熱素子を備えた抵抗ヒータである。幾つかの実施形態のヒータ230は、追加の構成要素を含む。例えば、ヒータは静電チャックを備えていてもよい。静電チャックは、ヒータを移動させている間、ヒータ支持面231上に位置決めされたウエハを所定の位置に保持することができるように、さまざまなワイヤ及び電極を含みうる。これにより、処理の開始時にウエハをヒータにチャックし、異なる処理領域に移動する間、同じヒータの同じ位置に留めることができる。幾つかの実施形態では、ワイヤ及び電極は、支持アーム220内のチャネル236を通してルート設定される。
幾つかの実施形態では、支持プレート245は支柱227によって支持される。支柱227は、単一の構成要素プラットフォームを使用するときに、支持プレート245の中心のたるみを防止するのに有用でありうる。幾つかの実施形態では、支柱227は、支持プレート245の主要な支持体である。
図3~9を参照すると、本開示の幾つかの実施形態は、マルチステーション処理チャンバのためのトッププレート300を対象とする。トッププレート300は、リッドの厚さを画成する上面301及び底面302、並びに1つ以上のエッジ303を有するリッド本体307を備えている。トッププレート300は、その厚さを通って延びる少なくとも1つの開口部310を含む。開口部310は、処理ステーション110を形成することができるガスインジェクタ112(図1参照)の追加を可能にするようにサイズ調整される。幾つかの実施形態では、2つから6つの範囲のステーション開口部310が存在する。幾つかの実施形態では、ステーション開口部310の各々は、ほぼ同じ直径を有している。この態様で用いられる場合、「ほぼ同じ直径」という用語は、各直径が平均直径に対して±1%又は0.5%以内であることを意味する。幾つかの実施形態では、少なくとも2つの異なる直径の開口部310が存在する。
トッププレート300の開口部310は、均一にサイズ調整されるか、又は異なるサイズを有することができる。幾つかの実施形態では、ステーション分離パージチャネル329は、各開口部310を取り囲む。ステーション分離パージチャネル329は、任意の適切な形状又はサイズでありうる。幾つかの実施形態では、ステーション分離パージチャネル329は、内部に密閉された空間を形成するためのインサートを備えたトッププレート300に形成された溝である。例示される実施形態では、ステーション分離パージチャネル329は、処理チャンバからの処理ガスの漏れを防ぐためにパージガスの正の流れを供給するために、パージガス源(図示せず)と流体連結するように位置決めされたポンプ/パージインサート330を含む。ステーション分離パージチャネル329の特徴への言及は、ポンプ/パージインサート330が存在する実施形態を含む。例えば、ステーション分離パージチャネル329が入り口339を備えている場合、当業者は、入り口339が、ポンプ/パージインサート330内に位置することができ、これが次に、ステーション分離パージチャネル329内に配置されることを認識するであろう。
幾つかの実施形態では、ステーション分離パージチャネル329は、図3及び4に示されるように、ステーション開口部310の周りに複数の円形部分341を備えている。幾つかの実施形態では、ステーション分離パージチャネル329の複数の円形部分341の各々は、1つ以上のコネクタセグメント342を介して、隣接した円形部分341に接続される。図3及び4の実施形態に示されるように、円形部分341の各々は、2つのコネクタセグメント342を介して、2つの隣接した円形部分341に接続される。2つのコネクタセグメント342は、入り口339が位置しているハブ343において連結する。
幾つかの実施形態では、ステーション分離パージチャネル329の円形部分341の直径は、ステーション開口部310の直径とは無関係である。円形部分341の直径は、開口部310の外周壁313に対するチャネルの最も内側の側壁335との間で測定される。別の言い方をすれば、円形部分341の直径は、特定の円形部分341が取り囲む開口部310に最も近い壁の間で測定される。幾つかの実施形態では、円形部分341の各々はほぼ同じ直径を有する。幾つかの実施形態では、円形部分341の各々は、ステーション開口部310の直径に依存する直径を有する。例えば、円形部分の各々の直径は、開口部310の各々の直径より、約0.5mmから約50mmの範囲、又は約1mmから約25mmの範囲の量D(図6を参照)だけ大きい。
図4は、本開示の1つ以上の実施形態によるトッププレート300の断面図を示している。図5は、ポンプ/パージインサート330の一部を示す領域5の拡大図を示している。図6は、ポンプ/パージインサート330がステーション分離パージチャネル329から分離された、線6-6’に沿った図4のトッププレート300の一部の断面図を示している。例示される実施形態では、ポンプ/パージインサート330は、該ポンプ/パージインサート330の底部333に少なくとも1つの開口部338を備えたガスプレナム336を含む。ガスプレナム336は、ポンプ/パージインサート330上部331又は側壁335の近くに入り口339を有する。
幾つかの実施形態では、プレナム336には、ポンプ/パージインサート330の底部333の開口部338を通過することができるパージガス又は不活性ガスを、入り口339を介して充填することができる。開口部338を通るガスの流れは、処理チャンバの内部からの処理ガスの漏れを防ぐためのガスカーテン型のバリアを生成するのに役立ちうる。
幾つかの実施形態では、プレナム336は、真空源に接続されているか、又は真空源と流体連結している。このような実施形態では、ガスは、ポンプ/パージインサート330の底部333の開口部338を通ってプレナム336へと流れる。ガスは、プレナムから排出させることによって排気することができる。このような配置は、使用中に処理ステーション110からガスを排出するために使用することができる。幾つかの実施形態では、図4に示されるように、ポンプ/パージインサート330は、少なくとも1つの入り口339及び少なくとも1つの出口339aを有する。幾つかの実施形態では、パージガスは、入り口339を通ってプレナム336へと流入し、出口339aに接続された真空源に切り替えられて、プレナム336からガスを除去することができる。幾つかの実施形態では、各開口部は入り口として用いられる。幾つかの実施形態では、各開口部は出口として用いられる。幾つかの実施形態では、各開口部は、切り替え可能なガス源及び真空源に接続されて、ガス源又は真空源をプレナム336に接続可能にする。幾つかの実施形態では、ステーション分離パージチャネルには、ステーション開口部310が存在するのと同じ数の入口339が存在する。幾つかの実施形態では、ステーション分離パージチャネルの各入り口339は、ステーション分離パージチャネルの2つの隣接した円形部分に接続する。
図4~5及び7~9に示されるように、トッププレート300の幾つかの実施形態は、リッド本体307の中心部305からリッド本体307の外周エッジ部分309まで延びる複数の角度付きパージチャネル380を含む。角度付きパージチャネル380は、隣接したステーション開口部310間に延びる。図4は、2つの角度付きパージチャネル380に沿ってリッド本体307の中心部305を通して切り取られたトッププレート300の実施形態の断面等角図を示している。図5は、リッド本体307の外周エッジ部分309の近くの角度付きパージチャネル380の一部を示す図4の領域5の拡大図を示している。図7は、さまざまなガス通路を示すトッププレート300の実施形態の概略図を示している。当業者は、トッププレート300の上面図では、図示されたガス通路のすべては視認できないこと、及び図がワイヤフレーム図で通路を示していることを認識するであろう。図8は、部分等角図のない、図4の断面を示している。図9は、図8の領域9の拡大部分を示している。
角度付きパージチャネル380の各々は、複数の間隔を空けた開孔382を備えている。間隔を空けた開孔は、角度付きパージチャネル380からリッド本体307の底面302まで延びる。間隔を空けた開孔382の形状は円筒形として示されているが、当業者は、他の形状が本開示の範囲内にあることを認識するであろう。例えば、幾つかの実施形態の開孔は、底面302に接続された下部よりも広い直径を有する角度付きパージチャネル380に接続された上部を有する。より広い直径からより狭い直径への移行は、線形、勾配、又は段階的でありうる。
幾つかの実施形態では、角度付きパージチャネル380の各々は、別々の入口に接続される。幾つかの実施形態では、角度付きパージチャネル380はすべて、リッド本体307の中心部305に位置した単一の入り口384に接続される。幾つかの実施形態では、入り口384は、リッド本体307の上面301に隣接して位置する。幾つかの実施形態では、入り口384は、リッド本体307の上面301に隣接した球形部分を有する。傾斜部分386は、リッド本体307の上面301に隣接した球形部分から底面302に隣接した角度付きパージチャネル380へと角度が付いている。傾斜部分386は、球形部分と角度付きパージチャネル380との間の流体連結を提供する。
図2及び3に戻ると、トッププレート300の幾つかの実施形態は、トッププレート300の中心部305を通過するリッド支持ビーム360を含む。リッド支持ビーム360は、コネクタ367を使用して、中心の近くのトッププレート300に接続されうる。コネクタ367を使用して、トッププレート300に直交する力を加えて、圧力差の結果として、又はトッププレート300の重量に起因する、トッププレート300の湾曲を補償することができる。幾つかの実施形態では、リッド支持ビーム360及びコネクタ367は、約1.5mの幅及び約100mm以下の厚さを有するトッププレートの中心において、約5mm、4mm、3mm、2mm、又は1.5mm以下のたわみを補償することができる。幾つかの実施形態では、リッド支持ビームは、最大約5mmのたわみを補償するためにリッド本体の変形補償を提供するように構成される。
幾つかの実施形態は、リッド支持ビーム360に接続されたモータ/アクチュエータ365を含む。モータ365又はアクチュエータは、任意の適切な接続タイプによってコネクタ367に接続されて、トッププレート300に加えられる方向の力に変化を生じさせることができる。モータ365又はアクチュエータは、リッド支持ビーム360上で支持されうる。図示されたリッド支持ビーム360は、2つの位置でトッププレート300のエッジと接触している。しかしながら、当業者は、1つの接続位置又は3つ以上の接続位置が存在しうることを認識するであろう。幾つかの実施形態では、リッド支持ビームは、リッドの中心部に上面又は底面に向けられた力を提供するように構成されたコントローラ390と通信しているモータ/アクチュエータを備えている。上面又は底面に向けられた力とは、リッド本体307に対するコネクタ367の接続応力点を指す。
図2に戻ると、幾つかの実施形態の支持アセンブリ200は、少なくとも1つのモータ250を含む。少なくとも1つのモータ250は、中心ベース210に接続され、回転軸211の周りで支持アセンブリ200を回転させるように構成される。幾つかの実施形態では、少なくとも1つのモータは、中心ベース210を回転軸211に沿った方向に移動させるように構成される。例えば、図2では、モータ255はモータ250に接続されており、支持アセンブリ200を回転軸211に沿って移動させることができる。別の言い方をすれば、図示されたモータ255は、支持アセンブリ200を、z軸に沿って、モータ250によって引き起こされる動きに対して垂直に又は直交して移動させることができる。幾つかの実施形態では、図示されるように、支持アセンブリ200を回転軸211の周りで回転させる第1のモータ250と、支持アセンブリ200を回転軸211に沿って(すなわち、z軸に沿って又は垂直に)移動させる第2のモータ255とが存在する。
図3及び7に示されるように、トッププレート300の幾つかの実施形態は、1つ以上のセンサ388を備えている。幾つかの実施形態の1つ以上のセンサ388は、トッププレート300の開口部389に位置している。センサ388は、トッププレート300及び処理チャンバ100とともに使用することができる、当業者に知られている任意の適切なセンサでありうる。幾つかの実施形態では、センサ388は、温度センサ(例えば、高温計)、距離センサ、レベルファインダ(level finder:水平検出器)、又は計測センサ(例えば、近赤外線)のうちの1つ以上を含む。
図3及び6を参照すると、幾つかの実施形態はヒータ347を含む。幾つかの実施形態では、ヒータ347は、トッププレート300の外周の周りに延びるヒータケーブルを備えている。ヒータ347は、本体307内の任意の距離に位置しうる。例えば、ヒータ347は、本体307の厚さ内又は上面301に位置しうる。
図3に示されるように、幾つかの実施形態は、少なくとも1つのコントローラ390を含む。コントローラ390は、モータ/アクチュエータ365、ヒータ347、パージガス源(図示せず)、真空源(図示せず)、又はセンサ388のうちの1つ以上に結合される。コントローラ950は、さまざまな構成要素を制御するための産業環境で使用することができる、任意の形態の汎用コンピュータプロセッサ、マイクロコントローラ、マイクロプロセッサなどのうちの1つでありうる。
少なくとも1つのコントローラ390は、プロセッサ392、該プロセッサ392に結合されたメモリ394、プロセッサ392に結合された入力/出力デバイス396、及び異なる電子構成要素間の通信のための支援回路398を有することができる。メモリ394は、一時メモリ(例えば、ランダムアクセスメモリ)及び非一時メモリ(例えば、ストレージ)のうちの1つ以上を含みうる。
プロセッサのメモリ394又はコンピュータ可読媒体は、ランダムアクセスメモリ(RAM)、読取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、又は任意の他の形態のローカル又は遠隔のデジタルストレージなど、容易に入手可能なメモリの1つ以上でありうる。メモリ394は、処理チャンバ100のパラメータ及び構成要素を制御するためにプロセッサ392によって動作可能な命令セットを保持することができる。支援回路398は、従来の方法でプロセッサを支持するためにプロセッサ392に結合される。回路は、例えば、キャッシュ、電源、クロック回路、入力/出力回路、サブシステムなどを含みうる。
プロセスは、概して、プロセッサによって実行されると、処理チャンバに本開示の処理を実行させるソフトウェアルーチンとしてメモリに格納されうる。ソフトウェアルーチンはまた、プロセッサによって制御されているハードウェアから遠隔に位置している第2のプロセッサ(図示せず)によって格納及び/又は実行されうる。本開示の方法の幾つか又はすべてをハードウェアで実行することもできる。したがって、プロセスは、ソフトウェアに実装されてもよく、かつ、例えば、ハードウェア内のコンピュータシステムを特定用途向け集積回路又は他の種類のハードウェアの実装、若しくはソフトウェアとハードウェアとの組合せとして使用して、プロセスを実行することもできる。ソフトウェアルーチンは、プロセッサによって実行されると、汎用コンピュータを、プロセスが実行されるようにチャンバの動作を制御する特定用途向けコンピュータ(コントローラ)に変換する。
幾つかの実施形態では、コントローラ390は、個々のプロセス又はサブプロセスを実行するための1つ以上の構成を有する。コントローラ390は、方法の機能を実行するために中間構成要素を動作させるように接続及び構成することができる。例えば、コントローラ390は、ガスバルブ、アクチュエータ、モータ、スリットバルブ、真空制御などのうちの1つ以上に接続されて、これらを制御するように構成されうる。
幾つかの実施形態のコントローラ390は、次から選択される1つ以上の構成を有する:ヒータに電力を供給する構成;温度センサから温度を読み取る構成;温度センサから読み取った温度に基づいてヒータに電力を供給する構成;モータ/アクチュエータを動作させてチャンバリッドを水平にする構成;ステーション分離パージチャネルにガスの流れを供給する構成、又は複数の角度付きパージチャネルにガスの流れを供給する構成。
本明細書で論じられる材料及び方法を説明する文脈(とりわけ、以下の請求項の文脈)での「a」及び「an」及び「the」という用語、並びに同様の指示対象の使用は、本明細書に別段の記載がない限り、又は文脈に明確に矛盾しない限り、単数形及び複数形の両方に及ぶと解釈されるべきである。本明細書の値の範囲の列挙は、本明細書に別段の記載がない限り、範囲内に入る各個別の値を個別に参照する簡単な方法として役立つことを単に意図しており、各個別の値は、本明細書に個別に記載されているかのように本明細書に組み込まれる。本明細書に記載されるすべての方法は、本明細書に別段の指示がない限り、又は文脈によって明らかに矛盾しない限り、任意の適切な順序で実施することができる。本明細書で提供される任意及びすべての例、又は例示的な言語(例えば、「など」)の使用は、単に材料及び方法をより明らかにすることを意図しており、特に明記しない限り、特許請求の範囲に制限を課すことはない。明細書のいかなる文言も、特許請求されていない要素が開示された材料及び方法の実施に不可欠であることを示していると解釈されるべきではない。
この明細書全体を通じての、「一実施形態(one embodiment)」、「ある特定の実施形態(certain embodiments)」、「1つ以上の実施形態(one or more embodiments)」、又は、「実施形態(an embodiment)」に対する言及は、実施形態に関連して説明されている特定の特徴、構造、材料、又は特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書全体のさまざまな箇所での「1つ以上の実施形態」、「ある特定の実施形態」、「一実施形態」、又は「ある実施形態」などの文言の表出は、必ずしも本開示の同一の実施形態を指すものではない。さらには、特定の特徴、構造、材料、又は特性は、1つ以上の実施形態において、任意の適切な方法で組み合わせることができる。
本明細書の開示は具体的な実施形態を参照して説明されているが、これらの実施形態は、本開示の原理及び用途の単なる例示であるものと理解されたい。本開示の主旨及び範囲から逸脱することなく、本開示の方法及び装置に対してさまざまな修正及び変形を行うことができることは、当業者にとって明らかであろう。したがって、本開示は、添付の特許請求の範囲及びその等価物の範囲内である修正及び変形を含むことが意図されている。

Claims (15)

  1. 処理チャンバリッドであって、
    上面及び底面を有するリッド本体、
    各開口部が外周エッジを有している、前記上面から前記リッド本体を通って前記底面まで延びる複数のステーション開口部、
    前記複数のステーション開口部の各々の前記外周エッジの周りに延びるステーション分離パージチャネルであって、前記ステーション分離パージチャネルから前記リッド本体の前記底面まで延びる複数の開孔を有しており、前記複数の開孔が前記複数のステーション開口部の周りに間隔を空けて配置されている、ステーション分離パージチャネル、及び
    隣接したステーション開口部間に前記リッド本体の中心部から前記リッド本体の外周エッジ部分まで延びる複数の角度付きパージチャネルであって、前記角度付きパージチャネルの各々が前記角度付きパージチャネルから前記リッド本体の前記底面まで延びる複数の間隔を空けた開孔を備えている、複数の角度付きパージチャネル
    を備えている、処理チャンバリッド。
  2. 2つから6つの範囲のステーション開口部が存在する、請求項1に記載の処理チャンバリッド。
  3. 前記ステーション開口部の各々が同じ直径を有する、請求項2に記載の処理チャンバリッド。
  4. 前記ステーション開口部が少なくとも2つの異なる直径を有する、請求項2に記載の処理チャンバリッド。
  5. 前記ステーション分離パージチャネルが前記ステーション開口部の周りに複数の円形部分を含む、請求項4に記載の処理チャンバリッド。
  6. 前記ステーション分離パージチャネルが複数の入り口を備えている、請求項1に記載の処理チャンバリッド。
  7. 前記ステーション分離パージチャネルにステーション開口部と同数の入り口が存在する、請求項6に記載の処理チャンバリッド。
  8. 前記複数の角度付きパージチャネルが、前記リッド本体の中心部に位置する単一の入り口に接続している、請求項1に記載の処理チャンバリッド。
  9. 前記リッド本体の幅にわたって延びるリッド支持ビームをさらに備えており、前記リッド支持ビームが前記リッド本体の中心部に接続されている、請求項1に記載の処理チャンバリッド。
  10. 前記リッド支持ビームが、最大約5mmのたわみを補償するためにリッド本体の変形補償を提供するように構成されている、請求項9に記載の処理チャンバリッド。
  11. 前記リッド支持ビームが、コントローラと通信しているモータ/アクチュエータをさらに備えており、前記コントローラが、上面又は底面に向けられた力を前記リッド本体の中心部に提供するように構成されている、請求項10に記載の処理チャンバリッド。
  12. 高温計又はレベルファインダのうちの1つ以上を備えた、1つ以上のセンサをさらに含む、請求項1に記載の処理チャンバリッド。
  13. 処理方法であって、
    複数のステーション開口部の外周エッジの周りに延びるステーション分離パージチャネルを通じてパージガスを流すことであって、前記ステーション分離パージチャネルが、処理ステーションの周りの処理チャンバの内部容積へと前記ステーション分離パージチャネルからリッド本体の底面まで延びる複数の開孔を有している、パージガスを流すこと、及び
    隣接したステーション開口部間に前記リッド本体の中心部から前記リッド本体の外周エッジ部分まで延びる複数の角度付きパージチャネルを通じてパージガスを流すことであって、前記角度付きパージチャネルの各々が、前記角度付きパージチャネルから前記リッド本体の前記底面まで延び、前記パージガスを前記処理ステーション間の前記処理チャンバの前記内部容積に流す、複数の間隔を空けた開孔を含む、パージガスを流すこと
    を含む、処理方法。
  14. 前記リッド本体の温度を制御すること、及び最大約5mmのたわみの変形補償を提供するように構成されたリッド支持ビームを使用して前記リッド本体のたわみを補償することをさらに含む、請求項13に記載の処理方法。
  15. 非一時的コンピュータ可読媒体であって、処理チャンバのコントローラによって実行されると、前記処理チャンバに次の動作:
    複数のステーション開口部の外周エッジの周りに延びるステーション分離パージチャネルを通じてパージガスを流すことであって、前記ステーション分離パージチャネルが、処理ステーションの周りの処理チャンバの内部容積へと前記ステーション分離パージチャネルからリッド本体の底面まで延びる複数の開孔を有している、パージガスを流すこと、及び
    隣接したステーション開口部間に前記リッド本体の中心部から前記リッド本体の外周エッジ部分まで延びる複数の角度付きパージチャネルを通じてパージガスを流すことであって、前記角度付きパージチャネルの各々が、前記角度付きパージチャネルから前記リッド本体の前記底面まで延び、前記パージガスを前記処理ステーション間の前記処理チャンバの前記内部容積に流す、複数の間隔を空けた開孔を含む、パージガスを流すこと
    を実行させる命令を含む、非一時的コンピュータ可読媒体。
JP2022123068A 2018-09-29 2022-08-02 正確な温度及び流量制御を備えたマルチステーションチャンバリッド Active JP7441900B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862739194P 2018-09-29 2018-09-29
US62/739,194 2018-09-29
PCT/US2019/053430 WO2020069302A1 (en) 2018-09-29 2019-09-27 Multi-station chamber lid with precise temperature and flow control
JP2021516578A JP7121447B2 (ja) 2018-09-29 2019-09-27 正確な温度及び流量制御を備えたマルチステーションチャンバリッド

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2021516578A Division JP7121447B2 (ja) 2018-09-29 2019-09-27 正確な温度及び流量制御を備えたマルチステーションチャンバリッド

Publications (2)

Publication Number Publication Date
JP2022176935A true JP2022176935A (ja) 2022-11-30
JP7441900B2 JP7441900B2 (ja) 2024-03-01

Family

ID=69947234

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2021516578A Active JP7121447B2 (ja) 2018-09-29 2019-09-27 正確な温度及び流量制御を備えたマルチステーションチャンバリッド
JP2022123068A Active JP7441900B2 (ja) 2018-09-29 2022-08-02 正確な温度及び流量制御を備えたマルチステーションチャンバリッド

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2021516578A Active JP7121447B2 (ja) 2018-09-29 2019-09-27 正確な温度及び流量制御を備えたマルチステーションチャンバリッド

Country Status (6)

Country Link
US (1) US11098404B2 (ja)
JP (2) JP7121447B2 (ja)
KR (2) KR20230038614A (ja)
CN (1) CN112714949A (ja)
TW (2) TWI812475B (ja)
WO (1) WO2020069302A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220020615A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Multiple process semiconductor processing system
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
US11823939B2 (en) * 2021-09-21 2023-11-21 Applied Materials, Inc. Apparatus and methods for processing chamber lid concentricity alignment

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013161768A1 (ja) * 2012-04-23 2013-10-31 東京エレクトロン株式会社 成膜方法、成膜装置、及び、成膜システム
JP2016539506A (ja) * 2013-11-26 2016-12-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated バッチ処理用傾斜プレート及びその使用方法
JP2019511118A (ja) * 2016-03-13 2019-04-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated スペーサ用の窒化ケイ素膜の選択的堆積

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IL82673A0 (en) 1986-06-23 1987-11-30 Minnesota Mining & Mfg Multi-chamber depositions system
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US6613143B1 (en) 2001-07-06 2003-09-02 Technologies And Devices International, Inc. Method for fabricating bulk GaN single crystals
WO2004030067A1 (ja) 2002-09-27 2004-04-08 Sumitomo Precision Products Co., Ltd. オゾン処理装置
DE10319379A1 (de) * 2003-04-30 2004-11-25 Applied Films Gmbh & Co. Kg Vorrichtung zum Transportieren eines flachen Substrats in einer Vakuumkammer
US20070218701A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
KR20080027009A (ko) 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
US8033769B2 (en) * 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
JP2010126797A (ja) * 2008-11-28 2010-06-10 Tokyo Electron Ltd 成膜装置、半導体製造装置、これらに用いられるサセプタ、プログラム、およびコンピュータ可読記憶媒体
US8033771B1 (en) * 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
KR101021372B1 (ko) * 2008-12-29 2011-03-14 주식회사 케이씨텍 원자층 증착장치
JP2013133521A (ja) * 2011-12-27 2013-07-08 Tokyo Electron Ltd 成膜方法
JP5823922B2 (ja) * 2012-06-14 2015-11-25 東京エレクトロン株式会社 成膜方法
KR101426432B1 (ko) * 2012-09-20 2014-08-06 국제엘렉트릭코리아 주식회사 기판 처리 장치 및 방법
US9500610B2 (en) 2012-11-06 2016-11-22 The United States of America, as represented by the Secretary of Commerce, The National Institute of Standards and Technology Systems and methods for controlling temperature of small volumes
US9353439B2 (en) * 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
KR102035238B1 (ko) * 2014-02-20 2019-10-22 주식회사 원익아이피에스 기판 처리 장치
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
CN104046960B (zh) * 2014-06-24 2016-08-17 北京七星华创电子股份有限公司 一种应用于薄膜沉积技术的气体分配器
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
WO2016075189A1 (de) * 2014-11-14 2016-05-19 Von Ardenne Gmbh Kammerdeckel zum abdichten einer kammeröffnung in einer gasseparationskammer und gasseparationskammer
JP6479560B2 (ja) * 2015-05-01 2019-03-06 東京エレクトロン株式会社 成膜装置
US9428833B1 (en) * 2015-05-29 2016-08-30 Lam Research Corporation Method and apparatus for backside deposition reduction by control of wafer support to achieve edge seal
KR20170022459A (ko) * 2015-08-20 2017-03-02 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
KR101680635B1 (ko) * 2015-08-26 2016-11-29 국제엘렉트릭코리아 주식회사 기판 처리 장치
US9873943B2 (en) * 2015-12-15 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for spatial atomic layer deposition
US20170194174A1 (en) * 2015-12-30 2017-07-06 Applied Materials, Inc. Quad chamber and platform having multiple quad chambers
US20170247794A1 (en) * 2016-02-25 2017-08-31 Sandisk Technologies Llc Single chamber multi-partition deposition tool and method of operating same
US10090174B2 (en) * 2016-03-01 2018-10-02 Lam Research Corporation Apparatus for purging semiconductor process chamber slit valve opening
US10741428B2 (en) * 2016-04-11 2020-08-11 Applied Materials, Inc. Semiconductor processing chamber

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013161768A1 (ja) * 2012-04-23 2013-10-31 東京エレクトロン株式会社 成膜方法、成膜装置、及び、成膜システム
JP2016539506A (ja) * 2013-11-26 2016-12-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated バッチ処理用傾斜プレート及びその使用方法
JP2019511118A (ja) * 2016-03-13 2019-04-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated スペーサ用の窒化ケイ素膜の選択的堆積

Also Published As

Publication number Publication date
TW202028521A (zh) 2020-08-01
TWI812475B (zh) 2023-08-11
KR20210054018A (ko) 2021-05-12
WO2020069302A1 (en) 2020-04-02
JP7121447B2 (ja) 2022-08-18
US11098404B2 (en) 2021-08-24
TWI781346B (zh) 2022-10-21
KR102510487B1 (ko) 2023-03-16
CN112714949A (zh) 2021-04-27
JP2022501826A (ja) 2022-01-06
TW202307261A (zh) 2023-02-16
JP7441900B2 (ja) 2024-03-01
KR20230038614A (ko) 2023-03-20
US20200102651A1 (en) 2020-04-02

Similar Documents

Publication Publication Date Title
JP7441900B2 (ja) 正確な温度及び流量制御を備えたマルチステーションチャンバリッド
KR102383687B1 (ko) 공간 분리를 갖는 단일 웨이퍼 프로세싱 환경들
CN107365976B (zh) 用于注射器至基板的空隙控制的装置及方法
US20200090978A1 (en) Methods Of Operating A Spatial Deposition Tool
JP7418555B2 (ja) ペデスタルヒータを洗浄するためのインシトゥdcプラズマ
JP2023538746A (ja) バッチ処理チャンバのための処理間隙制御を有するヒータアセンブリ
US20210087681A1 (en) Dithering Or Dynamic Offsets For Improved Uniformity
US20200066572A1 (en) Methods Of Operating A Spatial Deposition Tool
KR20180129970A (ko) 공간적 ald 프로세스 챔버에서 배면 증착을 방지하기 위한 장치
KR102630443B1 (ko) 개선된 온도 균일성을 갖는 공간적 웨이퍼 처리
CN113166938A (zh) 操作空间沉积工具的方法
TWI838222B (zh) 具有空間分離的單個晶圓處理環境

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220927

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220927

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230829

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231128

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240123

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240219

R150 Certificate of patent or registration of utility model

Ref document number: 7441900

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150