TW202307261A - 具有精確溫度和流量控制的多站腔室蓋 - Google Patents

具有精確溫度和流量控制的多站腔室蓋 Download PDF

Info

Publication number
TW202307261A
TW202307261A TW111135490A TW111135490A TW202307261A TW 202307261 A TW202307261 A TW 202307261A TW 111135490 A TW111135490 A TW 111135490A TW 111135490 A TW111135490 A TW 111135490A TW 202307261 A TW202307261 A TW 202307261A
Authority
TW
Taiwan
Prior art keywords
purge
station
processing chamber
lid
angled
Prior art date
Application number
TW111135490A
Other languages
English (en)
Other versions
TWI812475B (zh
Inventor
迪力提曼蘇哈 卡許葉
苟普 克里許納
桑傑夫 巴魯札
麥可 萊斯
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202307261A publication Critical patent/TW202307261A/zh
Application granted granted Critical
Publication of TWI812475B publication Critical patent/TWI812475B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

描述了包括複數個站開口的多站處理腔室蓋。站分離吹掃通道圍繞站開口。複數個成角度的吹掃通道將站開口與相鄰的站開口分離。蓋支撐梁可以補償腔室蓋主體的變形。

Description

具有精確溫度和流量控制的多站腔室蓋
本揭示的實施例涉及處理腔室蓋。特別是,本揭示的實施例涉及用於半導體基板晶圓的多站處理的腔室蓋。
用於半導體製造的膜沉積方法是高度取決於溫度。整個基板表面的溫度變化會導致不均勻的沉積,並最終導致裝置故障及/或降低產量。因此,需要在處理期間對整個基板表面進行均勻的溫度控制。從一個處理環境傳送到另一個處理環境的晶圓亦存在此種需求。
另外,某些處理在處理期間會從基板表面脫氣物質。如果允許該等物質與基板表面反應,則該等物質可能劣化基板表面的品質。因此,需要能夠在物質能夠與基板表面反應之前快速且有效從基板表面移除經脫氣物質的吹掃系統。
處理腔室設計的最新進展包括在單一處理腔室內的多個晶圓處理環境。在此類型的處理環境中,晶圓在腔室內的相鄰的處理站之間移動。每個處理站經配置以進行晶圓處理的一些態樣。需要對晶圓進行0.5℃的嚴密的溫度控制,以實現均勻且可重複的處理。在站之間進行傳送期間,晶圓在蓋下方移動最多花費總循環時間的50%。在處理站之間移動期間,晶圓溫度可能改變,需要額外的時間進行溫度平衡,因此影響處理均勻性或產量。因此,在本領域中需要在單一處理腔室內能夠隔離多個處理環境的溫度控制和吹掃系統。
一個或多個實施例涉及一種處理腔室蓋,該處理腔室蓋包括具有頂表面和底表面的蓋主體。複數個站開口從頂表面通過蓋主體延伸到底表面。每個開口具有外周邊緣。站分離吹掃通道圍繞複數個站開口中的每一者的外周邊緣延伸。站分離吹掃通道具有從站分離吹掃通道延伸到蓋主體的底表面的複數個孔。複數個孔圍繞複數個站開口間隔開。複數個成角度的吹掃通道從蓋主體的中心部分延伸到相鄰的站開口之間的蓋主體的外周邊緣部分。成角度的吹掃通道中的每一者包括從成角度的吹掃通道延伸到蓋主體的底表面的複數個間隔開的孔。
本揭示的額外實施例涉及一種處理方法。使吹掃氣體流過站分離吹掃通道,站分離吹掃通道圍繞複數個站開口的外周邊緣延伸。站分離吹掃通道具有複數個孔,複數個孔從站分離吹掃通道延伸到蓋主體的底表面,以允許吹掃氣體流進入圍繞處理站的處理腔室的內部空間。使吹掃氣體流過複數個成角度的吹掃通道,複數個成角度的吹掃通道從蓋主體的中心部分延伸到相鄰的站開口之間的蓋主體的外周邊緣部分。成角度的吹掃通道中的每一者包括從成角度的吹掃通道延伸到蓋主體的底表面的複數個間隔開的孔,以使吹掃氣體流入在處理站之間的處理腔室的內部空間。
本揭示的進一步實施例涉及一種包括指令的非暫態電腦可讀取媒體,指令在由處理腔室的控制器執行時使處理腔室進行以下操作:使吹掃氣體流過站分離吹掃通道,站分離吹掃通道圍繞複數個站開口的外周邊緣延伸,站分離吹掃通道具有複數個孔,複數個孔從站分離吹掃通道延伸到蓋主體的底表面並進入圍繞處理站的處理腔室的內部空間;及使吹掃氣體流過複數個成角度的吹掃通道,複數個成角度的吹掃通道從蓋主體的中心部分延伸到相鄰的站開口之間的蓋主體的外周邊緣部分,成角度的吹掃通道中的每一者包括從成角度的吹掃通道延伸到蓋主體的底表面的複數個間隔開的孔,以使吹掃氣體流入在處理站之間的處理腔室的內部空間。
在描述本揭示的幾個示例性實施例之前,應理解的是,本揭示不限於在以下描述中闡述的構造或處理步驟的細節。本揭示能夠具有其他實施例並且能夠以各種方法來實施或執行。
如本文所用的「基板」是指任何基板或任何在製造處理期間在其上進行膜處理的基板上形成的材料表面。例如,取決於應用,可在其上執行處理的基板表面包括諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜的氧化矽、非晶矽、摻雜的矽、鍺、砷化鎵、玻璃、藍寶石的材料,及諸如金屬、金屬氮化物、金屬合金及其他導電材料的任何其他材料。基板包括但不限於半導體晶圓。可將基板暴露於預處理製程,以拋光、蝕刻、還原、氧化、羥基化、退火及/或烘烤基板表面。除了直接在基板本身的表面上進行膜處理外,在本揭示中,還可在形成於基板上的底層上進行所揭示的任何膜處理步驟(如下文更詳細地揭示),並且術語「基板表面」意欲包括這樣的底層(如上下文指示)。因此,例如,在膜/層或部分膜/層已經沉積在基板表面上的情況下,新沉積的膜/層的暴露表面成為基板表面。
如用於本說明書和所附的申請專利範圍,術語「前體」、「反應物」、「反應氣體」等可以互換使用,是指可與基板表面反應的任何氣態物質。
本揭示的一些實施例涉及一種受熱控制以最小化在腔室蓋下方處理的晶圓的溫度漂移的腔室蓋。腔室蓋包括複數個處理區域和圍繞腔室蓋的周邊的加熱元件,加熱元件圍繞處理區域。加熱元件能夠維持大約150℃+/-10℃的蓋溫度以及<0.5℃的經處理晶圓的溫度均勻性。在一些實施例中,加熱元件經配置以將蓋溫度維持在大約75℃至大約175℃的範圍內。
本揭示的一個或多個實施例涉及一種具有遞迴路徑的腔室蓋,遞迴路徑用於圍繞處理站進行均勻的吹掃分配,以有效分離處理站。在一些實施例中,腔室蓋藉由在晶圓傳送期間提供成角度的吹掃以攜帶經脫氣顆粒,來最小化膜劣化。在一些實施例中,將腔室蓋加熱到預定設定點,以最小化或防止在晶圓上出現溫度偏差。在一些實施例中,遞迴流動路徑經配置以在沒有氣體噴射的情況下,實現小於1%的氣體分配不均勻性。在一些實施例中,遞迴流動路徑提供有效的氣體分離和均勻的分配。
本揭示的一些實施例涉及一種將複數個處理區域的處理流分離的腔室蓋。腔室蓋包括圍繞每個處理區域的圓形吹掃通道,吹掃通道連接到中央吹掃進料。一些實施例有效防止在處理區域之間的串擾(cross-talk),使得鄰近處理區域中的反應物的質量分數在間隙間隔的範圍內小於1e-6。在一些實施例中,當反應物用於一個站時,該反應物在鄰近的(相鄰的)站中的濃度小於1ppm或100ppb或10ppb或1ppb。
本揭示的進一步實施例涉及一種移除在處理區域之間的經脫氣物質的腔室蓋。腔室蓋包括在處理區域之間的成角度的吹掃通道。當晶圓在處理區域之間移動時,成角度的吹掃通道從基板表面移除經脫氣物質。在一些實施例中,成角度的吹掃通道能夠在少於0.5秒的時間內移除經脫氣物質,以致能較短的循環時間。
本揭示的一些實施例涉及一種具有複數個處理站的處理腔室。處理站可具有相同或不同的熱環境,使得處理站之間的移動可對處理產生短暫影響。在一些實施例中,處理腔室具有在大約兩個至大約八個的範圍內的處理站。
本揭示的一些實施例有利地提供了將前體約束到特定處理站並防止或最小化串擾的設備和方法。一些實施例有利地提供了藉由最佳化吹掃氣體流動路徑以達到效率來最小化總吹掃氣體流量的設備和方法。
站之間的前體擴散會隨著較高的蓋頂板間隙距離和某些前體(例如NH 3)而增加。一個或多個實施例有利地提供了限制前體擴散的設備和方法。
圖1和圖2示出了根據本揭示的一個或多個實施例的處理腔室100。圖1示出了根據本揭示的一個或多個實施例的以橫截面等距圖示出的處理腔室100。圖2以橫截面示出了根據本揭示的一個或多個實施例的處理腔室100。因此,本揭示的一些實施例涉及一種結合有支撐組件200和頂板300的處理腔室100。
處理腔室100具有殼體102,殼體102具有壁104和底部106。殼體102與頂板300一起界定了內部空間109,還稱為處理空間。
處理腔室100包括複數個處理站110。處理站110位於殼體102的內部空間109中,並且以圓形佈置圍繞支撐組件200的旋轉軸211定位。處理站110在空間上圍繞處理腔室100的內部空間109佈置。每個處理站110包括具有正面114的氣體噴射器112。在一些實施例中,每個氣體噴射器112的正面114是基本上共面的。在一些實施例中,氣體噴射器112的正面114是非共面的,從而在正面114與支撐表面231之間形成不同的間隙。將處理站110界定為其中可進行處理的區域。例如,可由加熱器230的支撐表面231和氣體噴射器112的正面114界定處理站110。
處理站110可經配置以進行任何合適的處理並提供任何合適的處理條件。所使用的氣體噴射器112的類型將取決於例如所進行的處理的類型和噴頭或氣體噴射器的類型。例如,經配置以操作為原子層沉積設備的處理站110可具有噴頭或渦旋型氣體噴射器。然而,經配置以操作為電漿站的處理站110可具有一個或多個電極及/或接地板的配置以產生電漿,而同時允許電漿氣體流向晶圓。圖2所示的實施例在圖的左側(處理站110a)與在圖的右側(處理站110b)具有不同類型的處理站110。合適的處理站110包括但不限於熱處理站、微波電漿、三電極CCP、ICP、平行板CCP、UV曝光、雷射處理、泵送腔室、退火站和計量站。
支撐組件200包括可旋轉中心基座210。可旋轉中心基座210可具有對稱或不對稱的形狀並界定旋轉軸211。旋轉軸211沿著第一方向延伸,該第一方向可稱為垂直方向或沿著z軸;然而,應理解的是,以此種方式使用的術語「垂直」不限於相對於重力的方向。
在一些實施例中,支撐組件200包括至少兩個支撐臂220,支撐臂220連接到中心基座210並且從中心基座210延伸。支撐臂220具有內端221和外端222。內端221與中心基座210接觸,使得當中心基座210繞旋轉軸211旋轉時,支撐臂220亦會旋轉。支撐臂220可藉由緊固件(例如,螺栓)或藉由與中心基座210一體形成來在內端221處連接到中心基座210。
支撐組件200中的支撐臂220的數量可以改變。在一些實施例中,有至少兩個、三個、四個、五個、六個、七個或八個支撐臂220。在一些實施例中,有三個支撐臂220。在一些實施例中,有四個支撐臂220。在一些實施例中,支撐臂220的數量與處理站110的數量相同。
支撐臂220可圍繞中心基座210對稱地佈置。例如,在具有四個支撐臂220的支撐組件200中,每個支撐臂220圍繞中心基座210以90°的間隔定位。在具有三個支撐臂220的支撐組件200中,支撐臂220圍繞中心基座210以120°的間隔定位。換句話說,在具有四個支撐臂220的實施例中,支撐臂經佈置以提供圍繞旋轉軸211的四重對稱性。在一些實施例中,支撐組件200具有n個支撐臂220,並且該n個支撐臂220經佈置以提供圍繞旋轉軸211的n重對稱性。
加熱器230定位在支撐臂220的外端222處。在一些實施例中,每個支撐臂220具有加熱器230。加熱器230的中心位於與旋轉軸211相距一定距離,使得一旦中心基座210旋轉時,加熱器230以圓形路徑移動。
加熱器230具有可支撐晶圓的支撐表面231。在一些實施例中,加熱器230支撐表面231是基本上共面的。以此種方式使用的「基本上共面」是指由各個支撐表面231形成的平面是在由另一支撐表面231形成的平面的±5°、±4°、±3°、±2°或±1°之內。
在一些實施例中,加熱器230直接定位在支撐臂220的外端222上。在一些實施例中,如圖所示,加熱器230藉由加熱器支架234而升高到支撐臂220的外端222上方。加熱器支架234可以是任意的尺寸和長度,以增加加熱器230的高度。
在一些實施例中,通道236形成在中心基座210、支撐臂220及/或加熱器支架234中的一者或多者中。通道236可用於路由電性連接或提供氣流。
加熱器可以是本領域具有通常知識者所已知的任何合適類型的加熱器。在一些實施例中,加熱器是在加熱器主體內具有一個或多個加熱元件的電阻加熱器。一些實施例的加熱器230包括額外的部件。例如,加熱器可包括靜電卡盤。靜電卡盤可包括各種導線和電極,使得當加熱器移動時,定位於加熱器支撐表面231上的晶圓可保持在適當位置。這允許晶圓在處理開始時卡在加熱器上,並且在晶圓移動到不同的處理區域時,保持在該相同加熱器上的該相同位置。在一些實施例中,導線和電極路由通過在支撐臂220中的通道236。
在一些實施例中,支撐板245由支撐柱227支撐。當使用單一部件平台時,支撐柱227可用於防止支撐板245的中心下垂。在一些實施例中,支撐柱227是用於支撐板245的主要支撐。
參照圖3至圖9,本揭示的一些實施例涉及用於多站處理腔室的頂板300。頂板300包括蓋主體307,該蓋主體307具有界定蓋的厚度的頂表面301和底表面302以及一個或多個邊緣303。頂板300包括透過其厚度延伸的至少一個開口310。開口310經尺寸化以允許增加氣體噴射器112(參見圖1),該氣體噴射器112可形成處理站110。在一些實施例中,有在2個至6個的範圍內的站開口310。在一些實施例中,每個站開口310具有大約相同的直徑。以此種方式使用的術語「大約相同的直徑」意味著每個直徑相對於平均直徑是在±1%或0.5%以內。在一些實施例中,有至少兩個不同直徑的開口310。
頂板300中的開口310可均勻尺寸化或具有不同的尺寸。在一些實施例中,站分離吹掃通道329圍繞每個開口310。站分離吹掃通道329可以是任何合適的形狀或尺寸。在一些實施例中,站分離吹掃通道329是在頂板300中形成的具有插入件的凹槽,以在其中形成密封空間。在所示的實施例中,站分離吹掃通道329包括定位成與吹掃氣體源(未示出)流體連通的泵/吹掃插入件330,以提供吹掃氣體的正流,以防止處理氣體從處理腔室洩漏。站分離吹掃通道329的特徵的參照包括其中具有泵/吹掃插入件330的實施例。例如,在站分離吹掃通道329包括入口339的情況下,本領域具有通常知識者將認識到入口339可位於泵/吹掃插入件330之內,泵/吹掃插入件330反而位於站分離吹掃通道329之內。
在一些實施例中,如圖3和4所示,站分離吹掃通道329包括圍繞站開口310的複數個圓形部分341。在一些實施例中,站分離吹掃通道329的複數個圓形部分341中的每個圓形部分透過一個或多個連接器段342連接到相鄰的圓形部分341。如圖3和圖4的實施例所示,每個圓形部分341透過兩個連接器段342連接到兩個相鄰的圓形部分341。兩個連接器段342在轂343處連接,入口339位於轂343中。
在一些實施例中,站分離吹掃通道329的圓形部分341的直徑獨立於站開口310的直徑。圓形部分341的直徑是在通道的最內側壁335相對於開口310的外周壁313之間測量的。換句話說,圓形部分341的直徑是在最靠近特定圓形部分341圍繞的開口310的壁之間測量的。在一些實施例中,每個圓形部分341具有大約相同的直徑。在一些實施例中,每個圓形部分341的直徑取決於站開口310的直徑。例如,每個圓形部分的直徑比每個開口310的直徑大在大約0.5mm至大約50mm或在大約1mm至大約25mm的範圍內的量D(參見圖6)。
圖4示出了根據本揭示的一個或多個實施例的頂板300的橫截面圖。圖5示出了區域5的放大圖,該放大圖示出了泵/吹掃插入件330的一部分。圖6示出了圖4的頂板300的一部分沿著線6-6'截取的橫截面圖,其中泵/吹掃插入件330與站分離吹掃通道329分離。在所示的實施例中,泵/吹掃插入件330包括氣體增壓室336,氣體增壓室336在泵/吹掃插入件330的底部333中具有至少一個開口338。氣體增壓室336在泵/吹掃插入件330的頂部331或側壁335附近具有入口339。
在一些實施例中,增壓室336可透過入口339充入淨化或惰性氣體,該淨化或惰性氣體可穿過在泵/吹掃插入件330的底部333中的開口338。通過開口338的氣流可幫助產生氣幕式屏障,以防止處理氣體從處理腔室的內部洩漏。
在一些實施例中,增壓室336連接到真空源或與真空源流體連通。在這樣的實施例中,氣體流過泵/吹掃插入件330的底部333中的開口338進入增壓室336。可將氣體從增壓室排空以排出。這樣的佈置可在使用期間用於從處理站110排空氣體。在一些實施例中,如圖4所示,泵/吹掃插入件330具有至少一個入口339和至少一個出口339a。在一些實施例中,吹掃氣體可透過入口339流入增壓室336中,並切換到連接到出口339a的真空源,以從增壓室336移除氣體。在一些實施例中,每個開口用作入口。在一些實施例中,每個開口用作出口。在一些實施例中,每個開口連接到可切換氣體源和真空源,以允許將氣體源或真空源連接到增壓室336。在一些實施例中,在站分離吹掃通道中的入口339的數量與站開口310相同。在一些實施例中,站分離吹掃通道中的每個入口339連接到站分離吹掃通道的兩個相鄰的圓形部分。
如圖4至圖5和圖7至圖9所示,頂板300的一些實施例包括從蓋主體307的中心部分305延伸到蓋主體307的外周邊緣部分309的複數個成角度的吹掃通道380。成角度的吹掃通道380在相鄰的站開口310之間延伸。圖4示出了頂板300的實施例沿著成角度的吹掃通道380中的兩者透過蓋主體307的中心部分305截取的橫截面等距圖。圖5示出了圖4的區域5的放大圖,該放大圖示出了靠近蓋主體307的外周邊緣部分309的成角度的吹掃通道380的一部分。圖7示出了頂板300的實施例的示意圖,該示意圖示出了各種氣體通道。本領域具有通常知識者將理解的是,在頂板300的俯視圖中看不見所有示出的氣體通道,並且該等圖以線框圖示出了該等通道。圖8示出了圖4的橫截面而沒有部分等距圖。圖9示出了圖8的區域9的放大部分。
每個成角度的吹掃通道380包括複數個間隔開的孔382。間隔開的孔從成角度的吹掃通道380延伸到蓋主體307的底表面302。儘管間隔開的孔382的形狀示出為圓柱形,但是本領域具有通常知識者將理解的是,其他形狀也是在本揭示的範疇內。例如,一些實施例的孔具有連接到成角度的吹掃通道380的上部,該上部具有比連接到底表面302的下部更寬的直徑。從較寬的直徑到較窄的直徑的轉換可以是線性的、梯度的或階梯的。
在一些實施例中,將每個成角度的吹掃通道380連接,以分離入口。在一些實施例中,所有成角度的吹掃通道380都連接到位於蓋主體307的中心部分305中的單一入口384。在一些實施例中,入口384位於蓋主體307的頂表面301附近。在一些實施例中,入口384具有與蓋主體307的頂表面301相鄰的球形部分。傾斜部分386從與頂表面301相鄰的球形部分到與蓋主體307的底表面302相鄰的成角度的吹掃通道380成角度。傾斜部分386在球形部分與成角度的吹掃通道380之間提供流體連通。
再次參照圖2和圖3,頂板300的一些實施例包括蓋支撐梁360,蓋支撐梁360穿過頂板300的中心部分305。蓋支撐梁360可使用連接器367來連接到在中心附近的頂板300。連接器367可用於施加正交於頂板300的力,以補償由於壓力差或由於頂板300的重量而導致的頂板300中的彎曲。在一些實施例中,蓋支撐梁360和連接器367能夠補償在具有寬度大約1.5m和厚度高達或等於大約100毫米的頂板的中心處的高達或等於大約5mm、4mm、3mm、2mm或1.5mm的撓曲。在一些實施例中,蓋支撐梁經配置以對蓋主體提供變形補償,以補償高達大約5mm的撓曲。
一些實施例包括連接到蓋支撐梁360的馬達/致動器365。馬達365或致動器藉由任何合適的連接類型連接到連接器367,並且可引起施加至頂板300的方向力上的變化。馬達365或致動器可支撐在蓋支撐梁360上。所示的蓋支撐梁360在兩個位置處與頂板300的邊緣接觸。然而,本領域具有通常知識者將理解的是,可存在一個連接位置或多於兩個連接位置。在一些實施例中,蓋支撐梁包括與控制器390連通的馬達/致動器,該控制器390經配置以在蓋的中心部分上提供頂表面或底表面的指向力。頂表面或底表面的指向力是指連接器367相對於蓋主體307的連接應力點。
再次參照圖2,一些實施例的支撐組件200包括至少一個馬達250。至少一個馬達250連接到中心基座210,並經配置以使支撐組件200圍繞旋轉軸211旋轉。在一些實施例中,至少一個馬達經配置以使中心基座210沿著旋轉軸211的方向移動。例如,在圖2中,馬達255連接到馬達250,並且可使支撐組件200沿著旋轉軸211移動。換句話說,所示的馬達255可使支撐組件200沿著z軸、垂直或正交於由馬達250所引起的移動來移動。在一些實施例中,如圖所示,有第一馬達250使支撐組件200圍繞旋轉軸211旋轉,並且有第二馬達255使支撐組件200沿著旋轉軸211(即,沿著z軸或垂直)移動。
如圖3和圖7所示,頂板300的一些實施例包括一個或多個感測器388。一些實施例的一個或多個感測器388定位於頂板300中的開口389中。感測器388可以是本領域具有通常知識者所已知的可與頂板300和處理腔室100一起使用的任何合適的感測器。在一些實施例中,感測器388包括溫度感測器(例如,高溫計)、距離感測器、水平儀或計量感測器(例如,近紅外線)的一者或多者。
參照圖3和圖6,一些實施例包括加熱器347。在一些實施例中,加熱器347包括圍繞頂板300的外周延伸的加熱器電纜。加熱器347可位於主體307內的任何距離處。例如,加熱器347可位於主體307的厚度內或頂表面301上。
如圖3所示,一些實施例包括至少一個控制器390。控制器390耦合於馬達/致動器365、加熱器347、吹掃氣體源(未示出)、真空源(未示出)或感測器388中的一者或多者。控制器950可以是任何形式的通用電腦處理器、微控制器、微處理器等中的一者,其可在工業環境中用於控制各種部件。
至少一個控制器390可具有處理器392、耦合於處理器392的記憶體394、耦合於處理器392的輸入/輸出裝置396及支援電路398,以在不同電子部件之間進行通訊。記憶體394可包括暫態記憶體(例如,隨機存取記憶體)和非暫態記憶體(例如,存儲器)中的一者或多者。
處理器的記憶體394或電腦可讀取媒體可以是一個或多個隨時可用的記憶體,諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟或任何其他形式的本地或遠端的數位存儲器。記憶體394可保留可由處理器392操作以控制處理腔室100的參數和部件的指令集。支援電路398耦合於處理器392,以習知方式支援處理器。電路可包括例如快取、電源、時脈電路、輸入/輸出電路系統、子系統等。
通常可將處理作為軟體例程而存儲在記憶體中,該軟件例程在由處理器執行時使處理腔室進行本揭示的處理。軟件例程還可由第二處理器(未示出)來存儲及/或執行,該第二處理器位於遠離由處理器控制的硬體的位置。本揭示的一些或全部方法還可在硬體中進行。因此,處理可以軟體來實現並可使用電腦系統以硬體(例如,特殊應用積體電路或其他類型的硬體實現)或者以硬體或軟體的組合來執行。軟體例程在由處理器執行時將通用電腦轉換成控制腔室操作以執行處理的專用電腦(控制器)。
在一些實施例中,控制器390具有一個或多個配置,以執行各個處理或子處理。控制器390可連接到並配置以操作中間部件,以進行方法的功能。例如,控制器390可連接到並配置以控制氣體閥、致動器、馬達、狹縫閥、真空控制等中的一者或多者。
一些實施例的控制器390具有選自以下項目的一個或多個配置:供電加熱器的配置;從溫度感測器讀取溫度的配置;基於從溫度感測器讀取的溫度來對加熱器提供功率的配置;操作馬達/致動器以使腔室蓋水平的配置;對站分離吹掃通道提供氣體流的配置或對複數個成角度的吹掃通道提供氣體流的配置。
除非本文另外指示或與上下文明顯矛盾,否則在描述本文所討論的材料和方法的上下文中(特別是在下面的申請專利範圍的上下文中)的術語「一」和「一個」和「該」以及類似指稱的使用應解釋為涵蓋單數形式和複數形式。除非本文另外指示,否則本文的數值範圍的記載僅意欲用作分別引用落入範圍內的每個單獨的值的速記方法,並且將每個單獨的值併入說明書中,就如同其在本文分別敘述一樣。除非本文另外指示或與上下文明顯矛盾,否則本文描述的所有方法可以任何合適的順序進行。除非另外請求,否則本文提供的任何和所有示例或示例性語言(例如,「諸如」)的使用僅意欲更佳闡明材料和方法,並且不對範疇構成限制。說明書中的任何語言均不應解釋為指示任何未請求的要件對於實施所揭示的材料和方法必不可少。
在整個說明書中,對「一個實施例」、「某些實施例」、「一個或多個實施例」或「一實施例」的引用是指結合該實施例描述的特定特徵、結構、材料或特性是包括在本揭示的至少一個實施例中。因此,在整個說明書的各個地方中出現諸如「在一個或多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」的短語不一定是指本揭示的相同實施例。此外,在一個或多個實施例中,可以任何合適的方式來組合特定的特徵、結構、材料或特性。
儘管已參考特定實施例描述了本揭示,但是應理解的是,該等實施例僅說明本揭示的原理和應用。對於本領域具有通常知識者將顯而易見的是,在不脫離本揭示的精神和範疇的情況下,可以對本揭示的方法和設備進行各種修改和變化。因此,意欲的是,本揭示包括在所附申請專利範圍和其均等物的範疇內的修改和變化。
5:區域 9:區域 100:處理腔室 102:殼體 104:壁 106:底部 109:內部空間 110:處理站 110a:處理站 110b:處理站 112:氣體噴射器 114:正面 200:支撐組件 210:中心基座 211:旋轉軸 220:支撐臂 221:內端 222:外端 227:支撐柱 230:加熱器 231:支撐表面 234:加熱器支架 236:通道 245:支撐板 250:馬達 255:馬達 300:頂板 301:頂表面 302:底表面 303:邊緣 305:中心部分 307:蓋主體 309:外周邊緣部分 310:開口 313:外周壁 329:站分離吹掃通道 330:泵/吹掃插入件 331:頂部 333:底部 335:側壁 336:增壓室 338:開口 339:入口 339a:出口 341:圓形部分 342:連接器段 343:轂 347:加熱器 360:蓋支撐梁 365:馬達/致動器 367:連接器 380:吹掃通道 382:孔 384:入口 386:傾斜部分 388:感測器 389:開口 390:控制器 392:處理器 394:記憶體 396:輸入/輸出裝置 398:支援電路
因此,可詳細理解本揭示的上述特徵的方式,可藉由參考實施例,對上文簡要概述的本揭示進行更具體的描述,其中一些實施例在附圖中示出。然而,應注意的是,附圖僅示出了本揭示的典型實施例,並且因此不應被認為是對其範疇的限制,因為本揭示可允許其他等效的實施例。在附圖的圖中,以示例而非限制的方式示出了本文所述的實施例,在附圖中,相似的元件符號指示相似的元件。
圖1示出了根據本揭示的一個或多個實施例的處理腔室的橫截面等距圖;
圖2示出了根據本揭示的一個或多個實施例的處理腔室的橫截面圖;
圖3示出了根據本揭示的一個或多個實施例的用於處理腔室的頂板;
圖4示出了根據本揭示的一個或多個實施例的處理腔室蓋的部分橫截面平行投影;
圖5示出了圖4的區域5的放大圖;
圖6示出了沿著線6-6’截取的圖4的橫截面圖;
圖7示出了根據本揭示的一個或多個實施例的處理腔室蓋的示意圖;
圖8示出了根據本揭示的一個或多個實施例的處理腔室蓋的橫截面圖;及
圖9示出了圖8的橫截面圖的區域9。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:處理腔室
102:殼體
104:壁
106:底部
109:內部空間
110:處理站
112:氣體噴射器
114:正面
200:支撐組件
210:中心基座
211:旋轉軸
220:支撐臂
221:內端
222:外端
227:支撐柱
230:加熱器
231:支撐表面
234:加熱器支架
236:通道
300:頂板
301:頂表面
302:底表面
303:邊緣
330:泵/吹掃插入件
336:增壓室

Claims (18)

  1. 一種處理腔室蓋,包括: 一蓋主體,該蓋主體具有一頂表面和一底表面,該蓋主體具有從該頂表面延伸到該底表面的複數個站開口,每個開口具有一外周邊緣; 一站分離吹掃通道,該站分離吹掃通道圍繞該複數個站開口中的每一者的該外周邊緣延伸,該站分離吹掃通道具有從該站分離吹掃通道延伸到該蓋主體的該底表面的複數個孔,該複數個孔圍繞該複數個站開口間隔開; 一泵或吹掃插入件,該泵或吹掃插入件定位在該站分離吹掃通道內,該泵或吹掃插入件包括一氣體增壓室,該氣體增壓室具有一入口和在該泵或吹掃插入件的一底部中的至少一個開口;及 複數個成角度的吹掃通道,該複數個成角度的吹掃通道在相鄰的站開口之間從該蓋主體的一中心部分延伸到該蓋主體的一外周邊緣部分,每個成角度的吹掃通道包括從該成角度的吹掃通道延伸到該蓋主體的該底表面的複數個間隔開的孔。
  2. 如請求項1所述之處理腔室蓋,其中該站分離吹掃通道包括圍繞該等站開口的複數個圓形部分。
  3. 如請求項2所述之處理腔室蓋,該複數個圓形部分中的每一者透過一個或多個連接器段連接到一相鄰的圓形部分。
  4. 如請求項3所述之處理腔室蓋,其中該複數個圓形部分中的每一者透過兩個連接器段連接到兩個相鄰的圓形部分。
  5. 如請求項4所述之處理腔室蓋,其中該兩個連接器段在一轂處連接。
  6. 如請求項5所述之處理腔室蓋,其中該泵或吹掃插入件包括在該兩個連接器段的該轂處的一入口。
  7. 如請求項2所述之處理腔室蓋,其中有在2個至6個的範圍內的站開口。
  8. 如請求項7所述之處理腔室蓋,其中該等站開口具有至少兩個不同的直徑。
  9. 如請求項8所述之處理腔室蓋,其中該等圓形部分圍繞所有站開口具有相同的直徑。
  10. 如請求項9所述之處理腔室蓋,其中每個圓形部分的一直徑比每個開口的一直徑大在約0.5 mm至約50 mm的範圍內的量。
  11. 如請求項8所述之處理腔室蓋,其中在該泵或吹掃插入件中的入口的數量與站開口相同。
  12. 如請求項1所述之處理腔室蓋,其中該複數個成角度的吹掃通道連接到位於該蓋主體的一中心部分的一單一入口。
  13. 如請求項12所述之處理腔室蓋,其中該入口位於該蓋主體的該頂表面附近。
  14. 如請求項13所述之處理腔室蓋,其中該入口包括與該等成角度的吹掃通道流體連通的一球形部分。
  15. 如請求項13所述之處理腔室蓋,進一步包括一傾斜部分,該傾斜部分從該入口到與該蓋主體的該底表面相鄰的該等成角度的吹掃通道成角度。
  16. 如請求項1所述之處理腔室蓋,進一步包括一蓋支撐梁,該蓋支撐梁跨該蓋主體的一寬度延伸,該蓋支撐梁連接到該蓋主體的一中心部分。
  17. 如請求項16所述之處理腔室蓋,其中該蓋支撐梁經配置以對該蓋主體提供變形補償,以補償高達約5 mm的撓曲。
  18. 如請求項17所述之處理腔室蓋,其中該蓋支撐梁進一步包括與一控制器連通的一馬達或一致動器,該控制器經配置以在該蓋主體的一中心部分上提供頂表面或底表面的指向力。
TW111135490A 2018-09-29 2019-09-25 具有精確溫度和流量控制的多站腔室蓋 TWI812475B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862739194P 2018-09-29 2018-09-29
US62/739,194 2018-09-29

Publications (2)

Publication Number Publication Date
TW202307261A true TW202307261A (zh) 2023-02-16
TWI812475B TWI812475B (zh) 2023-08-11

Family

ID=69947234

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108134527A TWI781346B (zh) 2018-09-29 2019-09-25 具有精確溫度和流量控制的多站腔室蓋
TW111135490A TWI812475B (zh) 2018-09-29 2019-09-25 具有精確溫度和流量控制的多站腔室蓋

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW108134527A TWI781346B (zh) 2018-09-29 2019-09-25 具有精確溫度和流量控制的多站腔室蓋

Country Status (6)

Country Link
US (1) US11098404B2 (zh)
JP (2) JP7121447B2 (zh)
KR (2) KR20230038614A (zh)
CN (1) CN112714949A (zh)
TW (2) TWI781346B (zh)
WO (1) WO2020069302A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220020615A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Multiple process semiconductor processing system
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
US11823939B2 (en) 2021-09-21 2023-11-21 Applied Materials, Inc. Apparatus and methods for processing chamber lid concentricity alignment

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IL82673A0 (en) 1986-06-23 1987-11-30 Minnesota Mining & Mfg Multi-chamber depositions system
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US6613143B1 (en) 2001-07-06 2003-09-02 Technologies And Devices International, Inc. Method for fabricating bulk GaN single crystals
JPWO2004030067A1 (ja) * 2002-09-27 2006-01-26 住友精密工業株式会社 オゾン処理装置
DE10319379A1 (de) * 2003-04-30 2004-11-25 Applied Films Gmbh & Co. Kg Vorrichtung zum Transportieren eines flachen Substrats in einer Vakuumkammer
US20070218701A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
KR20080027009A (ko) 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
US8033769B2 (en) * 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
JP2010126797A (ja) * 2008-11-28 2010-06-10 Tokyo Electron Ltd 成膜装置、半導体製造装置、これらに用いられるサセプタ、プログラム、およびコンピュータ可読記憶媒体
US8033771B1 (en) * 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
KR101021372B1 (ko) 2008-12-29 2011-03-14 주식회사 케이씨텍 원자층 증착장치
JP2013133521A (ja) * 2011-12-27 2013-07-08 Tokyo Electron Ltd 成膜方法
KR20140147109A (ko) * 2012-04-23 2014-12-29 도쿄엘렉트론가부시키가이샤 성막 방법, 성막 장치, 및 성막 시스템
JP5823922B2 (ja) * 2012-06-14 2015-11-25 東京エレクトロン株式会社 成膜方法
KR101426432B1 (ko) * 2012-09-20 2014-08-06 국제엘렉트릭코리아 주식회사 기판 처리 장치 및 방법
US9500610B2 (en) 2012-11-06 2016-11-22 The United States of America, as represented by the Secretary of Commerce, The National Institute of Standards and Technology Systems and methods for controlling temperature of small volumes
US9353439B2 (en) * 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
WO2015080900A1 (en) * 2013-11-26 2015-06-04 Applied Materials, Inc. Tilted plate for batch processing and methods of use
KR102035238B1 (ko) * 2014-02-20 2019-10-22 주식회사 원익아이피에스 기판 처리 장치
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
CN104046960B (zh) * 2014-06-24 2016-08-17 北京七星华创电子股份有限公司 一种应用于薄膜沉积技术的气体分配器
US9617638B2 (en) * 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
WO2016075189A1 (de) * 2014-11-14 2016-05-19 Von Ardenne Gmbh Kammerdeckel zum abdichten einer kammeröffnung in einer gasseparationskammer und gasseparationskammer
JP6479560B2 (ja) * 2015-05-01 2019-03-06 東京エレクトロン株式会社 成膜装置
US9428833B1 (en) * 2015-05-29 2016-08-30 Lam Research Corporation Method and apparatus for backside deposition reduction by control of wafer support to achieve edge seal
KR20170022459A (ko) * 2015-08-20 2017-03-02 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
KR101680635B1 (ko) * 2015-08-26 2016-11-29 국제엘렉트릭코리아 주식회사 기판 처리 장치
US9873943B2 (en) * 2015-12-15 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for spatial atomic layer deposition
US20170194174A1 (en) * 2015-12-30 2017-07-06 Applied Materials, Inc. Quad chamber and platform having multiple quad chambers
US20170247794A1 (en) * 2016-02-25 2017-08-31 Sandisk Technologies Llc Single chamber multi-partition deposition tool and method of operating same
US10090174B2 (en) * 2016-03-01 2018-10-02 Lam Research Corporation Apparatus for purging semiconductor process chamber slit valve opening
TWI722132B (zh) * 2016-03-13 2021-03-21 美商應用材料股份有限公司 用於間隔墊應用之氮化矽薄膜的選擇性沉積
US10741428B2 (en) * 2016-04-11 2020-08-11 Applied Materials, Inc. Semiconductor processing chamber

Also Published As

Publication number Publication date
JP7121447B2 (ja) 2022-08-18
JP2022501826A (ja) 2022-01-06
TWI781346B (zh) 2022-10-21
JP2022176935A (ja) 2022-11-30
TW202028521A (zh) 2020-08-01
KR20230038614A (ko) 2023-03-20
CN112714949A (zh) 2021-04-27
JP7441900B2 (ja) 2024-03-01
WO2020069302A1 (en) 2020-04-02
KR102510487B1 (ko) 2023-03-16
TWI812475B (zh) 2023-08-11
US20200102651A1 (en) 2020-04-02
US11098404B2 (en) 2021-08-24
KR20210054018A (ko) 2021-05-12

Similar Documents

Publication Publication Date Title
US10720312B2 (en) Substrate processing apparatus
US11894257B2 (en) Single wafer processing environments with spatial separation
TWI781346B (zh) 具有精確溫度和流量控制的多站腔室蓋
US11031262B2 (en) Loadlock integrated bevel etcher system
US10340151B2 (en) Substrate processing apparatus, heating apparatus, ceiling heat insulator, and method of manufacturing semiconductor device
TW201827640A (zh) 時間性原子層沉積處理腔室
TW202230471A (zh) 熱均勻的沉積站
TW202229626A (zh) 用於精確腔室匹配和製程控制的基座支座設計
KR20180129970A (ko) 공간적 ald 프로세스 챔버에서 배면 증착을 방지하기 위한 장치
TW202213584A (zh) 用於批量處理腔室的帶有處理間隙控制的加熱器組件
US20210087681A1 (en) Dithering Or Dynamic Offsets For Improved Uniformity
TWI780369B (zh) 操作空間沉積工具的方法