TWI722132B - 用於間隔墊應用之氮化矽薄膜的選擇性沉積 - Google Patents

用於間隔墊應用之氮化矽薄膜的選擇性沉積 Download PDF

Info

Publication number
TWI722132B
TWI722132B TW106107925A TW106107925A TWI722132B TW I722132 B TWI722132 B TW I722132B TW 106107925 A TW106107925 A TW 106107925A TW 106107925 A TW106107925 A TW 106107925A TW I722132 B TWI722132 B TW I722132B
Authority
TW
Taiwan
Prior art keywords
processing
film
plasma
feature
substrate
Prior art date
Application number
TW106107925A
Other languages
English (en)
Other versions
TW201800598A (zh
Inventor
李寧
米海拉 巴賽諾
立群 夏
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201800598A publication Critical patent/TW201800598A/zh
Application granted granted Critical
Publication of TWI722132B publication Critical patent/TWI722132B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

用於形成間隔墊的方法包含以下步驟:在特徵的頂部、底部、及側壁上沉積膜,以及對膜加工,以改變特徵的頂部與底部上的膜的性質,而可以相對於特徵的側壁上的膜對特徵的頂部與底部上的膜選擇性蝕刻。

Description

用於間隔墊應用之氮化矽薄膜的選擇性沉積
本發明一般係關於沉積薄膜的方法。更特定言之,本揭示係關於藉由空間ALD選擇性沉積氮化矽膜的方法。
作為介電層的氮化矽薄膜已經廣泛用於半導體製造處理中。舉例而言,SiN膜在多圖案化處理中係作為間隔墊材料,以實現更小的裝置尺寸,而不使用最昂貴的EUV光刻技術。此外,SiN可作為閘極間隔墊材料,以隔離閘極結構與接觸區域,以最小化潛在的洩漏電流。
傳統的氮化矽間隔墊製造處理包括在3D結構(例如,鰭)上的共形SiN膜沉積,隨後是定向電漿乾式蝕刻,以移除頂層與底層,同時保持側壁膜作為間隔墊。然而,已發現乾式蝕刻處理可能潛在地損壞側壁表面並改變膜特性;最終影響裝置效能與良率。
因此,在本領域中需要沉積選擇性間隔墊膜的製程。
本揭示的一或更多個實施例係關於處理方法。提供其上具有至少一個特徵的基板表面。至少一個特徵包含頂部、底部、及側壁。在至少一個特徵上形成膜,而使得膜形成於頂部、底部、及側壁上。利用電漿對膜加工,以改變特徵的頂部與底部上的膜的性質,而使得特徵的頂部與底部具有較側壁上的膜更高的濕式蝕刻速率。
本揭示的附加實施例係關於包含在處理腔室中定位基板表面的處理方法。基板表面上具有至少一個特徵。至少一個特徵具有頂部、底部、及側壁。基板表面係暴露於包含至少一個沉積循環的沉積環境。沉積循環包含依序暴露於矽前驅物與含氮反應物,以在至少一個特徵的頂部、底部、及側壁上形成氮化矽膜。氮化矽膜係暴露於加工環境,以修改沉積於至少一個特徵的頂部與底部上的氮化矽膜,而使得頂部與底部的膜具有較側壁上的膜更高的濕式蝕刻速率。加工環境包含具有高離子濃度的電漿。
本揭示的進一步實施例係關於包含將具有帶有特徵的基板表面的基板放置於包含複數個處理區域的處理腔室中的處理方法。每一處理區域藉由氣體簾幕與相鄰處理區域分離。特徵包含頂部、底部、及側壁。基板表面的至少一部分係暴露於處理腔室的第一處理區域中的第一處理條件。第一處理條件包含矽前驅物。基板表面通過氣體簾幕橫向移動至處理腔室的第二處理區域。基板表面係暴露於處理腔室的第二處理區域中的第二處理條件。第二處理條件包含氮前驅物。基板表面通過氣體簾幕橫向移動至處理腔室的第三處理區域。基板表面係暴露於處理腔室的第三處理區域中的第三處理條件。第三處理條件包含氮反應物,以在特徵的頂部、底部、及側壁上形成氮化矽膜。重複暴露於第一處理條件、第二處理條件、及第三條件,以形成具有預定厚度的氮化矽膜。基板表面移動至處理腔室的第四處理區域。第四處理區域包含加工環境,加工環境包含高離子濃度電漿,以選擇性降低特徵的頂部與底部上的氮化矽膜的濕式蝕刻速率。
在描述本揭示的幾個示例性實施例之前,應理解,本揭示並不限於在以下描述中闡述的構造或處理步驟的細節。本揭示能夠具有其他實施例,並能夠以各種方式實踐或執行。
如本文所使用的「基板」係指稱在製造處理期間在其上執行膜處理的基板上所形成的任何基板或材料表面。舉例而言,取決於應用,可以在其上執行處理的基板表面包括材料,例如矽、氧化矽、應變矽、絕緣體矽(SOI)、摻碳氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石,以及任何其他材料,例如金屬、金屬氮化物、金屬合金、及其他導電材料。基板包括但不限於半導體晶圓。基板可以暴露於預加工處理,以研磨、蝕刻、還原、氧化、羥基化、退火、及/或烘烤基板表面。除了直接在基板本身的表面上的膜處理之外,在本揭示中,所揭示的任何膜處理步驟亦可在基板上所形成的底層上執行,如下面更詳細揭示,而術語「基板表面」意欲包括如上下文所指示的這樣的底層。因此,舉例而言,當膜/層或部分膜/層已沉積至基底表面時,新沉積的膜/層的暴露表面變成基板表面。
如在此說明書及隨附申請專利範圍中所使用的術語「前驅物」、「反應物」、「反應性氣體」、及類似物可互換使用,用以指稱可以與基板表面反應的任何氣體物質。
本揭示的一些實施例係關於使用具有可用於引入不同化學物或電漿氣體的多個氣體入口通道的反應腔室的方法。在空間上,這些通道係藉由惰性吹掃氣體及/或真空泵送孔分離,以建立最小化或消除來自不同通道的氣體的混合的氣體簾幕,以避免不希望的氣相反應。移動通過這些不同的空間分離通道的晶圓取得依序對不同化學或電漿環境的多個表面暴露,而使得在空間ALD模式或表面蝕刻處理中發生逐層的膜生長。在一些實施例中,處理腔室在氣體分配部件上具有模組化架構,而每一模組化部件具有獨立的參數控制(例如,RF或氣流),以提供控制例如氣流及/或RF暴露的靈活性。
本揭示的一些實施例使用空間架構,並包含在基板表面上的第一化學劑量,而接著第二化學暴露與所配量的化學物質反應以形成膜,然後是第三附加後加工處理。在使用中,本揭示的實施例具有可以暴露於原位後加工的ALD層。在一些實施例中,加工進行一次。在一些實施例中,可以在每個週期中進行加工。最小加工量可以在每1至100個沉積循環或更多的範圍內。
本揭示的一些實施例係關於選擇性SiN沉積方法,以允許依據3D結構上的沉積位置而具有不同膜性質。舉例而言,沉積在結構的頂部與底部上的膜可以加工成具有與沉積在結構的側壁上的膜不同的膜性質。本揭示的一些實施例有利地提供形成膜的方法,其中濕式蝕刻可以選擇性移除膜的部分(例如,頂部與底部),同時留下膜的其他部分(例如,側壁)作為間隔墊。本揭示的一些實施例有利地在單一處理腔室中執行。
儘管相對於氮化矽膜的沉積描述本揭示的各種實施例,但該領域具有通常知識者將理解,本揭示並不限於此。可沉積、加工、及蝕刻其他膜,以留下間隔墊。
在一些實施例中,氮化矽選擇性沉積在單一處理腔室中包括二個處理:SiN膜沉積與電漿加工。批量處理腔室可用於處理空間原子層沉積(ALD)序列:矽前驅物暴露;氮前驅物暴露(熱或電漿);利用例如N2 、NH3 、H2 、或O2 氣體(其可與惰性氣體如Ar或He混合)的RF電漿加工。矽與氮前驅物形成共形SiN膜,而電漿加工修改特徵的頂部與底部上的膜。在一些實施例中,RF電漿加工使用經配置以具有對膜的定向加工效果的硬體。電漿加工處理可以在膜表面上形成N-H或Si-O鍵,並且依據加工時間與RF功率而穿透到膜中的一深度。已發現此舉在特徵的頂部與底部產生較高的濕式蝕刻速率。可以調整沉積層厚度與電漿加工等級,以增加濕式蝕刻速率選擇性。在一些實施例中,選擇性沉積在約200℃至約550℃的晶圓溫度下執行。因為所沉積的膜在頂部/底部展示與特徵的側壁不同的膜性質,且共形乾式蝕刻可以選擇性地移除膜的頂部/底部,所以一或更多個實施例有利地提供可選擇定向乾式蝕刻方法(例如物理轟擊)的膜。
參照6A圖至第6E圖,本揭示的一或更多個實施例係關於在其上具有特徵310的基板表面300上沉積間隔墊膜的處理方法。特徵310可以是膜可以沉積其上的任何三維結構。圖式所示的特徵310係為心軸;然而,該領域具有通常知識者將理解這僅為一種可能結構的代表。適合的特徵310包括但不限於脊部、溝道、及通孔。
特徵310包括頂部320、底部330、及側壁340。在所示的實施例中,特徵310在特徵310的任一側上具有二個側壁340。特徵310具有由頂部320與底部330定義的高度以及由側壁340之間的距離定義的寬度。
基板表面300在其上提供有至少一個特徵310。如在本說明書與隨附專利請求範圍中所使用的,以此方式使用的術語「提供」意指基板表面300係放置或定位在環境中用於處理。
如第6B圖所示,在基板表面300上形成膜350,而使得膜形成於至少一個特徵310上。膜350形成於特徵310的頂部320、底部330、及側壁340上。在一些實施例中,膜350共形地形成於特徵310上。如本文所使用的術語「共形」或「共形地」係指稱黏附並均勻地覆蓋暴露表面的層,其厚度具有小於相對於膜的平均厚度的1%的變化。舉例而言,1000Å厚的膜將具有小於10Å的厚度變化。此厚度與變化包括凹槽的邊緣、角部、側邊、及底部。舉例而言,在本揭示的各種實施例中藉由ALD沉積的共形層將在複雜表面上提供基本上均勻厚度的沉積區域的覆蓋。
在一些實施例中,膜350包含SiN。可以藉由任何適合的方法執行SiN的形成,包括但不限於原子層沉積(ALD)、電漿增強原子層沉積(PEALD)、化學氣相沉積(CVD)、及電漿增強化學氣相沉積(PECVD)。在一些實施例中,膜350係為藉由ALD處理而形成的SiN,其中基板表面300依序暴露於矽前驅物與氮反應物。如此處所使用,「矽前驅物」與基板的表面反應,而使得矽分子停留於基板表面上。如此處所使用,「氮反應物」與基板表面上的矽分子反應。
在一些實施例中,在暴露於矽前驅物與氮反應物之間暴露於氮前驅物的情況下,在基板表面300上形成SiN膜。如此處所使用,「氮前驅物」與基板表面反應,而最少地與已存在於基板表面上的矽物質反應。換言之,氮前驅物包含不與基板表面上的矽前驅物分子反應的物質。由於氮前驅物物質與矽前驅物物質都與基板表面反應,且彼此具有最小的反應性,所以暴露於氮前驅物可以發生於暴露於矽前驅物之前,暴露於矽前驅物期間,或暴露於矽前驅物之後。
適合的矽前驅物包括但不限於甲矽烷、乙矽烷、二氯矽烷(DCS、雙(二乙胺基)矽烷(BDEAS)、四(二甲胺基)矽烷(TDMAS)、及/或雙(第三丁胺基)矽烷(BTBAS)。在一些實施例中,矽前驅物包含二氯矽烷。在一或更多個實施例中,矽前驅物基本上由二氯矽烷組成,而這意指在原子的基礎上存在小於1%的二氯矽烷之外的矽物質。
適合的氮前驅物包括但不限於分子氮與氨。在氮前驅物暴露期間所採用的處理條件可以影響氮物質與表面上的矽物質的反應性。在一些實施例中,處理條件經配置以使得氮前驅物基本上僅與基板表面反應。如此處所使用,術語「基本上僅」意指氮前驅物與小於約10%的表面矽物質反應。
氮反應物係為在基板表面上形成氮化矽膜的物質。在一些實施例中,氮反應物提供用於形成氮化物膜(例如,SiN)的氮原子。在一或更多個實施例中,氮反應物不包括含氮物質,並藉由促進表面物質之間的反應而形成氮化物膜。適合的氮反應物包括但不限於氮電漿、氨電漿、包含氫、氮、氨、氦、氬、或氧中之二或更多者的混合物的電漿。在一些實施例中,氮反應物包括氬與氨的電漿、或氬與氮的電漿、或氬與氧的電漿、或氦與氨的電漿。在一些實施例中,氮反應物包含不與表面上的氮物質反應的氮的電漿。在一些實施例中,氮反應物包含氫與氬的電漿、或氫與氮的電漿、或氫與氦的電漿、或氫與氨的電漿、或氫與氧的電漿。
如第6C圖所示,在形成膜350(例如,氮化矽)之後,利用具有高離子濃度的電漿360加工膜350。電漿360可以是定向電漿。如在本說明書與所附專利申請範圍中所使用的術語「定向電漿」係指稱存在於電漿中的能量物質(離子與自由基)在特定方向上移動。舉例而言,在第6C圖中,電漿360係圖示為向下移動,而使得能量物質能夠接觸頂表面320與底表面330上的膜350,但與側壁340上的膜350具有最小的接觸。
具有高離子濃度的電漿具有大於或等於約1010 /cm3 的濃度。在一或更多個實施例中,具有高離子濃度的電漿具有大於或等於約109 /cm3 、1011 /cm3 、1012 /cm3 、1013 /cm3 、或1014 /cm3 的濃度。
定向電漿可以形成為遠端電漿,其中電漿物質在基板表面遠端激發,並朝向基板表面流動。基板與基板支撐件可以不是用於產生電漿的電路徑的一部分。定向電漿亦可以形成為直接電漿,其中基板或基板支撐件可在電漿形成中充當電極。直接電漿大致是擴散電漿,並可以藉由向基板施加偏壓而定向,而使得離子被吸引到基板並朝向基板移動。
如第6D圖所示,利用具有高離子濃度的電漿對膜350加工,以修改膜350在頂部320與底部330的性質。在一些實施例中,改變膜350的性質以形成經修改的頂部膜352與經修改的底部膜353,而影響稀釋HF(1%)中的濕式蝕刻速率,而可從特徵310蝕刻經修改的頂部膜352與經修改的底部膜353,而基本上不影響側壁膜354。在一些實施例中,該加工損傷特徵310的頂部與底部上的膜350,而可以相對於側壁選擇性蝕刻膜。
在一些實施例中,經修改的頂部膜352與經修改的底部膜353的濕式蝕刻速率大於或等於約15Å/分。在一些實施例中,經修改的頂部膜352與經修改的底部膜353的濕式蝕刻速率大於或等於約20Å/分或25Å/分。在一些實施例中,經修改的頂部膜352與經修改的底部膜353的濕式蝕刻速率大於側壁膜354的濕式蝕刻速率的2倍,或大於3、4、5、6、7、8、9、10、11、12、13、14、15倍。
用於加工的電漿可以是能夠修改膜性質的任何適合的電漿(例如,直接或遠端)。在一些實施例中,加工包含氫、氬、氮、氨、氧、或氦中之一或更多者。
用於加工的時間量可以影響對特徵的頂部與底部上的膜所造成的損傷量。電漿物質與功率可以影響對膜造成的損傷的深度。對膜造成更深的損傷的加工處理可以比對膜造成更淺損傷的加工處理更少重複。舉例而言,He/NH3 電漿可以造成比Ar/NH3 電漿更深的損傷,而使得在利用He/NH3 電漿加工之前,所沉積的膜可以更厚。
可以重複膜350的形成與加工,直到已形成具有預定總厚度的膜。可以依次重複膜形成處理中的每一步驟,以形成用於加工的膜。然後,可以加工該膜,並重複該處理。在一些實施例中,利用電漿360對膜350加工係發生在沉積具有約1Å至約50Å的範圍內的厚度的膜之後。換言之,在沉積約1Å至約50Å之後,對膜350加工。
在該方法的一些實施例中,蝕刻膜350。相對於側壁膜354選擇性蝕刻經修改的頂部膜352與經修改的底部膜353。如此處所使用,選擇性蝕刻意指頂部與底部的蝕刻的量、速率、或程度大於側壁的蝕刻。在一些實施例中,利用稀釋HF對膜蝕刻,以從特徵的頂部與底部選擇性移除膜。第6E圖圖示蝕刻處理的結果。儘管圖式圖示特徵310的頂部及底部與側壁膜354的正方形角部,但是該領域具有通常知識者將理解這僅為說明性,而邊緣與角部並非為完全直的或正方形的。
本揭示的一些實施例係關於使用批量處理腔室(亦稱為空間處理腔室)沉積間隔墊材料的處理。第1圖圖示處理腔室100之橫截面圖,處理腔室100包括氣體分配組件120(亦稱為噴射器或噴射器組件)與基座組件140。氣體分配組件120係為用於處理腔室中的任何類型的氣體遞送裝置。氣體分配組件120包括面向基座組件140的前表面121。前表面121可具有任何數目或種類的開口,以傳遞流動朝向基座組件140的氣體。氣體分配組件120亦包括外邊緣124,其在所示實施例中基本上為圓形。
所使用的氣體分配組件120的具體類型可以取決於正使用的特定處理而變化。本揭示之實施例可用於其中控制基座與氣體分配組件之間的間隙的任何類型的處理系統。儘管可採用各種類型的氣體分配組件(例如,噴淋頭),但本揭示之實施例可以特別有用於具有複數個基本上平行的氣體通道的空間氣體分配組件。如在此說明書及隨附申請專利範圍中所使用,術語「基本上平行」意指氣體通道的細長軸在大致相同的方向上延伸。在氣體通道的平行中可以存在輕微的瑕疵。在二元反應中,複數個基本上平行的氣體通道可包括至少一個第一反應性氣體A通道、至少一個第二反應性氣體B通道、至少一個淨化氣體P通道、及/或至少一個真空V通道。將來自第一反應性氣體A通道、第二反應性氣體B通道、及淨化氣體P通道的氣體流引導朝向晶圓的頂表面。氣體流中之一些者跨越整個晶圓的表面水平移動,並通過淨化氣體P通道水平移動出處理區域。從氣體分配組件的一端移動到另一端的基板將依序暴露於處理氣體之每一者,以形成基板表面上的層。
在一些實施例中,氣體分配組件120係為由單一噴射器單元製成的剛性固定主體。在一或更多個實施例中,如第2圖所示,氣體分配組件120係由複數個獨立扇區(例如,噴射器單元122)製成。單件體或多扇區體皆可用於本揭示的各種實施例。
基座組件140係定位於氣體分配組件120下方。基座組件140包括頂表面141以及頂表面141中的至少一個凹槽142。基座組件140亦具有底表面143與邊緣144。取決於正處理之基板60之形狀及大小,凹槽142可以是任何合適的形狀及大小。在第1圖所示的實施例中,凹槽142具有平坦底部,以支撐晶圓的底部;然而,凹槽的底部可以變化。在一些實施例中,凹槽具有環繞凹槽的外周邊緣的階段區域,並調整其大小以用於支撐晶圓的外周邊緣。舉例而言,取決於晶圓之厚度與晶圓背側上呈現的特徵之存在,可變化由階梯所支撐的晶圓之外周邊緣的量。
在一些實施例中,如第1圖所示,調整基座組件140的頂表面141中的凹槽142之大小,而使得支撐於凹槽142中的基板60具有與基座組件140之頂表面141實質上共面的頂表面61。如在此說明書及隨附申請專利範圍中所使用,術語「基本上共面」意指晶圓的頂表面及基座組件的頂表面係在±0.2mm內共面。在一些實施例中,頂表面係在±0.15mm、±0.10mm、或±0.05mm內共面。
第1圖之基座組件140包括能夠提起、降低、及旋轉基座組件140的支撐柱160。基座組件230可包括加熱器、或氣體接線、或在支撐柱160之中心內的電氣部件。支撐柱160可以是增加或減少在基座組件140與氣體分配組件120間的間隙之主要構件,以移動基座組件140至合適的位置。基座組件140亦可包括微調諧致動器162,可對基座組件140微調整,以建立基座組件140與氣體分配組件120之間的預定間隙170。
在一些實施例中,間隙170之距離係在約0.1mm至約5.0mm之範圍中、在約0.1mm至約3.0mm之範圍中、在約0.1mm至約2.0mm之範圍中、或在約0.2mm至約1.8mm之範圍中、或在約0.3mm至約1.7mm之範圍中、或在約0.4mm至約1.6mm之範圍中、或在約0.5mm至約1.5mm之範圍中、或在約0.6mm至約1.4mm之範圍中、或在約0.7mm至約1.3mm之範圍中、或在約0.8mm至約1.2mm之範圍中、或在約0.9mm至約1.1mm之範圍中、或約1mm。
圖式所示的處理腔室100係為旋轉料架型腔室,其中基座組件140可固持複數個基板60。如第2圖所示,氣體分配組件120可包括複數個分離的噴射器單元122,每一噴射器單元122能夠在晶圓於噴射器單元下方移動時,在晶圓上沉積膜。二個派形噴射器單元122係圖示為位於基座組件140上方的大約相對側上。噴射器單元122之此數目係僅用於說明目的而顯示。應理解可包括更多或更少噴射器單元122。在一些實施例中,有足夠數目的派形噴射器單元122以形成適合於基座組件140形狀的形狀。在一些實施例中,獨立派形噴射器單元122之每一者可獨立地移動、移除及/或置換而不影響其他噴射器單元122之任一者。舉例而言,可提高一個區段,以允許機器人到達基座組件140與氣體分配組件120之間的區域,以裝載/卸載基板60。
具有多個氣體噴射器之處理腔室可用以同時處理多個晶圓,而使得晶圓經歷相同的處理流程。舉例而言,如第3圖所示,處理腔室100具有四個氣體噴射器組件與四個基板60。在處理開始時,基板60可定位於噴射器組件之間。以45°旋轉17基座組件140將導致氣體分配組件120之間的每一基板60移動到氣體分配組件120用於膜沉積,如氣體分配組件120下方的虛線圓形所示。額外的45°旋轉將讓基板60移動遠離噴射器組件30。基板60與氣體分配組件120的數目可以相同或不同。在一些實施例中,正在處理的晶圓與氣體分配組件具有相同數目。在一或更多個實施例中,正在處理的晶圓數目係為氣體分配組件之數目的一小部分或整數倍數。舉例而言,若有四個氣體分配組件,則有4x個正在處理的晶圓,其中x係為大於或等於一的整數值。在示例性實施例中,氣體分配組件120包括藉由氣體簾幕分離的八個處理區域,而基座組件140可容納六個晶圓。
第3圖所示的處理腔室100僅為一個可能配置的代表,且不應視為限制本揭示之範疇。此處,處理腔室100包括複數個氣體分配組件120。在所示實施例中,具有以均勻間隔圍繞處理腔室100的四個氣體分配組件(亦稱為噴射器組件30)。所示處理腔室100係為八角形;然而,該領域具有通常知識者將瞭解此係為一個可能形狀,且不應視為限制本揭示之範疇。所示氣體分配組件120係為梯形的,但可以是單一圓形部件或由複數個派形區段組成,如第2圖所示。
第3圖所示的實施例包括裝載閘腔室180,或輔助腔室,如緩衝站。此腔室180連接至處理腔室100的一側,以允許例如讓基板(亦稱為基板60)從腔室100裝載/卸載。晶圓機器人可位於腔室180中,以將基板移動到基座上。
旋轉料架(例如,基座組件140)的旋轉可以連續或間歇(不連續)。在連續處理中,晶圓持續旋轉,而使得晶圓輪流暴露至噴射器之每一者。在非連續處理中,可將晶圓移動至噴射器區域並停止,而接著到噴射器之間的區域84並停止。舉例而言,旋轉料架可旋轉而使得晶圓從噴射器間區域移動而橫跨噴射器(或相鄰於噴射器而停止),且接著繼續到旋轉料架可再次暫停的下一個噴射器間區域。噴射器之間的暫停可提供在每一層沉積之間的額外處理步驟(例如,暴露於電漿)的時間。
第4圖圖示氣體分配組件220之扇區或部分,其可稱為噴射器單元122。噴射器單元122可獨立使用或與其他噴射器單元組合使用。舉例而言,如第5圖所示,第4圖的四個噴射器單元122經組合以形成單一氣體分配組件220。(為了清楚而未顯示分離四個噴射器的接線。)儘管第4圖的噴射器單元122除了淨化氣體埠155與真空埠145之外亦具有第一反應性氣體埠125與第二氣體埠135二者,然而噴射器單元122不需要所有這些部件。
參照第4圖與第5圖二者,根據一或更多個實施例的氣體分配組件220可包含複數個扇區(或噴射器單元122),且每一扇區係為相同或不同。氣體分配組件220係位於處理腔室內,且在氣體分配組件220之前表面121中包含複數個細長氣體埠125、135、145。複數個細長氣體埠125、135、145、155從相鄰於內周邊緣123之區域延伸朝向相鄰於氣體分配組件220之外周邊緣124之區域。所示複數個氣體埠包括第一反應性氣體埠125、第二氣體埠135、真空埠145、及淨化氣體埠155,該真空埠145環繞第一反應性氣體埠與第二反應性氣體埠之每一者。
參照第4圖或第5圖所示之實施例,當埠從至少大約內周區域延伸到至少大約外周區域時,然而,埠之延伸可較僅在徑向上從內至外區域更多。埠可在切線上延伸,如真空埠145環繞反應性氣體埠125與反應性氣體埠135。在照第4圖或第5圖所示之實施例中,楔形反應性氣體埠125、135在所有邊緣上由真空埠145環繞,包括與內周邊緣與外周邊緣相鄰處。
參照第4圖,隨著基板沿著路徑127移動,基板之每一部分係暴露於各種反應性氣體。沿著路徑127,基板暴露至(或「看到」)淨化氣體埠155、真空埠145、第一反應性氣體埠125、真空埠145、淨化氣體埠155、真空埠145、第二氣體埠135、及真空埠145。因此,在第4圖所示之路徑127之端點處,基板已暴露至第一反應性氣體125與第二反應性氣體135以形成一層。所示噴射器單元122形成四分之一圓,但可更大或更小。第5圖所示的氣體分配組件220可視為串聯連接的第4圖的四個噴射器單元122之組合。
第4圖的噴射器單元122圖示分離反應性氣體的氣體簾幕150。術語「氣體簾幕」係用於描述任何分離反應性氣體以免混合的氣流或真空的組合。第4圖所示之氣體簾幕150包含第一反應性氣體埠125旁邊的真空埠145之一部分、在中間的淨化氣體埠155、及第二氣體埠135旁邊的真空埠145之一部分。氣流及真空的此組合可用以防止或最小化第一反應性氣體與第二反應性氣體之氣相反應。
參照第5圖,來自氣體分配組件220之氣流及真空的組合形成對複數個處理區域250的分離。處理區域大致定義為環繞獨立氣體埠125、135,且在250之間具有氣體簾幕150。第5圖所示的實施例構成之間具有八個分離的氣體簾幕150的八個分離的處理區域250。處理腔室可具有至少二個處理區域。在一些實施例中,至少具有三、四、五、六、七、八、九、十、十一、或十二個處理區域。
在處理期間,基板可在任何給定時間暴露至一個以上的處理區域250。然而,暴露至不同處理區域的部分將具有分離二者的氣體簾幕。舉例而言,若基板之前邊緣進入包括第二氣體埠135的處理區域,則基板之中間部分將在氣體簾幕150下方,而基板之後邊緣將在包括第一反應性氣體埠125的處理區域中。
工廠介面280(舉例而言,可為裝載閘腔室)係圖示為連接至處理腔室100。基板60係圖示為疊加於氣體分配組件220之上,以提供參考框架。基板60通常可坐落於基座組件上,以固持在氣體分配板120的前表面121附近。基板60係經由工廠介面280裝載進入處理腔室100至基板支撐件或基座組件上(見第3圖)。基板60可圖示為位於處理區域內,因為基板係定位為與第一反應性氣體埠125相鄰,且在二個氣體簾幕150a、150b之間。沿著路徑127旋轉基板60將使基板以逆時針方向環繞處理腔室100。因此,基板60將暴露至第一處理區域250a到第八處理區域250h,並包括之間的所有處理區域。
本揭示之實施例係關於包含處理腔室100的處理方法,處理腔室100具有複數個處理區域250a-250h,其中每一處理區域係藉由氣體簾幕150與相鄰區域分離。舉例而言,第5圖所圖示的處理腔室。取決於氣流的佈置,處理腔室中的氣體簾幕與處理區域的數目可以是任何適當的數目。第5圖所示的實施例具有八個氣體簾幕150與八個處理區域250a-250h。
複數個基板60係位於基板支撐件上,例如,第1圖與第2圖所示之基座組件140。環繞處理區域旋轉複數個基板60,以用於處理。大體上,在整個處理中密合(氣流與真空)氣體簾幕150,包括沒有反應性氣體流入腔室期間。
因此,本揭示的一或更多個實施例係關於利用如第5圖所示的批量處理腔室的處理方法。將基板60放置於具有複數個區段250的處理腔室中,每一區段係藉由氣體簾幕150與相鄰區段分離。
該方法的一些實施例包含將其上具有特徵的基板表面暴露於沉積環境以沉積膜。如此處所使用,「沉積環境」包含獨立或依序沉積膜的一或更多個處理區域或處理條件。
在示例性處理中,基板表面的至少一部分係暴露於處理腔室的第一區段250a中的第一處理條件。一些實施例的第一處理條件包含矽前驅物,以形成矽膜。在一或更多個實施例中,第一處理條件包含二氯矽烷。
基板表面通過氣體簾幕150橫向移動至第二區段250b。矽層在第二區段250b中暴露於第二處理條件。一些實施例的第二處理條件包含氮前驅物,以形成氮膜。在一或更多個實施例中,第二處理條件包含氨。
基板表面從第二處理區域橫向移動至第三區段250c。一些實施例的第三區段250c包含第三處理條件,該第三處理條件包含在特徵的頂部、底部、及側壁上形成膜的反應物。第四區段250d可以包含惰性環境。在一些實施例中,第三區段250c包括惰性環境,而使得基本上不存在表面反應,而第四區段250d包含第三處理條件。在一或更多個實施例中,第三處理條件包含N2 /Ar電漿。
可以重複暴露於第一處理條件、第二處理條件、及第三處理條件,以形成具有預定厚度的膜。舉例而言,參照第5圖,第一區段250a與第五區段250e可包含第一處理條件;第二區段250b與第六區段250f可包含第二處理條件;第三區段250c與第七區段250g可包含惰性環境;以及第四區段250d與第八區段250h可包含第三處理條件。在此佈置中,基板的每一旋轉形成二個層。該領域具有通常知識者將理解,使用例如「第一」與「第二」之類的序數描述處理區域並非暗示處理腔室內的具體位置或處理腔室內的暴露順序。
一旦已形成預定厚度的層,第一處理條件、第二處理條件、及第三處理條件可以改變成惰性環境。舉例而言,第三區段250c中的惰性環境可以改變成包含高離子濃度電漿的加工環境,以選擇性降低特徵的頂部與底部上的氮化矽膜的濕式蝕刻速率。舉例而言,高離子濃度電漿可包含He/NH3 。在暴露於加工環境之後,處理腔室的獨立區段可以改變回到先前的設定,或者可停止該處理。
參照第7圖,一些實施例使用具有狹槽382的電漿組件380。電漿形成於電漿空腔384中,並通過狹槽382朝向基板表面300流動。第7圖所示的視圖為橫截面圖,其中狹槽382延伸出頁面。形成於電漿空腔384中的電漿流經的狹槽382具有邊緣386。在一些實施例中,相鄰於狹槽382的邊緣386的電漿具有高離子能量與濃度。
根據一或更多個實施例,基板在形成層之前及/或之後經受處理。此處理可在相同腔室中執行,或在一或更多個分離的處理腔室中執行。在一些實施例中,將基板從第一腔室移動至分離的第二腔室,以用於進一步處理。基板可從第一腔室直接移動至分離的處理腔室,或者可從第一腔室移動至一或更多個轉移腔室,而接著移動到分離的處理腔室。因此,處理設備可包含與轉移站連通的多個腔室。此種類的設備可指稱為「群集工具」或「群集系統」及類似者。
一般而言,群集工具係為模組化系統,該模組化系統包含執行多種功能的多個腔室,該等功能包括基板之定心及定向、退火、退火、沉積、及/或蝕刻。根據一或更多個實施例,群集工具至少包括第一腔室與中央轉移腔室。中央轉移腔室可容納機器人,該機器人可在處理腔室及裝載閘腔室之間梭運基板。轉移腔室通常維持在真空條件下,並提供中繼階段,該中繼階段用於從一個腔室梭運基板至位於群集工具之前端的另一腔室及/或裝載閘腔室。可調配用於本發明的二個已知群集工具係為Centura®及Endura®,二者均可得自Calif的Santa Clara的Applied Materials, Inc。然而,腔室之組合及確切配置可經修改以用於執行如本文中所描述之處理的特定步驟。其他可使用的處理腔室包括但不限於循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清洗、化學清洗、熱加工(如RTP)、電漿氮化、退火、定向、羥基化反應、及其他基板處理。藉由在群集工具上實現在腔室中的處理,可在沉積後續膜之前,在無氧化下防止具大氣雜質之基板的表面汙染。
根據一或更多個實施例,基板係連續地在真空下或「裝載閘」條件下,且在從一個腔室移動到下一腔室時不暴露至周圍空氣。因此,轉移腔室係在真空下,且在真空壓力下為「泵降」。惰性氣體可存在於處理腔室或轉移腔室中。在一些實施例中,惰性氣體係作為淨化氣體,以移除一些或全部的反應物。根據一或更多個實施例,將淨化氣體噴射於沉積腔室之出口處,用以避免反應物從沉積腔室移動至轉移腔室及/或額外的處理腔室。因此,惰性氣體之流動在腔室的出口處形成簾幕。
可在單一基板沉積腔室中處理基板,其中在處理另一基板之前裝載、處理、及卸載單一基板。亦可利用類似於輸送系統的連續方式處理基板,其中將多個基板獨立裝載至腔室的第一部分中,移動通過腔室,並且從腔室的第二部分卸載。腔室與相關聯的輸送器系統的形狀可以形成直線路徑或彎曲路徑。此外,處理腔室可以是旋轉料架,其中多個基板環繞中心軸線移動,並暴露於整個旋轉料架路徑的沉積、蝕刻、退火、清洗等的處理。
在處理期間,基板可經加熱或冷卻。此類加熱或冷卻可藉由任何合適的手段達成,包括但不限於改變基板支撐的溫度、及將經加熱或經冷卻的氣體流至基板表面。在一些實施例中,基板支撐包括加熱器/冷卻器,該加熱器/冷卻器可經控制用以利用傳導方式改變基板溫度。在一或更多個實施例中,所採用氣體(反應性氣體或惰性氣體)經加熱或冷卻以局部改變基板溫度。在一些實施例中,加熱器/冷卻器係位於鄰近於基板表面的腔室內,以利用傳導方式改變基板溫度。
基板在處理期間亦可靜止或旋轉。旋轉的基板可連續地或以離散步進方式旋轉。舉例而言,基板可在整個處理過程中旋轉,或基板可在暴露於不同活性或淨化氣體之之間小量旋轉。在處理期間旋轉基板(連續或步進式)可以有助於藉由最小化例如氣流幾何的局部可變性的效應,而產生更均勻的沉積或蝕刻。
在原子層沉積型腔室中,基板可以在空間或時間上分離的處理中暴露於第一與第二前驅物。時間ALD為傳統處理,其中第一前驅物流入腔室中而與表面反應。在流動第二前驅物之前,從腔室淨化第一前驅物。在空間ALD中,第一與第二前驅物同時流至腔室,但在空間上分離,而使得在流動之間存在防止前驅物混合的區域。在空間ALD中,基板相對於氣體分配板移動,或反之亦然。
在該等方法的一或更多個部分在一個腔室中進行的實施例中,該方法可以是空間ALD處理。儘管上述一或更多個化學品可能不相容(亦即,導致基板表面上及/或腔室上的沉積之外的反應),但空間分離確保試劑並未暴露於氣相中之每一者。舉例而言,時間ALD涉及淨化沉積腔室。然而,在實施中,有時候不可能在額外試劑流入之前將過量試劑從腔室中淨化。因此,腔室中的任何剩餘試劑可能反應。利用空間分離,不需要淨化過量試劑,並讓交叉污染受到限制。此外,淨化腔室會使用大量時間,而因此藉由消除淨化步驟可以增加產量。
整個本說明書引用「一個實施例」、「某些實施例」、「一或更多個實施例」、或「實施例」意指結合實施例描述的特定特徵、結構、材料、或特性係包括在本揭示的至少一個實施例中。因此,整個本說明書的各處中出現的如「在一或更多個實施例中」、「在某些實施例中」、「在一個實施例中」、或「在實施例中」的短語不一定指稱本揭示的相同實施例。此外,在一或更多個實施例中,特定特徵、結構、材料、或特性可以利用任何合適的方式組合。
儘管已參照特定實施例而描述本揭示,但應理解,這些實施例僅為本揭示之原理與應用的說明。而該領域具有通常知識者將理解,在不悖離本揭示的精神與範圍的情況下,可以對本揭示的方法與設備進行各種修改及變化。因此,本揭示意欲包括在隨附專利請求範圍及其等同物的範圍內的修改及變化。
17‧‧‧旋轉60‧‧‧基板100‧‧‧處理腔室120‧‧‧氣體分配板121‧‧‧前表面122‧‧‧噴射器單元123‧‧‧內周邊緣124‧‧‧外周邊緣125‧‧‧第一反應性氣體埠127‧‧‧路徑135‧‧‧第二氣體埠140‧‧‧基座組件141‧‧‧頂表面142‧‧‧凹槽143‧‧‧底表面144‧‧‧邊緣145‧‧‧真空埠150‧‧‧氣體簾幕155‧‧‧淨化氣體埠160‧‧‧支撐柱162‧‧‧微調諧致動器170‧‧‧間隙180‧‧‧腔室250‧‧‧處理區域250a‧‧‧第一處理區域250b‧‧‧第二處理區域250c‧‧‧第三處理區域250d‧‧‧第四處理區域250e‧‧‧第五處理區域250f‧‧‧第六處理區域250g‧‧‧第七處理區域250h‧‧‧第八處理區域280‧‧‧工廠介面300‧‧‧基板表面310‧‧‧特徵320‧‧‧頂部330‧‧‧底部340‧‧‧側壁350‧‧‧膜352‧‧‧頂部膜353‧‧‧底部膜354‧‧‧側壁膜360‧‧‧電漿382‧‧‧狹槽384‧‧‧電漿空腔386‧‧‧邊緣
為使本揭示的上述特徵可詳細地被理解,本揭示(簡短概要如上)的更特定描述可參照實施例而得,該等實施例之一些係繪示於隨附圖式中。然而,應注意隨附圖式僅圖示本揭示之典型實施例,而非視為限定本揭示的保護範疇,因為本揭示可接納其他等效實施例。
第1圖圖示根據本揭示之一或更多個實施例的批量處理腔室的橫截面圖;
第2圖圖示根據本揭示之一或更多個實施例的批量處理腔室的局部透視圖;
第3圖圖示根據本揭示之一或更多個實施例的批量處理腔室的示意圖;
第4圖圖示根據本揭示之一或更多個實施例的用於批量處理腔室中的楔形氣體分配組件的一部分的示意圖;
第5圖圖示根據本揭示之一或更多個實施例的批量處理腔室的示意圖;以及
第6A至6E圖圖示根據本揭示之一或更多個實施例的處理方法;以及
第7圖圖示根據本揭示之一或更多個實施例的具有狹槽的電漿組件的橫截面的示意圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無
300‧‧‧基板表面
320‧‧‧頂部
330‧‧‧底部
340‧‧‧側壁
350‧‧‧膜
352‧‧‧頂部膜
353‧‧‧底部膜
354‧‧‧側壁膜

Claims (18)

  1. 一種處理方法,包含以下步驟:提供其上具有至少一個特徵的一基板表面,該至少一個特徵包含一頂部、一底部、及一側壁;在該至少一個特徵上形成一膜,而使得該膜形成於該頂部、該底部、及該側壁上;以及利用一電漿對該膜加工,以改變該至少一個特徵的該頂部與該底部上的該膜的一性質,而使得該至少一個特徵的該頂部與該底部具有大於該側壁上的該膜的10倍的一濕式蝕刻速率,其中對該膜加工之該步驟包含以下步驟:將該膜暴露於具有大於或等於約1010/cm3的一離子濃度的一定向電漿。
  2. 如請求項1所述之方法,進一步包含以下步驟:重複形成該膜,並依序加工該膜,以沉積一總厚度的一膜。
  3. 如請求項1所述之方法,其中該膜包含SiN。
  4. 如請求項1所述之方法,其中該電漿包含氫、氬、氮、氨、氧、或氦中之一或更多者。
  5. 如請求項1所述之方法,其中該定向電漿係為形成於一電漿組件中的一遠端電漿,該電漿組件具有帶有邊緣的一狹槽,該電漿流經該狹槽。
  6. 如請求項1所述之方法,其中形成該膜之該 步驟包含以下步驟:依序將該基板表面暴露於一矽前驅物與一氮反應物,以形成一氮化矽膜。
  7. 如請求項6所述之方法,其中該基板表面係在暴露於該矽前驅物與該氮反應物之間暴露於一氮前驅物,該氮前驅物包含不與該基板表面上的矽前驅物分子反應的一物質。
  8. 如請求項7所述之方法,其中形成該膜之該步驟包含以下步驟:依序將該基板表面暴露於包含二氯矽烷的一矽前驅物、包含氨的一氮前驅物、及包含一N2/Ar電漿或一H2/Ar電漿的一氮反應物。
  9. 如請求項7所述之方法,其中該電漿包含氨與氦。
  10. 如請求項9所述之方法,其中該至少一個特徵的該頂部與底部的該濕式蝕刻速率大於或等於約20Å/分。
  11. 如請求項1所述之方法,其中對該膜加工之該步驟係發生在沉積具有約1Å至約50Å的範圍內的一厚度的一膜之後。
  12. 如請求項1所述之方法,進一步包含以下步驟:利用稀釋HF蝕刻該膜,以從該至少一個特徵的該頂部與底部選擇性移除該膜。
  13. 一種處理方法,包含以下步驟: 將一基板表面定位於一處理腔室中,該基板表面其上具有至少一個特徵,該至少一個特徵具有一頂部、一底部、及側壁;將該基板表面暴露於包含至少一個沉積循環的一沉積環境,該沉積循環包含依序暴露於一矽前驅物與一含氮反應物,以在該至少一個特徵的該頂部、底部、及側壁上形成氮化矽膜;以及將該氮化矽膜暴露於一加工環境,以修改沉積於該至少一個特徵的該頂部與底部上的該氮化矽膜,而使得該頂部與底部的該膜具有大於該等側壁上的該膜的10倍的一濕式蝕刻速率,該加工環境包含具有大於或等於約1010/cm3的一離子濃度的一電漿。
  14. 如請求項13所述之方法,其中該沉積循環進一步包含將該基板表面在該矽前驅物與該氮反應物之間暴露於一氮前驅物。
  15. 如請求項14所述之方法,其中該矽前驅物包含二氯矽烷,該氮前驅物包含氨,而該氮反應物包含一N2/Ar電漿或一H2/Ar電漿。
  16. 如請求項15所述之方法,其中該加工環境包含一氨/氦電漿。
  17. 如請求項13所述之方法,進一步包含以下步驟:從該至少一個特徵的該頂部與底部選擇性蝕刻 該氮化矽膜。
  18. 一種處理方法,包含以下步驟:將具有帶有一特徵的一基板表面的一基板放置到包含複數個處理區域的一處理腔室中,每一處理區域係藉由一氣體簾幕與相鄰處理區域分離,該特徵包含一頂部、一底部、及一側壁;將該基板表面的至少一部分暴露於該處理腔室的一第一處理區域中的一第一處理條件,該第一處理條件包含一矽前驅物;將該基板表面通過一氣體簾幕橫向移動至該處理腔室的一第二處理區域;將該基板表面暴露於該處理腔室的該第二處理區域中的一第二處理條件,該第二處理條件包括一氮前驅物;將該基板表面通過一氣體簾幕橫向移動至該處理腔室的一第三處理區域;將該基板表面暴露於該處理腔室的該第三處理區域中的一第三處理條件,該第三處理條件包含一氮反應物,以在該特徵的該頂部、底部、及側壁上形成一氮化矽膜;重複暴露於該第一處理條件、該第二處理條件、及該第三處理條件,以形成具有一預定厚度的一氮化矽 膜;以及將該基板表面移動至該處理腔室的一第四處理區域,該第四處理區域包含一加工環境,該加工環境包含具有大於或等於約1010/cm3的一離子濃度的一電漿,以選擇性降低該特徵的該頂部與底部上的該氮化矽膜的一濕式蝕刻速率。
TW106107925A 2016-03-13 2017-03-10 用於間隔墊應用之氮化矽薄膜的選擇性沉積 TWI722132B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662307537P 2016-03-13 2016-03-13
US62/307,537 2016-03-13

Publications (2)

Publication Number Publication Date
TW201800598A TW201800598A (zh) 2018-01-01
TWI722132B true TWI722132B (zh) 2021-03-21

Family

ID=59787037

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106107925A TWI722132B (zh) 2016-03-13 2017-03-10 用於間隔墊應用之氮化矽薄膜的選擇性沉積

Country Status (6)

Country Link
US (1) US10319583B2 (zh)
JP (1) JP6946320B2 (zh)
KR (1) KR102293218B1 (zh)
CN (1) CN108780735B (zh)
TW (1) TWI722132B (zh)
WO (1) WO2017160647A1 (zh)

Families Citing this family (301)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170218517A1 (en) * 2016-02-01 2017-08-03 Tokyo Electron Limited Method of forming nitride film
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6584347B2 (ja) * 2016-03-02 2019-10-02 東京エレクトロン株式会社 成膜方法
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) * 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10763108B2 (en) * 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
TWI793218B (zh) * 2017-12-16 2023-02-21 美商應用材料股份有限公司 使用低頻偏壓作介電膜的幾何選擇性沉積的處理腔室及方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
TWI812475B (zh) 2018-09-29 2023-08-11 美商應用材料股份有限公司 具有精確溫度和流量控制的多站腔室蓋
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US10629451B1 (en) * 2019-02-01 2020-04-21 American Air Liquide, Inc. Method to improve profile control during selective etching of silicon nitride spacers
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR102656227B1 (ko) * 2019-12-19 2024-04-09 주식회사 원익아이피에스 반도체 소자 제조방법 및 그 방법에 의하여 제조된 반도체 소자
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11930637B2 (en) 2020-06-19 2024-03-12 Applied Materials, Inc. Confined charge trap layer
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11276570B2 (en) * 2020-07-22 2022-03-15 Applied Materials, Inc. Multi-layer deposition and treatment of silicon nitride films
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11551926B2 (en) 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TW202335080A (zh) * 2021-10-18 2023-09-01 美商應用材料股份有限公司 形成金屬氮化物膜之方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US20150162185A1 (en) * 2013-12-11 2015-06-11 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02133926A (ja) * 1988-11-15 1990-05-23 Sanyo Electric Co Ltd 半導体装置の製造方法
JP2000294537A (ja) * 1999-04-07 2000-10-20 Mitsubishi Electric Corp エッチング装置およびエッチング方法
US6461923B1 (en) * 1999-08-18 2002-10-08 Advanced Micro Devices, Inc. Sidewall spacer etch process for improved silicide formation
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
KR20060053784A (ko) * 2004-11-17 2006-05-22 삼성전자주식회사 스페이서 나이트라이드 막질을 개선시킬 수 있는 반도체소자의 제조 방법
JP2008084899A (ja) * 2006-09-26 2008-04-10 Elpida Memory Inc 半導体装置の製造方法
US7709396B2 (en) * 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
US7994002B2 (en) * 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US8637411B2 (en) * 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) * 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8716154B2 (en) * 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
KR101975071B1 (ko) * 2011-09-23 2019-05-03 노벨러스 시스템즈, 인코포레이티드 플라즈마 활성화된 컨포멀 유전체 막 증착
JP2013093451A (ja) * 2011-10-26 2013-05-16 Elpida Memory Inc 半導体装置の製造方法
KR20140143151A (ko) * 2012-03-15 2014-12-15 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US8946014B2 (en) * 2012-12-28 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device structure and methods of making same
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US8871651B1 (en) * 2013-07-12 2014-10-28 Globalfoundries Inc. Mask formation processing
US9184029B2 (en) * 2013-09-03 2015-11-10 Lam Research Corporation System, method and apparatus for coordinating pressure pulses and RF modulation in a small volume confined process reactor
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US9379021B2 (en) * 2013-10-03 2016-06-28 Applied Materials, Inc. Method to reduce K value of dielectric layer for advanced FinFET formation
JP2017503079A (ja) * 2014-01-05 2017-01-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積又はパルス化学気相堆積を使用する膜堆積
US20150200042A1 (en) * 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source
KR102486400B1 (ko) * 2014-01-13 2023-01-09 어플라이드 머티어리얼스, 인코포레이티드 공간적인 원자 층 증착에 의한 자기-정렬 이중 패터닝
US9171736B2 (en) * 2014-03-03 2015-10-27 Tokyo Electron Limited Spacer material modification to improve K-value and etch properties
TWI670394B (zh) 2014-09-10 2019-09-01 美商應用材料股份有限公司 空間原子層沈積中的氣體分離控制
WO2017160649A1 (en) * 2016-03-13 2017-09-21 Applied Materials, Inc. Methods and apparatus for selective dry etch

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US20150162185A1 (en) * 2013-12-11 2015-06-11 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials

Also Published As

Publication number Publication date
KR102293218B1 (ko) 2021-08-23
CN108780735B (zh) 2023-04-21
JP2019511118A (ja) 2019-04-18
KR20180116456A (ko) 2018-10-24
JP6946320B2 (ja) 2021-10-06
TW201800598A (zh) 2018-01-01
US10319583B2 (en) 2019-06-11
CN108780735A (zh) 2018-11-09
US20170263437A1 (en) 2017-09-14
WO2017160647A1 (en) 2017-09-21

Similar Documents

Publication Publication Date Title
TWI722132B (zh) 用於間隔墊應用之氮化矽薄膜的選擇性沉積
TWI700745B (zh) 用於選擇性乾式蝕刻的方法及設備
US20220059362A1 (en) Self-Aligned Double Patterning With Spatial Atomic Layer Deposition
CN108140578B (zh) 通过表面毒化处理的由下而上的间隙填充
CN110088875B (zh) 无成核的间隙填充ald工艺
JP2017531921A (ja) 2層aldを用いた正確な限界寸法制御
US11515144B2 (en) In-situ film annealing with spatial atomic layer deposition
KR20200024352A (ko) 금속 산화물 후처리를 위한 방법들
US11515145B2 (en) Deposition of silicon boron nitride films
US11978625B2 (en) Methods of forming metal nitride films
US20230123038A1 (en) Methods Of Forming Metal Nitride Films