JP2019511118A - スペーサ用の窒化ケイ素膜の選択的堆積 - Google Patents

スペーサ用の窒化ケイ素膜の選択的堆積 Download PDF

Info

Publication number
JP2019511118A
JP2019511118A JP2018548122A JP2018548122A JP2019511118A JP 2019511118 A JP2019511118 A JP 2019511118A JP 2018548122 A JP2018548122 A JP 2018548122A JP 2018548122 A JP2018548122 A JP 2018548122A JP 2019511118 A JP2019511118 A JP 2019511118A
Authority
JP
Japan
Prior art keywords
film
plasma
substrate surface
substrate
feature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018548122A
Other languages
English (en)
Other versions
JP6946320B2 (ja
Inventor
ニン リー,
ニン リー,
ミハエラ バルシーヌ,
ミハエラ バルシーヌ,
リー−クン シャ,
リー−クン シャ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2019511118A publication Critical patent/JP2019511118A/ja
Application granted granted Critical
Publication of JP6946320B2 publication Critical patent/JP6946320B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

特徴部の頂部、底部および側壁上に膜を堆積させることと、膜を処理して、特徴部の側壁上の膜に比べて、特徴部の頂部および底部から膜が選択的にエッチングされるように、特徴部の頂部および底部上の膜の特性を変化させることとを含む、スペーサを形成する方法。
【選択図】図6D

Description

[0001]本開示は、一般に、薄膜を堆積させる方法に関する。特に、本開示は、空間的ALDによる窒化ケイ素膜の選択的堆積のためのプロセスに関する。
[0002]誘電体層としての窒化ケイ素薄膜が、半導体製造プロセスにおいて広く使用されている。例えば、SiN膜は、最も高価なEUVリソグラフィ技術を使用することなく、より小さいデバイス寸法を達成するために、スペーサ材料としてマルチパターニングプロセスで使用される。さらに、SiNをゲートスペーサ材料として使用して、ゲート構造とコンタクト領域とを分離して、潜在的なリーク電流を最小化することができる。
[0003]従来の窒化ケイ素スペーサ製造プロセスは、3次元構造(例えば、フィン)上の共形のSiN膜の堆積と、それに続く、側壁膜をスペーサとして維持しながら頂部および底部層を除去するための指向性プラズマドライエッチングとを含む。しかしながら、ドライエッチングプロセスは、側壁表面を潜行的に損傷し、膜特性を変化させ、最終的にデバイスの性能と歩留まりに影響を及ぼす可能性があることが判明している。
[0004]したがって、選択的スペーサ膜を堆積するプロセスが、当該技術分野において必要とされている。
[0005]本開示の1つ以上の実施形態は、処理方法に関する。その上に少なくとも1つの特徴部を有する基板表面が、用意される。少なくとも1つの特徴部は、頂部、底部および側壁を備える。膜が、頂部、底部および側壁上に形成されるように、少なくとも1つの特徴部上に形成される。この膜は、プラズマで処理されて、特徴部の頂部および底部が、側壁上の膜よりも高いウェットエッチング速度を有するように、特徴部の頂部および底部上の膜の特性を変化させる。
[0006]本開示のさらなる実施形態は、処理チャンバ内に基板表面を配置することを含む処理方法に関する。基板表面は、その上に少なくとも1つの特徴部を有する。少なくとも1つの特徴部は、頂部、底部および側壁を有する。基板表面は、少なくとも1回の堆積サイクルを含む堆積環境に曝される。堆積サイクルは、少なくとも1つの特徴部の頂部上、底部上および側壁上に窒化ケイ素膜を形成するための、ケイ素前駆体および窒素含有反応物への順次的な曝露を含む。窒化ケイ素膜が、処理環境に曝され、少なくとも1つの特徴部の頂部上および底部上に堆積された窒化ケイ素膜を、頂部上および底部上の膜が側壁上の膜よりも高いウェットエッチング速度を有するように、改質する。処理環境は、高いイオン濃度を有するプラズマを含む。
[0007]本開示のさらなる実施形態は、特徴部を有する基板表面を有する基板を、複数のプロセス領域を含む処理チャンバ内に配置することを含む処理方法に関する。各プロセス領域は、ガスカーテンによって隣接するプロセス領域から分離されている。特徴部は、頂部、底部および側壁を備える。基板表面の少なくとも一部が、処理チャンバの第1のプロセス領域内で第1のプロセス条件に曝される。第1のプロセス条件は、ケイ素前駆体を含む。基板表面は、ガスカーテンを通って処理チャンバの第2のプロセス領域に横方向に移動される。基板表面は、処理チャンバの第2のプロセス領域内で第2のプロセス条件に曝される。第2のプロセス条件は、窒素前駆体を含む。基板表面は、ガスカーテンを通って処理チャンバの第3のプロセス領域に横方向に移動される。基板表面は、処理チャンバの第3のプロセス領域内で第3のプロセス条件に曝される。第3のプロセス条件は、特徴部の頂部上、底部上および側壁上に窒化ケイ素膜を形成するための窒素反応物を含む。第1のプロセス条件、第2のプロセス条件および第3の条件への曝露を繰り返して、所定の厚さの窒化ケイ素膜を形成する。基板表面は、処理チャンバの第4のプロセス領域に移動される。第4のプロセス領域は、特徴部の頂部上および底部上の窒化ケイ素膜のウェットエッチング速度を選択的に減少させる高イオン濃度プラズマを含む処理環境を含む。
[0008]本開示の上記の特徴が詳細に理解できるように、実施形態を参照することにより、上記で簡潔に要約された本開示のより詳細な説明を得ることができ、実施形態のいくつかが、添付の図面に示される。しかしながら、添付の図面は、本開示の典型的な実施形態のみを示しており、したがって、本開示が他の同等に有効な実施形態を認めることができるため、その範囲を限定するものとみなされるべきではないことに留意されたい。
本開示の1つ以上の実施形態によるバッチ処理チャンバの断面図を示す。 本開示の1つ以上の実施形態によるバッチ処理チャンバの部分斜視図を示す。 本開示の1つ以上の実施形態によるバッチ処理チャンバの概略図を示す。 本開示の1つ以上の実施形態によるバッチ処理チャンバで使用するためのくさび形ガス分配アセンブリの一部分の概略図を示す。 本開示の1つ以上の実施形態によるバッチ処理チャンバの概略図を示す。 本開示の1つ以上の実施形態による処理方法を示す。 本開示の1つ以上の実施形態による処理方法を示す。 本開示の1つ以上の実施形態による処理方法を示す。 本開示の1つ以上の実施形態による処理方法を示す。 本開示の1つ以上の実施形態による処理方法を示す。 本開示の1つ以上の実施形態による、スロットを有するプラズマアセンブリの断面の概略図を示す。
[0016]本開示のいくつかの例示的な実施形態を説明する前に、本開示は、以下の説明に記載される構成またはプロセスステップの詳細に限定されないことを理解されたい。本開示は、他の実施形態が可能であり、様々な方法で実施され、または実行されることが可能である。
[0017]本明細書で使用される「基板」は、製造プロセス中にその上で膜処理が行われる任意の基板または基板上に形成された材料表面を指す。例えば、その上で処理を実行することができる基板表面は、用途に応じて、ケイ素、酸化ケイ素、ストレインドシリコン、シリコンオンインシュレータ(SOI)、炭素がドープされた酸化ケイ素、アモルファスシリコン、ドープされたケイ素、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、ならびに金属、金属窒化物、金属合金、および他の導電性材料などの任意の他の材料を含む。基板には、半導体ウェハが含まれるが、これに限定されない。基板は、基板表面を研磨、エッチング、還元、酸化、ヒドロキシル化、アニールおよび/またはベークする前処理プロセスに曝されてもよい。本開示では、基板自体の表面上に直接に膜処理することに加えて、開示された膜処理ステップのいずれもが、以下でより詳細に開示されるように、基板上に形成された下地層で実施されてもよく、用語「基板表面」は、文脈が示すような下地層を含むことが意図される。したがって、例えば、膜/層または部分的な膜/層が、基板表面上に堆積された場合、新たに堆積された膜/層の露出表面が、基板表面となる。
[0018]本明細書および添付の特許請求の範囲において使用される場合、用語「前駆体」、「反応物」、「反応性ガス」などは、交換可能に使用され、基板表面と反応し得る任意のガス種を指す。
[0019]本開示のいくつかの実施形態は、異なる化学物質またはプラズマガスの導入に使用され得る複数のガス入口チャネルを有する反応チャンバを使用するプロセスに関する。空間的に、これらのチャネルは、望ましくない気相反応を避けるために異なるチャネルからのガスの混合を最小限に抑えるまたは排除するガスカーテンを生成する不活性パージガスおよび/または真空ポンピング孔によって分離される。空間的に分離されたこれらの異なるチャネルを通って移動するウェハは、異なる化学的またはプラズマ環境への順次的な複数の表面曝露を受け、空間的ALDモードにおける層毎の膜成長または表面エッチングプロセスが生じる。いくつかの実施形態において、処理チャンバは、ガス分配構成要素上にモジュール構造を有し、各モジュール構成要素は、独立したパラメータ制御(例えばRFまたはガス流)を有し、例えばガス流および/またはRF曝露を制御する柔軟性を提供する。
[0020]本開示のいくつかの実施形態は、空間的構造を使用し、基板表面上で第1の化学物質添加を行い、続いて、添加された化学物質と反応して膜を形成するための第2の化学的曝露を行い、続いて第3の追加の後処理プロセスを行なうことを含む。使用中、本開示の実施形態は、インシトゥ後処理に曝され得るALD層を有する。いくつかの実施形態において、処理は1回行われる。いくつかの実施形態において、処理は、サイクルごとに使用されてもよい。処理の最小量は、堆積サイクル1回ごとから100回ごとまたはそれ以上の範囲であり得る。
[0021]本開示のいくつかの実施形態は、3次元構造上の堆積位置に基づいて異なる膜特性を可能にする選択的SiN堆積方法に関する。例えば、構造の頂部および底部に堆積した膜は、構造の側壁に堆積した膜とは異なる膜特性を有するように処理することができる。本開示のいくつかの実施形態は、ウェットエッチングが、膜の一部分(例えば、頂部および底部)を選択的に除去しながら、膜の他の部分(例えば、側壁)をスペーサとして残すことができる膜を形成する方法を、有利に提供する。本開示のいくつかの実施形態は、有利には、単一の処理チャンバ内で実行される。
[0022]本開示の様々な実施形態は、窒化ケイ素膜の堆積に関して説明されているが、当業者であれば、本開示はそれに限定されないことを理解するであろう。スペーサを残すために、他の膜を堆積し、処理し、エッチングすることができる。
[0023]いくつかの実施形態において、窒化ケイ素の選択的堆積は、単一の処理チャンバ内における2つのプロセス:SiN膜堆積とプラズマ処理を含む。バッチ処理チャンバを使用して、空間的原子層堆積(ALD)シーケンス:ケイ素前駆体曝露;窒素前駆体曝露(熱またはプラズマ);例えば、N、NH、HまたはOガス(ArまたはHeなどの不活性ガスと混合することができる)によるRFプラズマ処理、を処理することができる。ケイ素前駆体および窒素前駆体は、共形のSiN膜を形成し、プラズマ処理は、特徴部の頂部および底部の膜を改質する。いくつかの実施形態では、RFプラズマ処理は、膜に対して指向性処理効果を有するように構成されたハードウェアを使用する。プラズマ処理プロセスは、膜表面にN−H結合またはSi−O結合のいずれかを形成し、処理時間およびRF電力に基づく深さまで膜に浸透することができる。これは、特徴部の頂部と底部でより高いウェットエッチング速度を生成することが分かっている。堆積層の厚さおよびプラズマ処理レベルは、ウェットエッチング速度の選択性を高めるように調整することができる。いくつかの実施形態において、選択的堆積は、約200℃〜約550℃の範囲のウェハ温度で行われる。1つ以上の実施形態は、堆積された膜が特徴部の側壁とは異なる膜特性を頂部/底部で示し、共形のドライエッチングが膜の頂部/底部を選択的に除去することができるため、物理的な衝撃などの指向性ドライエッチング方法が任意選択である膜を、有利に提供する。
[0024]図6A〜図6Eを参照すると、本開示の1つ以上の実施形態は、その上に特徴部310を有する基板表面300上にスペーサ膜を堆積させる処理方法に関する。特徴部310は、膜を堆積させることができる任意の3次元構造であってよい。図面に示される特徴部310は、マンドレルである。しかしながら、当業者であれば、これは単に1つの可能な構造を表すにすぎないことを理解するであろう。適切な特徴部310には、リッジ、トレンチおよびビアが含まれるが、これらに限定されない。
[0025]特徴部310は、頂部320と、底部330と、側壁340とを含む。図示の実施形態では、特徴部310は、特徴部310の両側に2つの側壁340を有する。特徴部310は、頂部320および底部330によって画定される高さと、側壁340間の距離によって画定される幅とを有する。
[0026]少なくとも1つの特徴部310を有する基板表面300が、用意される。本明細書および添付の特許請求の範囲において使用される場合、このように使用される「用意される」という用語は、基板表面300が処理のための環境に置かれる、または配置されることを意味する。
[0027]図6Bに示すように、少なくとも1つの特徴部310上に膜が形成されるように、基板表面300上に膜350が形成される。膜350は、特徴部310の頂部320、底部330および側壁340上に形成される。いくつかの実施形態では、膜350は、特徴部310上に共形に形成される。本明細書で使用される「共形の」または「共形に」という用語は、膜の平均厚さに対して1%未満のばらつきを有する厚さで、露出表面に付着し、均一に覆う層を指す。例えば、1000Åの厚さの膜は、10Å未満の厚さのばらつきを有する。この厚さおよびばらつきには、縁部、コーナー部、側部、および凹部の底部が含まれる。例えば、本開示の様々な実施形態において、ALDによって堆積された共形層は、複雑な表面上の本質的に均一な厚さの、堆積領域にわたるカバレージを提供する。
[0028]いくつかの実施形態では、膜350はSiNを含む。SiNの形成は、原子層堆積(ALD)、プラズマ原子層堆積(PEALD)、化学気相堆積(CVD)およびプラズマ化学気相堆積(PECVD)を含むが、これに限定されない任意の適切な方法によって行うことができる。いくつかの実施形態では、膜350は、基板表面300がケイ素前駆体および窒素反応物に順次的に曝されるALDプロセスによって形成されたSiNである。これに関して使用される場合、「ケイ素前駆体」は基板の表面と反応して、ケイ素分子が基板表面上に残る。これに関して使用される場合、「窒素反応物」は、基板表面上のケイ素分子と反応する。
[0029]いくつかの実施形態では、ケイ素前駆体への曝露と窒素反応物への曝露との間に窒素前駆体へ曝露されて、SiN膜が基板表面300上に形成される。これに関して使用される場合、「窒素前駆体」は基板表面と反応し、基板表面上に既に存在するケイ素種とは最小限にしか反応しない。言い換えると、窒素前駆体は、基板表面上のケイ素前駆体分子と反応しない種を含む。窒素前駆体種およびケイ素前駆体種の両方が基板表面と反応し、互いとは最小限にしか反応しないので、窒素前駆体への曝露は、ケイ素前駆体への曝露の前、最中または後に行うことができる。
[0030]適切なケイ素前駆体としては、シラン、ジシラン、ジクロロシラン(DCS)、ビス(ジエチルアミノ)シラン(BDEAS)、テトラキス(ジメチルアミノ)シラン(TDMAS)および/またはビス(ターシャリーブチルアミノ)シラン(BTBAS)が挙げられるが、これらに限定されない。いくつかの実施形態では、ケイ素前駆体は、ジクロロシランを含む。1つ以上の実施形態において、ケイ素前駆体は、本質的にジクロロシランからなり、つまり、ジクロロシラン以外のケイ素種は、原子基準で1%未満である。
[0031]適切な窒素前駆体としては、分子窒素およびアンモニアが挙げられるが、これらに限定されない。窒素前駆体曝露中に使用されるプロセス条件は、窒素種と表面上のケイ素種との反応性に影響を及ぼし得る。いくつかの実施形態では、プロセス条件は、窒素前駆体が実質的に基板表面とだけ反応するように構成される。これに関して使用される場合、用語「実質的に〜だけ」は、窒素前駆体が表面ケイ素種の約10%未満と反応することを意味する。
[0032]窒素反応物は、基板表面に窒化ケイ素膜を形成する種である。いくつかの実施形態では、窒素反応物は、窒化物膜(例えば、SiN)の形成のための窒素原子を提供する。1つ以上の実施形態において、窒素反応物は、窒素含有種を含まず、表面種間の反応を促進することによって窒化物膜を形成する。適切な窒素反応物には、窒素プラズマ、アンモニアプラズマ、水素、窒素、アンモニア、ヘリウム、アルゴンまたは酸素のうちの2つ以上の混合物を含むプラズマが含まれるが、これらに限定されない。いくつかの実施形態では、窒素反応物は、アルゴンとアンモニアのプラズマ、またはアルゴンと窒素のプラズマ、またはアルゴンと酸素のプラズマ、またはヘリウムとアンモニアのプラズマを含む。いくつかの実施形態では、窒素反応物は、表面上の窒素種と反応する、窒素を含まないプラズマを含む。いくつかの実施形態では、窒素反応物は、水素とアルゴンのプラズマ、または水素と窒素のプラズマ、または水素とヘリウムのプラズマ、または水素とアンモニアのプラズマ、または水素と酸素のプラズマを含む。
[0033]図6Cに示すように、膜350(例えば、窒化ケイ素)の形成後、膜350は、高いイオン濃度を有するプラズマ360で処理される。プラズマ360は、指向性プラズマとすることができる。本明細書および添付の特許請求の範囲で使用される「指向性プラズマ」という用語は、プラズマ中に存在するエネルギー種(イオンおよびラジカル)が特定の方向に移動することを意味する。例えば、図6Cにおいて、プラズマ360は、下方に移動しているので、エネルギー種は、頂面320および底面330上の膜350に接触することができるが、側壁340上の膜350との接触は最小限であるのが、示されている。
[0034]高イオン濃度のプラズマは、約1010/cm以上の濃度を有する。1つ以上の実施形態において、高イオン濃度のプラズマは、約10/cm以上、約1011/cm以上、約1012/cm以上、約1013/cm以上、または約1014/cm以上の濃度を有する。
[0035]指向性プラズマは、プラズマ種が基板表面から離れたところで励起されて基板表面に向かって流れる遠隔プラズマとして、形成することができる。基板および基板支持体は、プラズマを生成するために使用される電気経路の一部でなくてもよい。指向性プラズマはまた、基板または基板支持体がプラズマ形成において電極として機能する直接プラズマとして、形成されてもよい。直接プラズマは、一般に拡散プラズマであり、イオンが基板に引き付けられて基板に向かって移動するように、基板にバイアスを印加することによって、指向性にすることができる。
[0036]図6Dに示すように、高イオン濃度を有するプラズマで膜350を処理すると、頂部320および底部330の膜350の特性が変更される。いくつかの実施形態において、改質された頂部膜352および改質された底部膜353を形成するように変更されている膜350の特性は、改質された頂部膜352および改質された底部膜353が、側壁膜354に実質的に影響を及ぼすことなく、特徴部310からエッチングされ得るように、希HF(1%)におけるウェットエッチング速度に影響を及ぼす。いくつかの実施形態において、頂部および底部の膜が側壁に対して選択的にエッチングされるように、処理は、特徴部310の頂部および底部の膜350に損傷を与える。
[0037]いくつかの実施形態において、改質された頂部膜352および改質された底部膜353のウェットエッチング速度は、約15Å/分以上である。いくつかの実施形態において、改質された頂部膜352および改質された底部膜353のウェットエッチング速度は、約20Å/分以上または約25Å/分以上である。いくつかの実施形態において、改質された頂部膜352および改質された底部膜353のウェットエッチング速度は、側壁膜354のウェットエッチング速度の2倍より大きく、または3,4,5,6,7,8,9,10,11,12,13,14,15倍より大きい。
[0038]処理に使用されるプラズマは、膜特性を変更することができる任意の適切なプラズマ(例えば、直接または遠隔)であってよい。いくつかの実施形態において、処理は、水素、アルゴン、窒素、アンモニア、酸素またはヘリウムのうちの1つ以上を含む。
[0039]処理に使用される時間の長さが、特徴部の頂部と底部の膜に生じる損傷の量に影響を与え得る。プラズマ種と電力が、膜に生じる損傷の深さに影響を与え得る。膜により深い損傷を引き起こす処理プロセスは、膜により浅い損傷を引き起こす処理プロセスよりも繰り返しを少なくすることができる。例えば、He/NHプラズマは、Ar/NHプラズマよりも深い損傷を引き起こし得るので、堆積された膜は、He/NHプラズマで処理する前に、より厚くてもよい。
[0040]膜350の形成および処理は、所定の総厚さの膜が形成されるまで、繰り返すことができる。膜形成プロセスの各ステップが、順次的に繰り返されて、処理用の膜を形成することができる。次いで、膜が処理され、プロセスを繰り返すことができる。いくつかの実施形態では、プラズマ360で膜350を処理することは、約1Å〜約50Åの範囲の厚さを有する膜が堆積された後に起こる。換言すれば、膜350は、約1Å〜約50Åが堆積された後に、処理される。
[0041]この方法のいくつかの実施形態では、膜350がエッチングされる。改質された頂部膜352および改質された底部膜353は、側壁膜354に対して選択的にエッチングされる。これに関して使用される場合、選択的エッチングとは、頂部および底部のエッチングの量、速度または程度が側壁のエッチングよりも大きいことを意味する。いくつかの実施形態では、特徴部の頂部および底部から膜を選択的に除去するために、膜が希HFでエッチングされる。図6Eは、エッチングプロセスの結果を示す。図面は、特徴部310の頂部および底部ならびに側壁膜354について直角のコーナー部を示しているが、当業者であれば、これは単なる例示であり、縁部およびコーナー部は完全に直線または直角というわけではないことを理解するであろう。
[0042]本開示のいくつかの実施形態は、空間的処理チャンバとも呼ばれるバッチ処理チャンバを使用してスペーサ材料を堆積させるプロセスに関する。図1は、インジェクタまたはインジェクタアセンブリとも呼ばれるガス分配アセンブリ120と、サセプタアセンブリ140とを含む処理チャンバ100の断面図を示す。ガス分配アセンブリ120は、処理チャンバ内で使用される任意のタイプのガス供給装置である。ガス分配アセンブリ120は、サセプタアセンブリ140に面する前面121を含む。前面121は、サセプタアセンブリ140に向かってガスの流れを供給するために、任意の数または種類の開口部を有することができる。ガス分配アセンブリ120はまた、図示の実施形態では実質的に丸い外縁部124を含む。
[0043]使用されるガス分配アセンブリ120の特定のタイプは、使用される特定のプロセスに依存して変わり得る。本開示の実施形態は、サセプタとガス分配アセンブリとの間の間隙が制御される任意のタイプの処理システムと共に使用することができる。様々なタイプのガス分配アセンブリ(例えば、シャワーヘッド)を使用することができるが、本開示の実施形態は、複数の実質的に平行なガスチャネルを有する空間的ガス分配アセンブリにおいて特に有用であり得る。本明細書および添付の特許請求の範囲において使用される場合、用語「実質的に平行」は、ガスチャネルの細長い軸が同じ一般的方向に延びていることを意味する。ガスチャネルの平行度は、わずかに不完全であってもよい。2成分反応において、複数の実質的に平行なガスチャネルは、少なくとも1つの第1の反応性ガスAチャネル、少なくとも1つの第2の反応性ガスBチャネル、少なくとも1つのパージガスPチャネルおよび/または少なくとも1つの真空Vチャネルを含むことができる。第1の反応性ガスAチャネル、第2の反応性ガスBチャネル、およびパージガスPチャネルから流れるガスは、ウェハの上面に向けられる。ガス流の一部は、ウェハの表面を横切って水平に移動し、パージガスPチャネルを通ってプロセス領域から出る。ガス分配アセンブリの一方の端から他方の端に移動する基板は、各プロセスガスに順に曝され、基板表面上に層を形成する。
[0044]いくつかの実施形態において、ガス分配アセンブリ120は、単一のインジェクタユニットで作られた静止した剛体である。1つ以上の実施形態において、ガス分配アセンブリ120は、図2に示すように、複数の個別の扇形部(例えば、インジェクタユニット122)で構成される。一体成形体または多扇形体のいずれかを、記載された開示の様々な実施形態と共に使用することができる。
[0045]サセプタアセンブリ140は、ガス分配アセンブリ120の下に配置される。サセプタアセンブリ140は、上面141と、上面141にある少なくとも1つの凹部142とを含む。サセプタアセンブリ140はまた、底面143および端面144を有する。凹部142は、処理される基板60の形状およびサイズに応じて、任意の適切な形状およびサイズとすることができる。図1に示す実施形態において、凹部142は、ウェハの底部を支持するために平坦な底部を有する。しかし、凹部の底部は、多様であってよい。いくつかの実施形態において、凹部は、凹部の外周縁部の周りに、ウェハの外周縁部を支持するように寸法決めされたステップ領域を有する。ステップによって支持されるウェハの外周縁部の量は、例えばウェハの厚さおよびウェハの裏面に既に存在する特徴部の存在に応じて変化し得る。
[0046]いくつかの実施形態では、図1に示すように、サセプタアセンブリ140の上面141の凹部142は、凹部142において支持された基板60がサセプタ140の上面141と実質的に同一平面上の上面61を有するように、寸法決めされる。本明細書および添付の特許請求の範囲で使用される「実質的に同一平面上」という用語は、ウェハの上面およびサセプタアセンブリの上面が±0.2mm以内で同一平面上にあることを意味する。いくつかの実施形態では、上面は、±0.15mm以内、±0.10mm以内、または±0.05mm以内で同一平面上にある。
[0047]図1のサセプタアセンブリ140は、サセプタアセンブリ140を持ち上げ、下降させ、回転させることができる支持ポスト160を含む。サセプタアセンブリは、支持ポスト160の中心内にヒータ、またはガスライン、または電気部品を含むことができる。支持ポスト160は、サセプタアセンブリ140とガス分配アセンブリ120との間の間隙を増加または減少させ、サセプタアセンブリ140を適切な位置に移動させる主要な手段とすることができる。サセプタアセンブリ140はまた、サセプタアセンブリ140とガス分配アセンブリ120との間に所定の間隙170を生成するようにサセプタアセンブリ140に対して微調整を行うことができる微調整アクチュエータ162を含むことができる。
[0048]いくつかの実施形態において、間隙170の距離は、約0.1mm〜約5.0mmの範囲内、または約0.1mm〜約3.0mmの範囲内、または約0.1mm〜約2.0mmの範囲内、または約0.2mm〜約1.8mmの範囲内、または約0.3mm〜約1.7mmの範囲内、または約0.4mm〜約1.6mmの範囲内、または約0.5mm〜約1.5mmの範囲内、または約0.6mm〜約1.4mmの範囲内、または約0.7mm〜約1.3mmの範囲内、または約0.8mm〜約1.2mmの範囲内、または約0.9mm〜約1.1mmの範囲内、または約1mmである。
[0049]図に示す処理チャンバ100は、サセプタアセンブリ140が複数の基板60を保持することができるカルーセル型チャンバである。図2に示すように、ガス分配アセンブリ120は、複数の別個のインジェクタユニット122を含むことができ、各インジェクタユニット122は、ウェハがインジェクタユニットの下を移動するときに、ウェハ上に膜を堆積することができる。2つのパイ形インジェクタユニット122が、サセプタアセンブリ140のほぼ向かい合う側でかつサセプタアセンブリ140の上方に位置するように示されている。インジェクタユニット122のこの数は、例示的な目的のためにのみ示されている。より多いまたはより少ないインジェクタユニット122を含めることができることが、理解されよう。いくつかの実施形態では、サセプタアセンブリ140の形状に一致する形状を形成するのに十分な数のパイ形インジェクタユニット122が存在する。いくつかの実施形態では、個々のパイ形インジェクタユニット122のそれぞれが、他のインジェクタユニット122のいずれにも影響を及ぼすことなく、独立して移動、除去、および/または交換され得る。例えば、ロボットがサセプタアセンブリ140とガス分配アセンブリ120との間の領域にアクセスして基板60をロード/アンロードすることを可能にするために、1つのセグメントを上昇させることができる。
[0050]複数のガスインジェクタを有する処理チャンバを使用して、複数のウェハを同時に処理して、ウェハが同じプロセスフローを経験するようにすることができる。例えば、図3に示すように、処理チャンバ100は、4つのガスインジェクタアセンブリと4つの基板60を有する。処理の開始時に、基板60をインジェクタアセンブリ30の間に配置することができる。サセプタアセンブリ140を45°回転させる17と、ガス分配アセンブリ120の下の点線の円で示すように、ガス分配アセンブリ120の間にある各基板60が、膜堆積のためにガス分配アセンブリ120に移動させられる。さらに45°回転すると、基板60はインジェクタアセンブリ30から離れて行く。基板60およびガス分配アセンブリ120の数は、同じであっても異なっていてもよい。いくつかの実施形態では、ガス分配アセンブリと同じ数のウェハが処理される。1つ以上の実施形態では、処理されるウェハの数は、ガス分配アセンブリの数の分数または整数倍である。例えば、4つのガス分配アセンブリがある場合、4x枚のウェハが処理されており、xは1以上の整数値である。例示的な実施形態において、ガス分配アセンブリ120は、ガスカーテンによって分離された8つのプロセス領域を含み、サセプタアセンブリ140は、6つのウェハを保持することができる。
[0051]図3に示す処理チャンバ100は、1つの可能な構成を表しているに過ぎず、本開示の範囲を限定するものとして解釈すべきではない。ここで、処理チャンバ100は、複数のガス分配アセンブリ120を含む。図示されている実施形態には、処理チャンバ100の周りに均等に間隔を置いて配置された4つのガス分配アセンブリ(インジェクタアセンブリ30とも呼ばれる)がある。図示されている処理チャンバ100は、八角形である。しかしながら、当業者は、これが1つの可能な形状であり、本開示の範囲を限定するものとして解釈されるべきではないことを理解するであろう。図示されているガス分配アセンブリ120は、台形であるが、単一の円形部品であってもよく、または図2に示すような複数のパイ形のセグメントから構成されてもよい。
[0052]図3に示す実施形態は、ロードロックチャンバ180、またはバッファステーションのような補助チャンバを含む。このチャンバ180は、処理チャンバ100の側部に接続され、例えば基板(基板60とも呼ばれる)がチャンバ100へロード/チャンバ100からアンロードされることを可能にする。基板をサセプタ上に移動させるために、ウェハロボットが、チャンバ180内に配置されてもよい。
[0053]カルーセル(例えば、サセプタアセンブリ140)の回転は、連続的であっても間欠的(不連続)であってもよい。連続処理では、ウェハは、各インジェクタに順に曝されるように、常に回転している。不連続処理では、ウェハをインジェクタ領域に移動させて停止させた後、インジェクタ間の領域84に移動させて停止させることができる。例えば、カルーセルは、ウェハがインジェクタ間領域からインジェクタを横切って(またはインジェクタに隣接して停止する)、次のインジェクタ間領域に移動するように、回転することができ、そこでカルーセルは、再び停止することができる。インジェクタ間での停止は、各層堆積の間に追加の処理ステップ(例えば、プラズマへの曝露)のための時間を提供することができる。
[0054]図4は、インジェクタユニット122と呼ぶことができる、ガス分配アセンブリ220の扇形部または一部分を示す。インジェクタユニット122は、個別に、または他のインジェクタユニットと組み合わせて、使用することができる。例えば、図5に示すように、図4のインジェクタユニット122の4つが組み合わされて、単一のガス分配アセンブリ220を形成する。(4つのインジェクタユニットを分ける線は、分かりやすくするために示していない。)図4のインジェクタユニット122は、パージガスポート155および真空ポート145に加えて、第1の反応性ガスポート125および第2のガスポート135の両方を有するが、インジェクタユニット122は、これらの構成要素のすべてを必要とするわけではない。
[0055]図4および図5の両方を参照すると、1つ以上の実施形態によるガス分配アセンブリ220は、各扇形部が同一または異なる複数の扇形部(またはインジェクタユニット122)を備えることができる。ガス分配アセンブリ220は、処理チャンバ内に配置され、ガス分配アセンブリ220の前面121に複数の細長いガスポート125,135,145を備える。複数の細長いガスポート125,135,145,155は、内周縁部123に隣接する領域から、ガス分配アセンブリ220の外周縁部124に隣接する領域に向かって延びている。示された複数のガスポートは、第1の反応性ガスポート125、第2のガスポート135、第1の反応性ガスポートおよび第2の反応性ガスポートのそれぞれを取り囲む真空ポート145、ならびにパージガスポート155を含む。
[0056]図4または図5に示された実施形態を参照すると、ポートが少なくとも内周領域の近くから少なくとも外周領域の近くまで延びていると述べた場合、しかしながら、ポートは、内側領域から外側領域へ半径方向にだけではなく、それ以上に延びることができる。ポートは、真空ポート145が反応性ガスポート125および反応性ガスポート135を取り囲むように、接線方向に延びることができる。図4および図5に示す実施形態において、くさび形の反応性ガスポート125,135は、内周領域および外周領域に隣接する縁部を含む全ての縁部で、真空ポート145によって囲まれている。
[0057]図4を参照し、基板が経路127に沿って移動すると、基板表面の各部分が、様々な反応性ガスに曝される。経路127に従って進むと、基板は、パージガスポート155、真空ポート145、第1の反応性ガスポート125、真空ポート145、パージガスポート155、真空ポート145、第2のガスポート135、および真空ポート145に曝される、またはそれらを「見る」。したがって、図4に示す経路127の終わりには、基板は、第1の反応性ガス125および第2の反応性ガス135に曝されて、層を形成する。図示のインジェクタユニット122は、四分円であるが、より大きくても小さくてもよい。図5に示すガス分配アセンブリ220は、図4のインジェクタユニット122の4つの順に接続された組み合わせと考えることができる。
[0058]図4のインジェクタユニット122は、反応性ガスを分離するガスカーテン150を示す。用語「ガスカーテン」は、反応性ガスを混合しないように分離するガス流または真空の任意の組み合わせを記述するために使用される。図4に示すガスカーテン150は、第1の反応性ガスポート125に隣接する真空ポート145の部分と、中央のパージガスポート155と、第2のガスポート135に隣接する真空ポート145の部分とを含む。ガス流と真空のこの組み合わせは、第1の反応性ガスと第2の反応性ガスとの気相反応を防止または最小化するために使用することができる。
[0059]図5を参照すると、ガス分配アセンブリ220からのガス流と真空の組合せは、複数のプロセス領域250への分離を形成する。プロセス領域250は、個々のガスポート125,135の周りに概略的に画定され、ガスカーテン150が間にある。図5に示す実施形態は、8つの別個のガスカーテン150を間に有する8つの別個のプロセス領域250を形成している。処理チャンバは、少なくとも2つのプロセス領域を有することができる。いくつかの実施形態では、少なくとも3つ、4つ、5つ、6つ、7つ、8つ、9つ、10,11または12のプロセス領域が存在する。
[0060]処理中、基板は、任意の所与の時点に、1つより多いプロセス領域250に曝されてもよい。しかしながら、異なるプロセス領域に曝される部分は、その2つの部分を分離するガスカーテンを有する。例えば、基板の前縁部が、第2のガスポート135を含むプロセス領域に入る場合、基板の中間部分は、ガスカーテン150の下にあり、基板の後縁部は、第1の反応性ガスポート125を含むプロセス領域にある。
[0061]処理チャンバ100に接続された、例えばロードロックチャンバとすることができるファクトリインターフェース280が、示されている。基板60が、ガス分配アセンブリ220の上に重ねて示され、基準フレームを提供する。基板60は、ガス分配プレート120の前面121の近くに保持されるように、多くの場合、サセプタアセンブリ上に位置することができる。基板60は、ファクトリインターフェース280を介して処理チャンバ100内にロードされ、基板支持体またはサセプタアセンブリ上に載せられる(図3参照)。基板60は、第1の反応性ガスポート125に隣接して、2つのガスカーテン150a、150bの間に配置されているので、基板60は、プロセス領域内に配置されて示すことができる。基板60を経路127に沿って回転させることにより、基板は、処理チャンバ100の周りで反時計回りに移動する。このようにして、基板60は、第1のプロセス領域250aから第8のプロセス領域250hまで、その間の全てのプロセス領域を含むプロセス領域に曝される。
[0062]本開示の実施形態は、各プロセス領域がガスカーテン150によって隣接領域から分離された複数のプロセス領域250a〜250hを有する処理チャンバ100を含む処理方法に関する。例えば、図5に示す処理チャンバ。処理チャンバ内のガスカーテンおよびプロセス領域の数は、ガス流の配置に応じて任意の適切な数とすることができる。図5に示す実施形態は、8つのガスカーテン150と8つのプロセス領域250a〜250hを有する。
[0063]複数の基板60が、基板支持体、例えば、図1および図2に示すサセプタアセンブリ140上に配置される。複数の基板60は、処理のためにプロセス領域の周りで回転する。一般に、ガスカーテン150は、反応性ガスがチャンバに流入していない期間を含む処理中ずっと、作動している(ガスの流れおよび真空がオン)。
[0064]したがって、本開示の1つ以上の実施形態は、図5に示されるようなバッチ処理チャンバを利用する処理方法に関する。基板60が、各セクションがガスカーテン150によって隣接セクションから分離された複数のセクション250を有する処理チャンバ内に配置される。
[0065]この方法のいくつかの実施形態は、その上に特徴部を有する基板表面を堆積環境に曝して膜を堆積させることを含む。これに関して使用される場合、「堆積環境」は、膜を個々にまたは連続して堆積させる1つ以上のプロセス領域またはプロセス条件を含む。
[0066]例示的なプロセスにおいて、基板表面の少なくとも一部が、処理チャンバの第1のセクション250a内で第1のプロセス条件に曝される。いくつかの実施形態の第1のプロセス条件は、ケイ素膜を形成するためのケイ素前駆体を含む。1つ以上の実施形態において、第1のプロセス条件は、ジクロロシランを含む。
[0067]基板表面は、ガスカーテン150を通って第2のセクション250bへ横方向に移動される。ケイ素層が、第2のセクション250b内で第2のプロセス条件に曝される。いくつかの実施形態の第2のプロセス条件は、窒素膜を形成するための窒素前駆体を含む。1つ以上の実施形態において、第2のプロセス条件は、アンモニアを含む。
[0068]基板表面が、第2のプロセス領域から第3のセクション250cへ横方向に移動される。いくつかの実施形態の第3のセクション250cは、特徴部の頂部、底部および側壁に膜を形成するための反応物を含む第3のプロセス条件を含む。第4のセクション250dは、不活性環境を含むことができる。いくつかの実施形態では、第3のセクション250cが、実質的に表面反応がないように不活性環境を含み、第4のセクション250dが、第3のプロセス条件を含む。1つ以上の実施形態において、第3のプロセス条件は、N/Arプラズマを含む。
[0069]第1のプロセス条件、第2のプロセス条件および第3のプロセス条件への曝露を繰り返して、所定の厚さの膜を形成することができる。例えば、図5を参照すると、第1のセクション250aおよび第5のセクション250eは、第1のプロセス条件を含むことができ、第2のセクション250bおよび第6のセクション250fは、第2のプロセス条件を含むことができ、第3のセクション250cおよび第7のセクション250gは、不活性環境を含むことができ、第4のセクション250dおよび第8のセクション250hは、第3のプロセス条件を含むことができる。この構成において、基板の各回転は、2つの層を形成する。当業者であれば、プロセス領域を記述するための「第1」および「第2」などの序数の使用は、処理チャンバ内の特定の位置、または処理チャンバ内の曝露の順序を意味しないことを理解するであろう。
[0070]所定の厚さの層が形成されると、第1のプロセス条件、第2のプロセス条件および第3のプロセス条件が、不活性環境に変更されてもよい。第3のセクション250c内の不活性環境が、例えば、特徴部の頂部および底部の窒化ケイ素膜のウェットエッチング速度を選択的に減少させる高イオン濃度プラズマを含む処理環境に変更されることができる。例えば、高イオン濃度プラズマは、He/NHを含むことができる。処理環境への曝露後に、処理チャンバの個々のセクションを以前の設定に戻すことができ、またはプロセスを停止することができる。
[0071]図7を参照すると、いくつかの実施形態は、スロット382を有するプラズマアセンブリ380を使用する。プラズマが、プラズマキャビティ384内に形成され、スロット382を通って基板表面300に向かって流れる。図7は、スロット382がページの外に延びる断面図である。プラズマキャビティ384内に形成されたプラズマが通過するスロット382は、縁部386を有する。いくつかの実施形態では、プラズマは、スロット382の縁部386に隣接して高いイオンエネルギーおよび濃度を有する。
[0072]1つ以上の実施形態によれば、基板は、層を形成する前および/または後に処理される。この処理は、同じチャンバ内または1つ以上の別個の処理チャンバ内で行うことができる。いくつかの実施形態では、基板は、さらなる処理のために、第1のチャンバから別個の第2のチャンバに移動される。基板は、第1のチャンバから別個の処理チャンバに直接移動させることができ、または第1のチャンバから1つ以上の移送チャンバに移動させ、次いで、別個の処理チャンバに移動させることができる。したがって、処理装置は、移送ステーションと連通する複数のチャンバを備えることができる。この種の装置は、「クラスタツール」または「クラスタシステム」などと呼ばれてもよい。
[0073]一般に、クラスタツールは、基板中心発見および配向、アニーリング、アニーリング、堆積および/またはエッチングを含む様々な機能を実行する複数のチャンバを備えるモジュールシステムである。1つ以上の実施形態によれば、クラスタツールは、少なくとも第1のチャンバと中央の移送チャンバとを含む。中央の移送チャンバは、処理チャンバおよびロードロックチャンバの間で基板を往復させることができるロボットを収容することができる。移送チャンバは、典型的には真空状態に維持され、1つのチャンバから別のチャンバへおよび/またはクラスタツールの前端に配置されたロードロックチャンバへ基板を往復させるための中間ステージを提供する。本開示に適合させることができる2つのよく知られたクラスターツールは、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能なCentura(登録商標)およびEndura(登録商標)である。しかし、チャンバの正確な配置および組み合わせは、本明細書に記載されるプロセスの特定のステップを実行する目的で変更されてもよい。使用され得る他の処理チャンバには、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、前洗浄、化学洗浄、RTPなどの熱処理、プラズマ窒化、アニール、配向、ヒドロキシル化および他の基板プロセスが含まれるが、それらに限定されない。クラスタツール上のチャンバ内でプロセスを実行することにより、大気中の不純物による基板の表面汚染を、後続の膜を堆積させる前に酸化することなしに、回避することができる。
[0074]1つ以上の実施形態によれば、基板は、連続的に真空または「ロードロック」状態にあり、1つのチャンバから次のチャンバに移動するときに、周囲空気に曝されない。したがって、移送チャンバは、真空下にあり、真空圧下で「ポンプダウン」される。不活性ガスが、処理チャンバまたは移送チャンバ内に存在してもよい。いくつかの実施形態では、不活性ガスが、反応物の一部または全部を除去するためのパージガスとして使用される。1つ以上の実施形態によれば、パージガスが、堆積チャンバの出口に注入されて、反応物が堆積チャンバから移送チャンバおよび/または追加の処理チャンバへ移動するのを防止する。したがって、不活性ガスの流れは、チャンバの出口でカーテンを形成する。
[0075]基板は、単一の基板がロードされ、処理され、アンロードされてから別のもう1つの基板が処理される単一基板堆積チャンバ内で処理することができる。基板は、複数の基板がチャンバの第1の部分に個別にロードされ、チャンバを通って移動し、チャンバの第2の部分からアンロードされるコンベヤシステムと同様の連続的な方法で処理することもできる。チャンバおよび関連するコンベヤシステムの形状は、直線経路または曲線経路を形成することができる。さらに、処理チャンバは、複数の基板が中心軸の周りを移動し、カルーセル経路全体にわたって堆積、エッチング、アニーリング、洗浄などのプロセスに曝されるカルーセルであってもよい。
[0076]処理中、基板を加熱または冷却することができる。このような加熱または冷却は、限定はしないが、基板支持体の温度を変化させること、加熱または冷却されたガスを基板表面に流すことを含む任意の適切な手段によって達成することができる。いくつかの実施形態では、基板支持体は、基板温度を伝導的に変化させるように制御することができるヒータ/冷却器を含む。1つ以上の実施形態では、使用されているガス(反応性ガスまたは不活性ガスのいずれか)が、加熱または冷却されて、基板温度を局所的に変化させる。いくつかの実施形態では、基板温度を対流的に変化させるために、ヒータ/冷却器が、基板表面に隣接してチャンバ内に配置される。
[0077]基板は、処理中に静止していても回転していてもよい。回転する基板は、連続的にまたは離散的なステップで回転させることができる。例えば、基板は、プロセス全体にわたって回転されてもよいし、または異なる反応性ガスまたはパージガスへの曝露の間に少量だけ回転されてもよい。処理中に基板を(連続的にまたは段階的に)回転させることは、例えばガス流の形状の局所的な変動の影響を最小限に抑えることにより、より均一な堆積またはエッチングをもたらすのに役立ち得る。
[0078]原子層堆積タイプのチャンバでは、基板は、空間的または時間的に分離されたプロセスで第1および第2の前駆体に曝されることができる。時間的ALDは、第1の前駆体がチャンバ内に流入して表面と反応する伝統的なプロセスである。第1の前駆体は、第2の前駆体を流す前に、チャンバからパージされる。空間的ALDでは、第1および第2の前駆体の両方が、同時にチャンバに流されるが、前駆体の混合を妨げる領域が流れの間に存在するように、空間的に分離される。空間的ALDでは、基板が、ガス分配プレートに対して移動されるか、またはその逆である。
[0079]方法の部分のうちの1つ以上が1つのチャンバで行われる実施形態において、プロセスは、空間的ALDプロセスであってもよい。上記の化学物質のうちの1つ以上が適合しないことがあるけれども(すなわち、基板表面上以外の反応および/またはチャンバ上の堆積を生じさせる)、空間的分離は、反応物が気相で互いに曝されないことを保証する。例えば、時間的ALDは、堆積チャンバをパージすることを含む。しかしながら、実際には、追加の反応物を流入させる前に、余分な反応物をチャンバからパージすることができないことがある。それゆえ、チャンバ内に残っている反応物が、反応することがある。空間的分離によって、余分な反応物をパージする必要がなくなり、交差汚染が制限される。さらに、チャンバをパージするのに多くの時間を費やすことがあるので、パージステップを除去することによってスループットを向上させることができる。
[0080]本明細書を通して、「一実施形態」、「いくつかの実施形態」、「1つ以上の実施形態」または「ある実施形態」への言及は、その実施形態に関連して説明された特定の特徴、構造、材料、または特性が、本開示の少なくとも1つの実施形態に含まれるということを、意味する。したがって、本明細書の様々な箇所における「1つ以上の実施形態では」、「いくつかの実施形態では」、「一実施形態では」、または「ある実施形態では」などの表現は、本開示の同じ実施形態を必ずしも参照しているわけではない。さらに、特定の特徴、構造、材料、または特性は、1つ以上の実施形態において任意の適切な方法で組み合わせることができる。
[0081]本明細書における開示は、特定の実施形態を参照して記載されているが、これらの実施形態は、本開示の原理および適用の単なる例示であることを、理解されたい。本開示の精神および範囲から逸脱することなく、様々な変更および変形を、本開示の方法および装置に対して行うことができることが、当業者には明らかであろう。したがって、本開示は、添付の特許請求の範囲およびそれらの等価物の範囲内にある変更および変形を含むことが、意図される。

Claims (15)

  1. 頂部、底部および側壁を備える少なくとも1つの特徴部をその上に有する基板表面を、用意することと、
    前記少なくとも1つの特徴部上に膜を、前記膜が前記頂部上、前記底部上および前記側壁上に形成されるように、形成することと、
    前記膜をプラズマで処理して、前記特徴部の前記頂部および前記底部が、前記側壁上の前記膜よりも高いウェットエッチング速度を有するように、前記特徴部の前記頂部上および前記底部上の前記膜の特性を変化させることと
    を含む処理方法。
  2. 順次的に前記膜を形成して前記膜を処理することを繰り返して、ある総厚さの膜を堆積させることをさらに含む、請求項1に記載の方法。
  3. 前記膜が、SiNを含む、請求項1に記載の方法。
  4. 前記膜を処理することが、高いイオン濃度を有する指向性プラズマに前記膜を曝すことを含む、請求項1に記載の方法。
  5. 前記プラズマが、水素、アルゴン、窒素、アンモニア、酸素、ヘリウムのうちの1つ以上を含む、請求項4に記載の方法。
  6. 前記指向性プラズマが、縁部を有するスロットを有するプラズマアセンブリ内に形成された遠隔プラズマであり、前記プラズマが、前記スロットを通って流れる、請求項4に記載の方法。
  7. 前記プラズマが、前記スロットの前記縁部に隣接して高いイオンエネルギーおよびイオン濃度を有する、請求項6に記載の方法。
  8. 前記膜を形成することが、前記基板表面をケイ素前駆体および窒素反応物に順次的に曝して、窒化ケイ素膜を形成することを含む、請求項1に記載の方法。
  9. 前記基板表面が、前記ケイ素前駆体への曝露と前記窒素反応物への曝露との間で窒素前駆体に曝され、前記窒素前駆体が、前記基板表面上のケイ素前駆体分子と反応しない種を含む、請求項8に記載の方法。
  10. 前記膜を形成することが、前記基板表面を、ジクロロシランを含むケイ素前駆体、アンモニアを含む窒素前駆体、およびN/Arプラズマを含む窒素反応物に順次的に曝すことを含む、請求項9に記載の方法。
  11. 前記プラズマを処理することが、アンモニアおよびヘリウムを含む、請求項9に記載の方法。
  12. 前記特徴部の前記頂部および前記底部の前記ウェットエッチング速度が、約20Å/分以上である、請求項11に記載の方法。
  13. 約1Å〜約50Åの範囲の厚さを有する膜が堆積された後に、前記膜を処理することが行われる、請求項1に記載の方法。
  14. 希HFで前記膜をエッチングして、前記特徴部の前記頂部および前記底部から前記膜を選択的に除去することをさらに含む、請求項1に記載の方法。
  15. 頂部、底部および側壁を備える特徴部を有する基板表面を有する基板を、各プロセス領域がガスカーテンによって隣接するプロセス領域から分離されている複数のプロセス領域を備える処理チャンバ内に配置することと、
    前記基板表面の少なくとも一部を、前記処理チャンバの第1のプロセス領域内で、ケイ素前駆体を含む第1のプロセス条件に曝すことと、
    前記基板表面を、ガスカーテンを通って前記処理チャンバの第2のプロセス領域へ、横方向に移動させることと、
    前記基板表面を、前記処理チャンバの前記第2のプロセス領域内で、窒素前駆体を含む第2のプロセス条件に曝すことと、
    前記基板表面を、ガスカーテンを通って前記処理チャンバの第3のプロセス領域へ、横方向に移動させることと、
    前記基板表面を、前記処理チャンバの前記第3のプロセス領域内で、窒素反応物を含む第3のプロセス条件に曝して、前記特徴部の前記頂部上、前記底部上および前記側壁上に窒化ケイ素膜を形成することと、
    前記第1のプロセス条件、前記第2のプロセス条件および前記第3のプロセス条件への曝露を繰り返して、所定の厚さの窒化ケイ素膜を形成することと、
    前記基板表面を、前記特徴部の前記頂部上および前記底部上の前記窒化ケイ素膜のウェットエッチング速度を選択的に減少させる高イオン濃度プラズマを含む処理環境を含む、前記処理チャンバの第4のプロセス領域へ移動させることと
    を含む処理方法。
JP2018548122A 2016-03-13 2017-03-10 スペーサ用の窒化ケイ素膜の選択的堆積 Active JP6946320B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662307537P 2016-03-13 2016-03-13
US62/307,537 2016-03-13
PCT/US2017/021852 WO2017160647A1 (en) 2016-03-13 2017-03-10 Selective deposition of silicon nitride films for spacer applications

Publications (2)

Publication Number Publication Date
JP2019511118A true JP2019511118A (ja) 2019-04-18
JP6946320B2 JP6946320B2 (ja) 2021-10-06

Family

ID=59787037

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018548122A Active JP6946320B2 (ja) 2016-03-13 2017-03-10 スペーサ用の窒化ケイ素膜の選択的堆積

Country Status (6)

Country Link
US (1) US10319583B2 (ja)
JP (1) JP6946320B2 (ja)
KR (1) KR102293218B1 (ja)
CN (1) CN108780735B (ja)
TW (1) TWI722132B (ja)
WO (1) WO2017160647A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022501826A (ja) * 2018-09-29 2022-01-06 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 正確な温度及び流量制御を備えたマルチステーションチャンバリッド
WO2022020195A1 (en) * 2020-07-22 2022-01-27 Applied Materials, Inc. Multi-layer deposition and treatment of silicon nitride films

Families Citing this family (308)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170218517A1 (en) * 2016-02-01 2017-08-03 Tokyo Electron Limited Method of forming nitride film
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
JP6584347B2 (ja) * 2016-03-02 2019-10-02 東京エレクトロン株式会社 成膜方法
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) * 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10763108B2 (en) * 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
TWI793218B (zh) * 2017-12-16 2023-02-21 美商應用材料股份有限公司 使用低頻偏壓作介電膜的幾何選擇性沉積的處理腔室及方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US10629451B1 (en) * 2019-02-01 2020-04-21 American Air Liquide, Inc. Method to improve profile control during selective etching of silicon nitride spacers
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR102656227B1 (ko) * 2019-12-19 2024-04-09 주식회사 원익아이피에스 반도체 소자 제조방법 및 그 방법에 의하여 제조된 반도체 소자
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11930637B2 (en) 2020-06-19 2024-03-12 Applied Materials, Inc. Confined charge trap layer
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11979171B2 (en) 2020-10-13 2024-05-07 Microchip Technology Incorporated Reduced complexity encoders and related systems, methods, and devices
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11551926B2 (en) 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11978625B2 (en) 2021-10-18 2024-05-07 Applied Materials, Inc. Methods of forming metal nitride films

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02133926A (ja) * 1988-11-15 1990-05-23 Sanyo Electric Co Ltd 半導体装置の製造方法
JP2007520056A (ja) * 2003-12-19 2007-07-19 アプライド マテリアルズ インコーポレイテッド 高品質低温窒化シリコン層を形成する方法及び装置
WO2013137115A1 (ja) * 2012-03-15 2013-09-19 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2014532304A (ja) * 2011-09-23 2014-12-04 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
JP2015062225A (ja) * 2013-09-03 2015-04-02 ラム リサーチ コーポレーションLam Research Corporation 小容積の密閉プロセスリアクタ内において圧力パルスとrf変調とを協調させるためのシステム、方法、および装置
WO2015103358A1 (en) * 2014-01-05 2015-07-09 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
US20150200042A1 (en) * 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000294537A (ja) * 1999-04-07 2000-10-20 Mitsubishi Electric Corp エッチング装置およびエッチング方法
US6461923B1 (en) * 1999-08-18 2002-10-08 Advanced Micro Devices, Inc. Sidewall spacer etch process for improved silicide formation
KR20060053784A (ko) * 2004-11-17 2006-05-22 삼성전자주식회사 스페이서 나이트라이드 막질을 개선시킬 수 있는 반도체소자의 제조 방법
JP2008084899A (ja) * 2006-09-26 2008-04-10 Elpida Memory Inc 半導体装置の製造方法
US7709396B2 (en) * 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
US7994002B2 (en) * 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US8637411B2 (en) * 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) * 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8716154B2 (en) * 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
JP2013093451A (ja) * 2011-10-26 2013-05-16 Elpida Memory Inc 半導体装置の製造方法
US20140023794A1 (en) * 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US8946014B2 (en) * 2012-12-28 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device structure and methods of making same
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US8871651B1 (en) * 2013-07-12 2014-10-28 Globalfoundries Inc. Mask formation processing
US9379021B2 (en) * 2013-10-03 2016-06-28 Applied Materials, Inc. Method to reduce K value of dielectric layer for advanced FinFET formation
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US9401273B2 (en) * 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
KR102486400B1 (ko) * 2014-01-13 2023-01-09 어플라이드 머티어리얼스, 인코포레이티드 공간적인 원자 층 증착에 의한 자기-정렬 이중 패터닝
US9171736B2 (en) * 2014-03-03 2015-10-27 Tokyo Electron Limited Spacer material modification to improve K-value and etch properties
TWI670394B (zh) * 2014-09-10 2019-09-01 美商應用材料股份有限公司 空間原子層沈積中的氣體分離控制
CN108778739B (zh) * 2016-03-13 2021-07-16 应用材料公司 用于选择性干式蚀刻的方法及设备

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02133926A (ja) * 1988-11-15 1990-05-23 Sanyo Electric Co Ltd 半導体装置の製造方法
JP2007520056A (ja) * 2003-12-19 2007-07-19 アプライド マテリアルズ インコーポレイテッド 高品質低温窒化シリコン層を形成する方法及び装置
JP2014532304A (ja) * 2011-09-23 2014-12-04 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
WO2013137115A1 (ja) * 2012-03-15 2013-09-19 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2015062225A (ja) * 2013-09-03 2015-04-02 ラム リサーチ コーポレーションLam Research Corporation 小容積の密閉プロセスリアクタ内において圧力パルスとrf変調とを協調させるためのシステム、方法、および装置
WO2015103358A1 (en) * 2014-01-05 2015-07-09 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
US20150200042A1 (en) * 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022501826A (ja) * 2018-09-29 2022-01-06 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 正確な温度及び流量制御を備えたマルチステーションチャンバリッド
JP7121447B2 (ja) 2018-09-29 2022-08-18 アプライド マテリアルズ インコーポレイテッド 正確な温度及び流量制御を備えたマルチステーションチャンバリッド
JP7441900B2 (ja) 2018-09-29 2024-03-01 アプライド マテリアルズ インコーポレイテッド 正確な温度及び流量制御を備えたマルチステーションチャンバリッド
WO2022020195A1 (en) * 2020-07-22 2022-01-27 Applied Materials, Inc. Multi-layer deposition and treatment of silicon nitride films

Also Published As

Publication number Publication date
KR20180116456A (ko) 2018-10-24
TW201800598A (zh) 2018-01-01
KR102293218B1 (ko) 2021-08-23
US20170263437A1 (en) 2017-09-14
WO2017160647A1 (en) 2017-09-21
US10319583B2 (en) 2019-06-11
CN108780735B (zh) 2023-04-21
JP6946320B2 (ja) 2021-10-06
CN108780735A (zh) 2018-11-09
TWI722132B (zh) 2021-03-21

Similar Documents

Publication Publication Date Title
JP6946320B2 (ja) スペーサ用の窒化ケイ素膜の選択的堆積
US10134581B2 (en) Methods and apparatus for selective dry etch
JP6692754B2 (ja) 空間的原子層堆積法による、自己整合ダブルパターニング
CN108140578B (zh) 通过表面毒化处理的由下而上的间隙填充
US20170053792A1 (en) High Temperature Thermal ALD Silicon Nitride Films
US10147599B2 (en) Methods for depositing low K and low wet etch rate dielectric thin films
JP6951548B2 (ja) 金属酸化物の後処理の方法
US11515145B2 (en) Deposition of silicon boron nitride films
US11978625B2 (en) Methods of forming metal nitride films
US11359281B2 (en) Selective deposition of SiCON by plasma ALD

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200303

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210309

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210609

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210817

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210915

R150 Certificate of patent or registration of utility model

Ref document number: 6946320

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150