JP2014532304A - プラズマ活性化されるコンフォーマル誘電体膜 - Google Patents

プラズマ活性化されるコンフォーマル誘電体膜 Download PDF

Info

Publication number
JP2014532304A
JP2014532304A JP2014531838A JP2014531838A JP2014532304A JP 2014532304 A JP2014532304 A JP 2014532304A JP 2014531838 A JP2014531838 A JP 2014531838A JP 2014531838 A JP2014531838 A JP 2014531838A JP 2014532304 A JP2014532304 A JP 2014532304A
Authority
JP
Japan
Prior art keywords
film
dopant
reactant
plasma
substrate surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014531838A
Other languages
English (en)
Other versions
JP6199292B2 (ja
Inventor
スワミナタン・シャンカー
ヘンリー・ジョン
ハウスマン・デニス・エム.
スブラモニウム・プラモド
スリラム・マンディアム
ランガラジャン・ビシュワナタン
カティーグ・キーシ・ケイ.
バン・シュラベンディジク・バート・ジェイ.
マッケロウ・アンドリュー・ジェイ.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/242,084 external-priority patent/US8637411B2/en
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of JP2014532304A publication Critical patent/JP2014532304A/ja
Application granted granted Critical
Publication of JP6199292B2 publication Critical patent/JP6199292B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

膜を基板表面に堆積するための方法は、膜を1又は複数サイクルの反応物の吸着及び反応を通して成長させる、表面で起こる反応(surface mediated reaction)を含む。一態様では、この方法は、吸着及び反応のサイクル間に、ドーパント種を膜に間欠的に供給することを特徴とする。【選択図】図17

Description

関連出願
本願は、2010年4月15日に出願された米国仮特許出願第61/324,710号、2010年8月10日に出願された米国仮特許出願第61/372,367号、2010年9月1日に出願された米国仮特許出願第61/379,081号、2010年9月29日に出願された米国仮特許出願第61/417,807号の利益を主張する、2011年4月11日に出願された米国特許出願第13/084,399号の継続出願として、35 U.S.C.120の下に優先権を主張するものである。各上記特許出願は、その全てがあらゆる目的で、本明細書に参照により組込まれる。また、本出願は、2011年4月11日に出願された米国特許出願第13/084,305号の継続出願でもあり、その全てがあらゆる目的で本明細書に参照により組込まれる。
様々な半導体装置用薄膜層は、原子層堆積(atomic layer deposition:ALD)工程で堆積されることができる。しかしながら、既存のALD工程は、高コンフォーマル誘電体膜を堆積するのに適さないことがある。
本明細書に開示される様々な態様は、膜を基板表面に堆積するための方法及び装置に関する。ある実施形態では、方法は、膜を1又は複数サイクルの反応物の吸着及び反応を通して成長させる、表面で起こる反応(surface mediated reaction)によって膜を堆積することを含む。一態様では、方法は、吸着及び反応のサイクル間に、ドーパント種を膜に間欠的に供給することを特徴とする。ある時点で、ドーパント種は、基板表面を越えて基板のドープ領域に打込まれることができる。
一態様では、開示された方法は、反応チャンバにおいて基板表面に膜を堆積させる。この方法は、以下の操作によって特徴付けられる。(a)第1反応物が基板表面に吸着可能な条件下で、第1反応物を反応チャンバに導入すること、(b)第1反応物が基板表面に吸着されている間に、第2反応物を反応チャンバに導入すること、(c)第1反応物と第2反応物との間の反応を基板表面にもたらし、膜の一部を形成するために、基板表面をプラズマに曝露すること、(d)(a)〜(c)を少なくとも1回繰返すこと、(e)(a)〜(d)の間には導入されないドーパント含有材料を、当該ドーパント含有材料が膜の被曝露面と接触可能な条件下で、反応チャンバに導入すること、及び(f)ドーパント含有材料から膜にドーパントを導入すること。ドーパントの膜への導入は、ドーパント含有材料をプラズマに曝露することを伴うことができる。
様々な実装では、方法は、膜から、膜が存在する基板表面のフィーチャー(特徴)に、ドーパントを打込むことを更に含む。膜からドーパントを打込むことは、膜をアニールすることによって実現されることができる。用途によっては、膜は、基板表面の三次元の形体上に存在し、膜からドーパントを打込むことで、形体にドーパントをコンフォーマルに拡散させる。特定の用途では、形体の幅は、約40ナノメートル以下である。
ある実装では、膜は誘電体膜である。場合によっては、総膜厚は、約10〜100オングストロームである。様々な実施形態では、膜におけるドーパント濃度は、約0.01〜10重量%である。
ある実施形態では、この態様の方法は、(e)又は(f)の後に(a)〜(c)を繰返すことを更に含む。ある実施形態では、この態様の方法は、(a)〜(e)を繰返すことを更に含む。実装によっては、(a)〜(c)中に堆積される膜の量は、約0.5〜1オングストロームである。
ある実施形態では、方法は、基板表面をプラズマに曝露する前に、反応チャンバから第2反応物をパージすることを更に含む。パージすることは、酸化体を含むガスを、反応チャンバに流入することによって実現されることができる。実装によっては、第1反応物と第2反応物は、反応チャンバ内で気相で共存し、第1反応物と第2反応物は、(c)でプラズマに曝露されるまで、反応チャンバ内で互いにはっきりとは反応しない。
ある実施形態では、第1反応物は、酸化剤、例えば亜酸化窒素である。ある実施形態では、第2反応物は、(i)アルキルアミノシラン(SiH(NR4−x)、(式中x=1〜3、Rは、アルキル基を含む)、又は(ii)ハロシラン(SiH4−x)、(式中x=1〜3、Yは、Cl、Br、及びIを含む)等の誘電性前駆体である。特定の実施形態では、第2反応物は、BTBASである。ある実施形態では、ドーパント含有材料は、ホスフィン、アルシン、アルキルボラン、アルキルガラン、アルキルホスフィン、ハロゲン化リン、ハロゲン化ヒ素、ハロゲン化ガリウム、ハロゲン化ホウ素、アルキルボラン、又はジボランである。
別の態様では、開示された方法は、反応チャンバにおいて誘電体膜を基板表面に堆積する。この方法は、以下の操作によって特徴付けられる。(a)第1反応物が基板表面に吸着可能な条件下で、酸化体を反応チャンバに流入すること、(b)酸化体が反応チャンバに流入し続けている間に、誘電性前駆体を反応チャンバに導入すること(c)基板表面での誘電性前駆体と酸化体との反応をもたらして、誘電体膜の一部を形成するために、基板表面をプラズマに曝露すること、(d)(a)〜(c)の間には導入されないドーパント含有材料を、当該ドーパント含有材料が膜の被曝露面と接触可能な条件下で、反応チャンバに導入すること、及び(e)含有材料からのドーパントを、誘電体膜に取込ませること。一実装では、誘電性前駆体は、BTBAS、又は前の態様で特定されたような別の前駆体である。
更に、方法は、操作(a)〜(c)が1回又は複数回繰返される必要があるかも知れない。特定の実施例では、(a)が最初に実行されるときには、酸化体は、酸素対窒素を第1比率で含有するが、(a)が次に実行されるときには、酸化体は、酸素対窒素を、第2比率で含有する。第2比率は、第1比率よりも低い。例えば、酸化体は、(a)が最初に実行されるときには、酸素元素を含有することができるが、(a)が繰返されるときには、亜酸化窒素を含むことができる。実施形態によっては、基板は、(c)が最初に実行されるときには、第1温度とし、基板は、(c)が繰返されるときには、第1温度より高い第2温度とする。
場合によっては、方法は、ドーパントを誘電体膜から基板に打込むことを更に含む。実施形態によっては、方法は、(a)の前に、基板表面をドーパント含有材料と接触させることを更に含む。
別の態様では、開示された方法は、以下の操作によって特徴付けられる。(a)誘電性前駆体を、該前駆体が基板表面に吸着可能な条件下で、反応チャンバに導入すること、(b)その後、前駆体が基板表面に吸着された状態のままで、反応チャンバから誘電性前駆体をパージすること、(c)基板表面の誘電性前駆体の反応を駆動して、誘電体膜の一部を形成するために、基板表面をプラズマに曝露すること、及び(d)(a)〜(c)の間には導入されないドーパント前駆体を、当該ドーパント前駆体が誘電体膜の一部と接触可能な条件下で、反応チャンバに導入することにより、反応チャンバにおいて基板表面に誘電体膜を堆積する。実装によっては、方法は、(a)〜(c)の前及び間に、酸化体を反応チャンバに流入することを更に含む。場合によっては、方法は、ドーパントを膜に取込むために、ドーパント前駆体を反応させることを更に伴う。
また別の態様は、基板表面にドープ膜を堆積するための装置に関する。この装置は以下の特徴により特徴付けられる。ドープ誘電体膜の堆積中、基板を保持するデバイスを含む反応チャンバ、反応チャンバと結合された1つ又は複数の処理ガス入口、及びコントローラ。コントローラは、この装置に以下の操作を実行させるように設計又は構成される。(a)第1反応物が基板表面に吸着可能な条件下で、第1反応物を反応チャンバに導入すること、(b)第1反応物が基板表面に吸着されている間に、第2反応物を反応チャンバに導入すること、(c)第1反応物と第2反応物との間の反応を基板表面にもたらして、膜の一部を形成するために、基板表面をプラズマに曝露すること、(d)(a)〜(c)を少なくとも1回繰返すこと、(e)(a)〜(d)の間には導入されないドーパント含有材料を、当該ドーパント含有材料が膜の被曝露面と接触可能な条件下で、反応チャンバに導入すること、及び(f)ドーパント含有材料から膜にドーパントを導入することコントローラは、他の態様に従い言及されたような他の方法を直接実行するように、設計又は構成されることができる。
ある実施形態では、コントローラは、上記装置が、(a)〜(d)の前及び間に酸化体を反応チャンバに流入させるように、更に設計又は構成される。ある実施形態では、コントローラは、(e)又は(f)の後に(a)〜(c)を繰返させるように更に設計又は構成される。ある実施形態では、コントローラは、膜が存在する基板表面のフィーチャー(特徴)に膜からドーパントを打込ませるように、更に設計又は構成される。膜からドーパントを打込むことは、膜をアニールすることによって実現されることができる。実装によっては、コントローラは、(e)が、(a)〜(d)を1回又は複数回繰返す間に間隔を置いて実行されるように、更に設計又は構成され、該間隔は、膜を堆積する間に亘り、変化する。
様々な実装では、コントローラは、基板表面をプラズマに曝露する前に、第2反応物を反応チャンバからパージさせるように、更に設計又は構成される。一実施例では、パージは、酸化体を含むガスを、コントローラの指示の下で、反応チャンバに流入することによって実現される。
これら及び他の特徴について、関連する図面を参照して、以下で更に詳細に説明する。
本開示の実施形態による例示的なコンフォーマル膜堆積(CFD)工程に関するタイムチャートを図式的に示している。 本開示の実施形態による別の例示的なCFD工程に関するタイムチャートを図式的に示している。 本開示の実施形態による、別の例示的なCFD工程に関するタイムチャートを図式的に示している。 本開示の実施形態によるプラズマ処理を含む例示的なCFD工程に関するタイムチャートを図式的に示している。 本開示の実施形態により堆積された膜に関するウエットエッチレート比と堆積温度との例示的な相関関係を示している。 本開示の実施形態により堆積された膜に関するウエットエッチレート比と膜応力との例示的な相関関係を示している。 本開示の実施形態により堆積された膜に関する汚染物質濃度と堆積温度との例示的な相関関係を示している。 複数のギャップを含む非平面基板の例示的な断面を図式的に示している。 本開示の実施形態によるPECVD工程への移行を含む例示的なCFD工程に関するタイムチャートを図式的に示している。 キーホールボイドを含むギャップ充填部の例示的な断面を図式的に示している。 本開示の実施形態による原位置エッチングを含む例示的なCFD工程に関するタイムチャートを図式的に示している。 食い込みギャップ充填部プロファイルの例示的な断面を図式的に示している。 本開示の実施形態による原位置エッチング工程中の、図12Aの食い込みギャップ充填部プロファイルの例示的な断面を図式的に示している。 本開示の実施形態による原位置エッチング後の堆積工程中の、図12Bの食い込みギャップ充填部プロファイルの例示的な断面を図式的に示している。 本開示の実施形態による例示的な処理ステーションを図式的に示している。 本開示の実施形態による複数の処理ステーションとコントローラを含む例示的な処理ツールを図式的に示している。 本開示の実施形態による原位置エッチングを含むCFD工程中の、シリコン貫通ビアの例示的な断面図を図式的に示している。 立体的なゲート構造を有するトランジスタを示しており、該トランジスタでは、ソースとドレインが、従来のイオン注入技術ではドープし難い薄い縦型構造で形成されている。 基本的なCFDの操作順序を、x軸に沿って左から右に時間を進めて示している。 ドーパントが下地基板との界面に堆積され、その後、CFDサイクル間にドーパントの供給が組入れられ、任意に、アンドープ保護「キャッピング」層で覆われたものを、CFD酸化膜とすることができる、実施形態について表している。 ドーパントが下地基板との界面に堆積され、その後、CFDサイクル間にドーパントの供給が組入れられ、任意に、アンドープ保護「キャッピング」層で覆われたものを、CFD酸化膜とすることができる、実施形態について表している。 CFDのBSG/PSG膜を合成するのに使用される、典型的な堆積ブロックを示している。 CFD膜に関する段差被覆性が、緻密で分離した構造に関して〜100%になると計算されたことを示している。 SIMSデータが、CFD膜における平均ホウ素濃度が、ホウ素を約0.5〜3.5重量%とする範囲で調整可能であると示すことを、表している。
通常、半導体デバイスの製造は、集積作製工程で非平面基板に1又は複数の薄膜の堆積を伴う。集積工程の態様によっては、基板トポグラフィに一致する薄膜を堆積することが、有用な場合がある。例えば、窒化シリコン膜が、低濃度ソース領域及び低濃度ドレイン領域を、次のイオン注入工程から保護するためのスペーサ層として機能するように、隆起したゲートスタックの上面に堆積されることがある。
スペーサ層堆積工程では、化学気相堆積(chemical vapor deposition:CVD)工程が、非平面基板上に窒化シリコン膜を形成するのに使用され、次に、この膜が、スペーサ構造を形成するために異方性エッチングされることがある。しかしながら、ゲートスタック間の距離が減少すると、CVD気相反応の物質移動限界(mass transport limitation)が、「ブレッドローフィング(bread−loafing)」堆積作用を発生させる虞がある。かかる作用により、通常、ゲートスタックの上面では堆積が厚くなり、ゲートスタックの両下隅では堆積が薄くなる。更に、ダイによっては、デバイス密度が異なる領域を有するものがあるため、ウエハ表面全体に亘る大量輸送作用の結果、ダイ内及びウエハ内で膜厚のバラツキが生じることがある。こうした膜厚のバラツキの結果、オーバーエッチングとなる領域や、アンダーエッチングとなる領域が生じることがある。これにより、デバイスの性能及び/又はダイの歩留まりが低下する可能性がある。
これらの問題を解決する手段には、原子層堆積(atomic layer deposition:ALD)を伴うものがある。熱的に活性化された気相反応が、膜を堆積するために使用されるCVD工程とは対照的に、ALD工程は、層ごとに膜を堆積するために表面で起こる堆積反応を使用する。ALD工程の一例では、表面活性部分の集合を含む、基板表面は、第1膜前駆体(P1)の気相流通に曝露される。P1の分子の中には、基板表面に凝縮相を形成し、P1の化学吸着種及び物理吸着分子を含むものもある。その後、反応器は、気相と物理吸着されたP1を除去するために、真空排気され、その結果化学吸着種のみが残る。その後、第2膜前駆体(P2)が、反応器に導入され、その結果P2分子の一部が基板に吸着する。反応器は、未結合P2を除去するために、再び真空排気されることができる。次に、基板に提供される熱エネルギは、P1及びP2の吸着分子間の表面反応を活性化し、膜層を形成する。最後に、反応器は、反応副産物、場合により未反応P1及びP2を除去するために、真空排気され、ALDサイクルを終了する。膜厚を厚くするために、更なるALDサイクルを含むことができる。
前駆体のドーシングステップの曝露時間及び前駆体の付着係数に応じて、各ALDサイクルは、一実施例では、0.5〜3オングストロームの厚さで膜層を堆積できる。従って、ALD工程は、厚さ数ナノメートルを超える膜を堆積する場合には、時間がかかる可能性がある。更に、前駆体によっては、コンフォーマル膜を堆積するのに、曝露時間が長いものもあり、ウエハのスループット時間を低下させる虞もある。
また、コンフォーマル膜は、平面基板上に堆積され得る。例えば、リソグラフィのパターンを塗布するための反射防止層が、膜の種類を交互にした平面スタックから形成されることがある。かかる反射防止層の厚さは、約100〜1000オングストロームとなることがあり、ALD工程をCVD工程より魅力的でないものにしている。しかしながら、かかる反射防止層はまた、多くのCVD工程が提供するより、ウエハ内厚のバラツキに対する耐性が低いこともある。例えば、厚さ600オングストロームの反射防止層は、3オングストローム未満の厚さ範囲に耐えられる。
従って、様々な実施形態が、本明細書で提供され、非平面基板及び平面基板上にプラズマ活性化によるコンフォーマル膜堆積(conformal film deposition:CFD)のための工程及び装置を提供する。これらの実施形態は、全部でなく一部のCFD工程で用いられる様々な特徴を包含している。これらの特徴には、(1)一方又は両方の反応物を反応チャンバから「掃引(sweep)」するために必要な時間を無くす、又は短縮すること、(2)異なる反応物を断続的に反応チャンバに流入しながら、少なくとも一方の反応物の連続流を提供すること、(3)全ての反応物が反応チャンバから取除かれるときでなく、一方の反応物が気相で存在する間に、プラズマを着火すること、(4)膜特性を改質するためにプラズマで堆積されたCFD膜を処理すること、(5)CFDによって膜の第1部分を堆積した後に、通常同一反応チャンバ内で、PECVDによって膜の一部を堆積すること、(6)CFD段階間で、部分的に堆積された膜をエッチングすること、(7)ドーパント供給サイクルを、膜のみを堆積するサイクル間に組入れることによってCFD膜をドープすること、がある。勿論、このリストは完全なものではない。様々な他のCFDの特徴については、本明細書の以下の部分を検討すれば、明らかになるであろう。
CFD「サイクル」の概念は、本明細書の様々な実施形態の記述に関連している。一般的に、1サイクルは、表面堆積反応を1回実行するのに必要な最小操作セットである。1サイクルの結果、基板表面に少なくとも部分的な膜層を生成する。通常、CFDサイクルは、各反応物を基板表面に供給及び吸着し、その後これらの吸着された反応物を反応させて、部分的な膜層を形成するのに必要なこれらのステップのみを含む。勿論、該サイクルは、反応物又は副産物の1つを掃引する、及び/又は堆積する際に部分的な膜を処理する等の一定の補助的ステップを含むことができる。一般的に、1サイクルには、1回の一意な操作順序のみを含む。一例として、1サイクルは、以下の操作を含むことができる。(i)反応物Aを供給/吸着すること、(ii)反応物Bを供給/吸着すること、(iii)反応チャンバからBを掃引すること、(iv)プラズマを印加して、A及びBの表面反応を駆動し、部分的な膜層を表面に形成すること。
次に、上記7つの特徴について更に記述する。以下の説明では、更にもう一種類の反応物を、基板表面に吸着させ、その後反応させて、プラズマとの相互作用によって表面に膜を形成するCFD反応について、考察する。
特徴1(反応物の連続流)−従来のALDで反応物が通常流れないときに、CFDサイクルの一部又は複数部分で、反応物Aが反応チャンバに流れ続ける。従来のALDでは、反応物Aは、基板表面に反応物を吸着させる目的のために流れる。ALDサイクルの他の段階では、反応物Aは流れない。しかしながら、本明細書に記載された、あるCFD実施形態によれば、反応物Aは、反応物Aの吸着と関連する段階中だけでなく、反応物Aの吸着以外の操作を行うCFDサイクルの段階中にも流れる。例えば、多くの実施形態では、反応物Aは、装置が第2反応物(本明細書では、反応物B)をドーシングしている間にも反応器へ流入する。従って、少なくとも一部のCFDサイクル中、反応物Aと反応物Bが、気相で共存する。また、反応物Aは、基板表面で反応を駆動するためにプラズマが印加される間、流れることができる。連続的に流れる反応物は、キャリアガス例えば、アルゴンと共に反応チャンバに供給されてもよいことに留意されたい。
連続流の実施形態に関する一利点は、流れを確立することで、流れのオンオフに関連する流れの一時的な初期化や安定化で生じる遅延や流れの変動を回避できる点である。
具体的な例として、酸化膜は、主反応物(「固形成分」前駆体と呼ばれることがある、又はこの例では、単に「反応物B」と呼ばれる)を使用して、コンフォーマル膜堆積工程によって、堆積されることができる。ビス(tert−ブチルアミノ)シラン(BTBAS)は、そうした主反応物の1つである。この例では、酸化物堆積工程は、酸素又は亜酸化窒素等の酸化体の供給を伴い、この酸化体は、個別の曝露段階において主反応物を供給中に初めから連続的に流れる。また、酸化体は、個別のプラズマ曝露段階中も、流れ続ける。例えば、図1で示した順序を参照されたい。ちなみに、従来のALD工程では、酸化体の流れは、固形成分前駆体が反応器に供給される際に、停止する。例えば、反応物Bが供給されると、反応物Aの流れは、停止する。
特定の実施例によっては、連続して流れる反応物は、「補助」反応物である。本明細書で使用されるように、「補助」反応物は、主反応物ではない任意の反応物である。上記で示唆されたように、主反応物は、室温で固体である元素を含有し、該元素は、CFDによる成膜に役立てられる。かかる元素の例としては、金属(例えば、アルミニウム及びチタニウム)、半導体(例えば、シリコン及びゲルマニウム)、及び非金属又は半金属(例えば、ホウ素)がある。補助反応物の例としては、酸素、オゾン、水素、一酸化炭素、亜酸化窒素、アンモニア、アルキルアミン等が挙げられる。
連続的に流れる反応物は、一定の流量で、又は変動するが制御された流量で提供されてもよい。後者の場合、一例として、補助反応物の流量は、曝露段階中に、第1反応物が供給されると、低減されてもよい。例えば、酸化物を堆積する際に、酸化体(例えば、酸素又は亜酸化窒素)は、全堆積シーケンス中連続的に流れるが、その流量は、第1反応物(例えば、BTBAS)が供給されると、低減されることができる。これにより、ドーシング中、BTBASの分圧が増大され、その結果、基板表面を飽和状態にするのに必要な曝露時間を短縮できる。プラズマを着火する直前に、プラズマ曝露段階中にBTBASが存在する可能性を低くするために、酸化体の流れが増大されてもよい。実施形態によっては、連続的に流れる反応物は、2以上の堆積サイクルに亘り変動流量で流れる。例えば、反応物は、第1CFDサイクル中は第1流量で、第2CFDサイクル中は第2流量で流れることができる。
複数の反応物が用いられ、且つこの反応物の1つの流れが連続する場合、この反応物の少なくとも2つは、一部のCFDサイクル中、気相で共存する。同様に、第1反応物を供給後にパージステップが全く実行されない場合、2反応物は共存することになる。そのために、活性化エネルギを付加しない限り、気相で互いにはっきりと反応しない反応物を採用することが、重要かも知れない。通常、反応物は、基板表面に存在し、プラズマに曝露されるまで、又は別の適当な非熱活性化状態に曝されるまで反応すべきではない。かかる反応物を選択するにあたり、少なくとも(1)所望する反応に関する熱力学的な好感度(ギブスの自由エネルギー0)、及び(2)所望する堆積温度で無視できる程度の反応を得るのに十分大きくする必要がある、反応のための活性化エネルギについて、検討を行う。
特徴2(掃引ステップを短縮又は排除する)−ある実施形態では、従来のALDで通常実行される掃引ステップに関連する時間を省く、又は短縮する。従来のALDでは、別々の掃引ステップは、各反応物が基板表面に供給され、吸着された後に、実行される。吸着又は反応は、従来のALD掃引ステップでは、殆ど又は全く起こらない。CFDサイクルでは、少なくとも1種類の反応物が供給された後の掃引ステップは、短縮又は排除される。掃引ステップが除去された工程順の例が、図1に示されている。反応チャンバから反応物Aを掃引するために、掃引ステップが実行されることはない。場合によっては、CFDサイクルにおいて第1反応物の供給後に掃引ステップは全く実行されないが、第2又は最終供給反応物の供給後に、任意で掃引ステップが実行される。
CFD「掃引」ステップ又は段階の概念は、本明細書の様々な実施形態に関する記述に現れる。一般的に、掃引段階は、気相反応物の1つを、反応チャンバから除去又はパージし、通常、かかる反応物の供給が終了した後にのみ発生する。即ち、当該反応物は、掃引段階中、もはや反応チャンバには供給されない。しかしながら、反応物は、掃引段階中、基板表面に吸着されたまま残存している。通常、掃引は、反応物が基板表面に所望するレベルに吸着された後に、反応チャンバ内にある気相反応物を除去する役目を果たす。また、掃引段階では、吸着が弱い種(例えば、特定の前駆体リガンド又は反応副産物)を基板表面から除去することもできる。ALDでは、掃引段階は、2反応物の又は1反応物の、表面反応のための熱、プラズマ又は他の駆動力との気相における相互作用を防止するのに必要であると考えられてきた。一般に、本明細書で指定されない限り、掃引段階は、(i)反応チャンバを真空排気すること、及び/又は(ii)掃引対象の種を含有しない気体を、反応チャンバを通して流すことによって、実現できる。(ii)の場合には、かかる気体は、例えば、不活性ガス、又は連続的に流れる補助反応物等の補助反応物とすることができる。
他方の反応物の連続流の有無に関わらず、掃引段階の排除を実現できる。図1に表された実施形態では、反応物Aは、基板表面への吸着が完了した後に、掃出されず、むしろ流れ続ける(図面の参照番号130で示す)。
2種類以上の反応物が用いられる様々な実施形態では、掃引ステップが排除又は短縮される反応物は、補助反応物である。一例として、補助反応物は、酸化体又は窒素ソースであり、第1反応物は、シリコン、ホウ素、又はゲルマニウム含有前駆体である。勿論、主反応物の掃引も、短縮又は排除できる。幾つかの実施例では、補助反応物の供給後に、掃引ステップは全く実行されず、主反応物の供給後に、掃引ステップは任意に実行される。
前述したように、掃引段階は、完全に排除される必要はないが、従来のALD工程の掃引段階と比べて単に期間を短縮する必要がある。例えば、CFDサイクル中の補助反応物等反応物の掃引段階は、約0.2秒間以下、例えば、約0.001〜0.1秒間実行されてもよい。
特徴3(反応物の1つが気相で存在している間に、プラズマを着火すること)この特徴では、全反応物が反応チャンバから取除かれる前に、プラズマが着火される。これは、従来のALDとは異なり、プラズマ活性化又は他の反応駆動操作が、気相反応物が反応チャンバにもう存在しなくなった後にのみ提供される。この特徴は、図1に表した通り、反応物AがCFDサイクルのプラズマ部分中に連続して流れる場合に、必ず発生する点に注意されたい。しかしながら、開示される実施形態は、この方法に限定されない。1種類又は複数種類の反応物は、CFDサイクルのプラズマ段階中流れることができるが、CFDサイクル中連続して流れる必要はない。更に、プラズマ活性化中気相で存在する反応物は、主反応物又は補助反応物とすることができる(2種類以上の反応物がCFDサイクルで用いられる場合)。
例えば、順序は、(i)反応物Aを導入すること、(ii)Aをパージすること、(iii)反応物Bを導入し、Bが流れている間にプラズマを当てること、及び(iv)パージすること、となる。かかる実施形態では、工程は、気相からプラズマ活性化反応種を用いる。これは、CFDが連続的なステップの順序に制約されない一般的な例である。
活性化プラズマが、固形成分前駆体(第1反応物)が反応器に供給される時間中、提供される場合、段差被覆性が、よりコンフォーマルでなくなる可能性があるが、堆積速度は通常速くなる。しかしながら、プラズマ活性化が1補助反応物供給中のみに行われる場合、必ずしもそうとは限らない。プラズマは、気相補助成分を活性化して、より反応し易くでき、その結果、コンフォーマル膜堆積反応における反応性を高められる。ある実施形態では、この特徴は、酸化物、窒化物、又は炭化物等のシリコン含有膜を堆積する際に、用いられる。
特徴4(堆積されたCFD膜のプラズマ処理)これらの実施形態では、プラズマは、コンフォーマル膜堆積工程において2つ以上の役割を果たすことができる。役割の1つは、各CFDサイクル中に、成膜反応を活性化又は駆動することである。他の役割は、1回又は複数回のCFDサイクルに続いて、CFD膜が部分的又は完全に堆積された後に、膜を処理することである。プラズマ処理は、1つ又は複数の膜特性を改質することを意図して行われる。通常、必ずしもではないが、プラズマ処理段階は、成膜反応を活性化する(即ち、成膜反応を駆動すること)ために用いられる条件とは異なる条件下で、行われる。一例として、プラズマ処理は、還元環境又は酸化環境の下で(例えば、水素又は酸素の存在下で)実行されてもよいが、これは、CFDサイクルの活性化部分中にそうする必要はない。
プラズマ処理の操作は、CFD工程のサイクル毎、1サイクルおきに、又はある程度低頻度で実行されてもよい。処理は、一定数のCFDサイクルと関係する規則的な間隔で実行される、又は可変的に(例えば、異なるCFDサイクル間隔で)又はランダムでも、実行されることができる。典型的な実施例では、膜堆積は、数CFDサイクルに亘り、適切な膜厚に達するまで実行され、その後にプラズマ処理が用いられる。その後、膜堆積が再び数CFDサイクルに亘りプラズマ処理なしに実行された後、プラズマ処理が再び実行される。こうした、X回の CFDサイクルに続いて、プラズマ処理(膜改質)が行われる特別な順序(super−sequence)は、CFDによって完全に成膜されるまで繰返されることができる。
ある実施形態では、プラズマ処理は、CFDサイクルを開始する前に、CFD膜が堆積される表面の1つ又は複数の特性を改質するために、実行されることができる。様々な実施形態では、表面は、シリコン(ドープ又はアンドープの)又はシリコン含有材料製である。改質表面は、次に堆積されるCFD膜との高質な界面をより良好に作成できる可能性がある。界面は、例えば、良好な接着性、例えば欠陥低減等を通じた信頼性の高い電気特性を提供することができる。
CFD前の基板の前処理は、いかなる特定のプラズマ処理にも限定されない。ある実施形態では、前処理は、水素プラズマ、窒素プラズマ、窒素/水素プラズマ、アンモニアプラズマ、アルゴンプラズマ、ヘリウムプラズマへの曝露、ヘリウムアニール、水素アニール、アンモニアアニール、及びヘリウム、水素、アルゴン、窒素、水素/窒素フォーミングガス、及び/又はアンモニアの存在下の紫外線硬化を伴う。プラズマ処理は、マイクロ波、ICPリモート、ダイレクトプラズマ発生装置及び当業者に既知の他のプラズマ発生装置を含むが、これらに限定されない様々なプラズマ発生装置で可能である。
全体的に、この処理は、CFDサイクル前、中、後に行うことができる。CFDサイクル中に行う場合、処理の頻度は、適切な堆積条件に対して選択されることができる。通常、処理は、1サイクル当たり1回以上は行わない。
一例として、若干炭素が存在する前駆体からシリコン窒化物を生成する工程について検討する。かかる前駆体の例としては、BTBASが挙げられる。前駆体に存在する炭素の結果として、堆積されたままの窒化膜は、若干炭素不純物を含んでおり、該不純物は、窒化物の電気特性を低下させることがある。この問題を解決するのに、炭素含有前駆体で数CFDサイクルを行った後に、部分的に堆積された膜は、炭素不純物を減少及び最終的に除去するために、プラズマの存在下で水素に曝露される。
膜表面を改質するのに用いられるプラズマ条件は、膜の特性及び/又は組成に関して所望する変化を齎すように選択されることができる。所望する改質のために選択できる及び/又は調整できるプラズマ条件の中には、酸化条件、還元条件、エッチング条件、プラズマを発生するのに使用される電力、プラズマを発生させるのに使用される周波数、プラズマを発生させる2種類以上の周波数の使用、プラズマ密度、プラズマと基板との間の距離等がある。プラズマ処理で改質できるCFD膜特性の例としては、膜内応力、エッチング耐性、密度、硬度、光学特性(屈折率、反射率、光学密度等)、誘電率、炭素含有量、電気特性(フラットバンド電圧の広がり(Vfb spread)等)等が挙げられる。
実施形態によって、プラズマ処理以外の処理が、堆積されたままの膜の特性を改質するために用いられる。かかる処理としては、電磁放射処理、熱処理(例えば、アニール、高温パルス)等が挙げられる。これらの処理のいずれも、単独、又はプラズマ処理を含む、別の処理と組合せて実行されることができる。かかる処理は何れも、上記プラズマ処理の何れかの代りに採用可能である。特定の実施形態では、処理は、膜を紫外線に曝露することを伴う。後述するように、特定の実施形態では、この方法は、紫外線をCFD酸化膜に原位置で(即ち、成膜中)又は酸化物の堆積後に、照射することを伴う。かかる処理は、欠陥構造を減少又は排除するのに役立つと共に、電気的性能を向上させるのにも役立つ。
ある特定の実施形態では、紫外線処理は、プラズマ処理と結び付けられる。これらの2操作は、同時に又は連続して実行可能である。連続して実行するオプションでは、任意で、紫外線操作が最初に行われる。同時に実行するオプションでは、2処理は、別々のソース(例えば、プラズマ用RF電源及び紫外線用ランプ)から、又は副産物として紫外線を生成するヘリウムプラズマ等の単独ソースから提供されることができる。
特徴5(CFDによって堆積し、その後PECVDに移行する)かかる実施形態では、完成された膜は、CFDによって一部が、またPECVD等のCVD工程によって一部が生成されている。通常、堆積工程のCFD部分が先に実行され、PECVD部分が2番目に実行されるが、必ずしもそうする必要はない。CFD工程とCVD工程を混合することで、段差被覆性を、CVD単独で見られる段差被覆性より向上でき、更に、堆積速度を、CFD単独で見られる堆積速度より向上できる。場合によっては、寄生的なCVD操作を作成して、それにより堆積速度を速くし、異なる種類(class)の膜を獲得する等のために、プラズマ又は他の活性化が、1つのCFD反応物が流れている間に、適用される。
ある実施形態では、2つ以上のCFD段階が用いられる、及び/又は2つ以上のCVD段階が用いられる。例えば、膜の最初の部分は、CFDによって堆積され、次に膜の中間部分がCVDによって堆積され、膜の最終部分がCFDによって堆積されることができる。かかる実施形態では、CFDによって膜の後半部分を堆積する前に、同様にプラズマ処理又はエッチングによって、膜のCVD部分を改質するのが望ましいかも知れない。
移行段階が、CFD段階とCVD段階との間で用いられてもよい。かかる移行段階中に用いられる条件は、CFD又はCVD段階のどちらかで用いられたものとは異なる。通常、必ずしもそうではないが、その条件により、CFD表面反応と、CVD型の気相反応が同時に可能になる。移行段階は、通常、プラズマへの曝露を伴い、該プラズマは、例えば、パルス状であってもよい。更に、移行段階は、低流量で、即ち工程の対応するCFD段階で用いられる流量より大幅に少量で、1種類又は複数種類の反応物を供給することを伴うことができる。
特徴6(CFDにより堆積し、エッチングし、その後更にCFDにより堆積する)かかる実施形態では、CFD堆積が、1又は複数サイクル(通常、数回のサイクル)実行され、その後、得られた膜は、例えば、凹入部(カスプ)に、又は付近にある若干の余分な膜を除去するために、エッチングされ、次に、CFD堆積の更なるサイクルが行われる。堆積膜における他の構造的な形体の例でも、同様な方法でエッチングされ得る。この工程用に選択されるエッチャントは、エッチングされる材料によって異なる。場合によっては、エッチング操作は、フッ素含有エッチャント(例えば、NF3)又は水素で、実行されてもよい。
ある実施形態では、リモートプラズマが、エッチャントを生成するのに用いられる。一般に、リモートプラズマは、ダイレクトプラズマより等方的にエッチングする。リモートプラズマは、一般的に、比較的高い分率でラジカルを基板に提供する。これらのラジカルの反応性は、凹部内での垂直位置によって異なることがある。この形体の上部では、ラジカルが、より集中し、その結果高速でエッチングする一方で、更に凹部を下方に降り、底部では、一部のラジカルが失われるため、低速でエッチングする。これは、勿論、凹部開口部で堆積し過ぎるという問題を解決するための望ましい反応プロファイルである。エッチングの際にリモートプラズマを使用する更なる利点は、プラズマが比較的弱いため、基板層を破損する虞がない点である。これは、下地基板層が酸化し易い又は他の損傷を受け易い場合に、特に有益なことがある。
特徴7(膜組成を更なる反応物で調整すること)本明細書で示す実施例の多くは、1又は2種類の反応物を用いるCFD工程に関する。更に、実施例の多くは、全てのCFDサイクルに同じ反応物を用いる。しかしながら、必ずしもそうする必要はない。まず、多くのCFD工程は、3種類以上の反応物を用いてもよい。例としては、(i)CFDの反応物として使用するタングステンに、ジボラン、六フッ化タングステン、及び水素、及び(ii)CFDの反応物として使用する酸化シリコンに、ジボランBTBAS、及び酸素が挙げられる。ジボランは、成長する膜から除去されることができる、又は適切な場合には、膜に取込むことができる。
更に、実施例の中には、ごく一部のCFDサイクルで更なる反応物を用いるものもある。基本的なCFD工程サイクルでは、基材膜組成物(例えば、酸化シリコン又は炭化シリコン)を作成するために、そうした反応物だけを用いる。この基本的な工程は、全て又は殆ど全てのCFDサイクルで実行される。しかしながら、一部のCFDサイクルは、変形サイクルとして実行され、通常の堆積サイクルの条件から逸脱する。例えば、変形サイクルは、1つ又は複数の更なる反応物を用いることがある。また、これらの変形サイクルは、必ずしもそうする必要はないが、基本的なCFD工程で用いられるのと同じ反応物を用いることもできる。
かかるCFD工程は、CFD膜として、ドープ酸化物又は他のドープ物質を用意する際に特に有益である。実装によっては、ドーパント前駆体は、「更なる」反応物として、極僅かなCFDサイクルのみに含まれる。ドーパントを添加する頻度は、ドーパントの所望する濃度によって決定される。 例えば、ドーパント前駆体は、10基材堆積サイクル毎に含まれてもよい。
特に熱活性化を必要とする他の多くの堆積工程とは異なり、CFD工程は、比較的低温で行われることができる。一般的に、CFD温度は、約20〜400℃である。かかる温度は、フォトレジストコア上の堆積等、温度に敏感な工程状況における堆積を可能にするよう、選択されることができる。特定の実施形態では、約20〜100℃の温度が、ダブルパターニングを(例えば、フォトレジストコアを使用して)塗布するのに使用される。別の実施形態では、約200〜350℃の温度が、メモリ作製処理に用いられる。
以上示唆されたように、CFDは、先進テクノロジノードにおける膜の堆積によく適している。従って、例えば、CFD処理は、32nmノード、22nmノード、16nmノード、11nmノード、及びこれらの内の何れか以降で、工程が統一されてもよい。これらのノードは、長年に亘るマイクロエレクトロニクス技術要件に関する業界のコンセンサスである、国際半導体技術ロードマップ(International Technology Roadmap for Semiconductors:ITRS)に記載されている。一般的に、ノードは、メモリセルのハーフピッチを基準にしている。特定の実施例では、CFD処理は、「2X」デバイス(20〜29nm領域のデバイス形体を有する)に適用される。
本明細書に記載したCFD膜の殆どの実施例は、シリコンベースのマイクロエレクトロニクスデバイスに関するものだが、膜は、他の分野でも適用が見られる。GaAsや他のIII−V半導体の他、HgCdTe等II−VI材料といった非シリコン半導体を使用するマイクロエレクトロニクス又はオプトエレクトロニクス半導体は、本明細書に開示されるCFD工程を使用することから利益を得られる。光起電デバイス等太陽エネルギ分野において、エレクトロクロミック分野及び他の分野において、コンフォーマル誘電体膜の適用が、可能である。
図1は、プラズマ活性化されるCFD工程の例示的な実施形態に関するタイミングチャート100を概略的に示している。2回の完全なCFDサイクルが表されている。図示されたように、各サイクルは、反応物Aに曝露する段階120、直ぐに続いて反応物Bに曝露する段階140、反応物Bを掃引する段階160、及び最終的にプラズマ活性化段階180を含む。プラズマ活性化段階180A及び180B中に提供されるプラズマエネルギは、表面に吸着された反応種AとBとの間の反応を活性化する。図示された実施形態では、一方の反応物(反応物A)が供給された後には、全く掃引段階が実行されない。実際に、この反応物は、膜堆積工程中連続して流れる。従って、反応物Aが気相で存在する間に、プラズマが着火される。上記特徴1〜3は、図1の実施例で具現化されている。
図示された実施形態では、反応ガスA及びBは気相で、反応することなく、共存できる。よって、ALD工程で説明された工程ステップの1つ又は複数が、この例示的なCFD工程では、短縮又は排除されることができる。例えば、A曝露段階120A及び120B後の掃引ステップが、排除されることができる。
CFD工程は、多数の異なる種類の膜の何れかを堆積するのに用いられる。本明細書で示された実施例の殆どは、誘電体材料に関するが、開示されたCFD工程は、導電材料及び半導体材料の膜を形成するのに用いられてもよい。窒化物及び酸化物は、取上げた誘電体材料であるが、炭化物、酸窒化物、炭素ドープ酸化物、ホウ化物等も生成されることができる。酸化物は、アンドープシリカガラス(undoped silicate glass:USG)、ドープシリカガラスを含む広範囲の材料を含む。ドープガラスの例としては、ホウ素ドープシリカガラス(boron doped silicate glass:BSG)、リンドープシリカガラス(phosphorus doped silicate glass:PSG)、及びボロンリンドープシリカガラス(boron phosphorus doped silicate glass:BPSG)が、挙げられる。
実施形態によっては、窒化シリコン膜は、シリコン含有反応物と1つ又は複数の窒素含有反応物及び/又は窒素含有反応物混合物との反応によって、形成されることができる。シリコン含有反応物の例としては、ビス(ターシャリーブチルアミノ)シラン(SiH(NHC(CH又はBTBAS)、ジクロロシラン(SiHCl)、及びクロロシラン(SiHCl)が挙げられるが、これらに限定されない。窒素含有反応物の例としては、アンモニア、窒素、及びtert−ブチルアミン((CHCNH又はt−ブチルアミン)が挙げられるが、これらに限定されない。窒素含有反応物混合物の例としては、窒素と水素の混合物が挙げられるが、これに限定されない。
1種類又は複数種類の反応物の選択は、様々な膜及び/又はハードウェアを検討して、行われてもよい。例えば、実施形態によっては、窒化シリコン膜は、ジクロロシランとプラズマ活性化窒素の反応から形成されることができる。ジクロロシランの窒化シリコン表面への化学吸着は、シリコン−水素終端表面を作成し、塩化水素(HCl)を放出できる。この化学吸着反応の実施例は、反応式1で図式的に表される。
Figure 2014532304
反応式1に示された環式中間体は、その後、プラズマ活性化窒素との反応によって、シリコンアミン終端表面に変成されることができる。
しかしながら、ジクロロシランの分子の中には、別のメカニズムで化学吸着するものもある。例えば、表面モホロジが、反応式1で表された環式中間体の構成を妨げる場合がある。別の化学吸着メカニズムの実施例については、反応式2に図式的に示される。
Figure 2014532304
次の窒素プラズマ活性化中、反応式2に示された残留中間種の塩素原子は、放出されることができ、プラズマにより活性化されることができる。これにより、窒化シリコン表面をエッチングでき、窒化シリコン膜を粗面化又は白濁化(hazy)する可能性もある。更に、残留塩素原子は、再吸着し、堆積膜を、物理的及び/又は化学的に、汚染する可能性があるかも知れない。この汚染は、窒化シリコン膜の物理特性及び/又は電気特性を変えてしまう虞がある。更にまた、活性化された塩素原子は、処理ステーションのハードウェアの一部に対してエッチング損傷を引起すかも知れず、処理ステーションの一部の耐用年数を短くする虞がある。
従って、実施形態によっては、クロロシランがジクロロシランの代りに用いられてもよい。そうすることで、膜汚染、膜損傷、及び/又は処理ステーションの損傷を軽減できる。クロロシランの化学吸着に関する実施例は、反応式3で図式的に示される。
Figure 2014532304
反応式3で表された実施例では、クロロシランをシリコン含有反応物として使用するが、当然ながら、任意の適当なモノ置換ハロシランが使用されることもできる。
以上説明したように、図示された中間構造体は、窒化シリコンのシリコンアミン終端表面を形成するために、窒素ソースと反応できる。例えば、アンモニアは、プラズマによって活性化され、様々なアンモニアのラジカル種を生成することができる。ラジカル種は、中間体と反応し、シリコンアミン終端表面を形成する。
しかしながら、アンモニアは、反応物供給ラインの表面、処理ステーション、及び排気配管に強力に物理吸着するため、パージ及び真空排気時間が延びる可能性がある。更に、アンモニアは、一部の気相シリコン含有反応物との反応性が高いことがある。例えば、ジクロロシラン(SiHCl)とアンモニアの気相混合物は、ジアミノシラン(SiH(NH)等の不安定種を生成する可能性がある。かかる種は、気相で分解し、小粒子の核を形成することがある。また、小粒子は、アンモニアが、ハロシランの化学吸着中に生成される塩化水素と反応した場合、形成されることもある。かかる粒子が、処理ステーションに蓄積して、基板表面を汚染することがあると、集積デバイスの不具合に繋がる虞があり、また処理ステーションのハードウェアを汚染することがあると、ツールのダウンタイムやクリーニングに繋がる虞がある。また、小粒子が排気配管に蓄積する可能性もあり、ポンプや送風機を詰まらせる可能性や、特別な環境排気用スクラバ及び/又はコールドトラップの必要性が出て来る可能性もある。
従って、実施形態によっては、置換アミンが、窒素含有反応物として使用されてもよい。例えば、t−ブチルアミン等のアルキル置換アミンをプラズマ活性化して生成された様々なラジカルが、処理ステーションに供給されてもよい。t−ブチルアミン等の置換アミンは、処理ハードウェアへの付着係数がアンモニアより低い可能性があり、その結果、比較的物理吸着率を低くでき、比較的処理パージ時間も短くできる。
更に、かかる窒素含有反応物は、塩化アンモニウムより比較的揮発性の高いハロゲン化塩を生成できる。例えば、塩化テトラブチルアンモニウム(t−butylammonium chloride)は、塩化アンモニウムより揮発性が高いことがある。そのため、ツールのダウンタイム、デバイスの欠陥形成、及び環境問題緩和費用を低減することができる。
更にまた、かかる窒素含有反応物は、様々な副産物反応によって、他のアミン前駆体を生成できる。例えば、t−ブチルアミンとジクロロシランとの反応は、BTBASを生成できる。従って、副生物で、窒化シリコンを生成するよう、代替ルートを提供して、膜の歩留まりを向上することができる。別の実施例では、置換アミンは、窒化シリコン膜に対して低温で熱活性化されるルートを提供できる。例えば、t−ブチルアミンは、300℃超の温度で、熱分解して、イソブチレンとアンモニアを生成する。
上記提供された説明目的の実施例では、t−ブチルアミンを使用した窒化シリコン膜の形成について記載しているが、当然ながら任意の適当な置換アミンが、本開示の範囲内で用いられてもよい。適当な置換アミンは、実施形態によっては、反応物の熱力学的特性及び/又は反応特性に基づいて、選択されてもよい。例えば、反応物から生成されるハロゲン化塩の相対的な揮発性が、関連する温度によって様々な熱分解経路が存在し、選択できるので、考慮されてもよい。
更に、上記提供された実施例では、窒化シリコン膜の堆積について記載しているが、当然ながら、上述した原理は、一般的に、他の膜の堆積にも適用できる。例えば、実施形態によっては、酸化シリコンを堆積するために、適当なハロシランを酸素プラズマ等の適当な酸素含有反応種と組合せて使用できる。
反応物、製品膜、及び膜特性及び処理特性範囲の非限定的なリストが、表1に提供される。
Figure 2014532304
また、図1は、様々なCFD処理パラメータに関する、時間経過に伴う例示的なCFD工程段階の実施形態についても示している。図1では、例示的な2堆積サイクル110A及び110Bについて表しているが、当然ながら、所望する膜厚を堆積するのに、任意の適当な回数の堆積サイクルが、CFD工程に含まれてもよい。例示的なCFD処理パラメータとしては、不活性種及び反応種に関する流量、プラズマ出力及び周波数、基板温度、及び処理ステーションの圧力が挙げられるが、これらに限定されない。BTBAS及び酸素を使用する例示的な二酸化シリコン堆積サイクルに関する、非限定的なパラメータ範囲が、表2に提供される。
Figure 2014532304
CFDサイクルは、通常、各反応物の曝露段階を含む。この「曝露段階」中、基板表面に反応物を吸着させるために、反応物が処理チャンバに供給される。通常、曝露段階の始めには、基板表面には、明らかな量の反応物は吸着されない。図1では、反応物Aの曝露段階120A及びBで、反応物Aが、制御流量で、処理ステーションに供給され、基板の曝露面を飽和状態にする。反応物Aは、任意の適当な堆積反応物とされてもよい;例えば、主反応物又は補助反応物。CFDが二酸化シリコン膜を製造する一実施例では、反応物Aを、酸素としてもよい。図1で示された実施形態では、反応物Aは、堆積サイクル110A及び110Bを通して連続的に流れる。気相反応を防止するために、膜前駆体の曝露が別々に行われる通常のALD工程とは異なり、反応物A及びBは、CFD工程の幾つかの実施形態では、気相で混合可能である。上述したように、実施形態によっては、反応物A及びBは、プラズマエネルギを印加する前又は表面反応を活性化する前に、反応器内で発生する条件下で互いに明らかに反応せずに、気相で共存できるように、選択される。場合によっては、反応物は、(1)両反応物間の反応が、熱力学的に好ましく(即ち、ギブスのフリーエネルギ<0)、且つ(2)その反応は、十分高い活性化エネルギを有するが、所望の堆積温度では、無視できる程度の反応しかしないようなものが、選択される。これらの基準を満たす様々な反応物の組合せが、本開示の他の箇所にも認められる。多くのそうした組合せは、室温で固体となる元素を提供する主反応物、及びそうでない補助反応物を含む。一部の組合せで使用される補助反応物の例としては、酸素、窒素、アルキルアミン、及び水素が挙げられる。
連続して反応物Aを処理ステーションに供給することで、ALD工程では、反応物Aがまず供給開始され、その後安定化され、基板に曝露され、その後供給停止され、最終的に反応器から除去されるのと比べて、反応物Aの流量が供給開始され、安定化するまでの時間を短縮又は排除できる。図1に示された実施形態では、反応物A曝露段階120A及びBを、一定流量を有するものとして、表しているが、当然ながら、変流量を含む、任意の適当な反応物Aの流れも、本開示の範囲内で用いられてもよい。更に、図1では、反応物Aは全CFDサイクル中(堆積サイクル110A)中一定流量に保たれるが、これは必ずしもそうである必要はない。例えば、反応物Aの流量は、B曝露段階140A及び140B中減少してもよい。これにより、Bの分圧を増大させ、その結果、反応物Bを基板表面に吸着させる駆動力を高められる。
実施形態によっては、反応物A曝露段階120Aは、反応物Aのための基板表面飽和時間を超える期間を有することができる。例えば、図1の実施形態は、反応物A曝露段階120Aに、反応物A飽和後曝露時間130を含む。任意で、反応物A曝露段階120Aは、制御流量の不活性ガスを含む。例示的な不活性ガスは、窒素、アルゴン、及びヘリウムを含むが、これらに限定されない。不活性ガスは、処理ステーションの圧力及び/又は温度制御、液状前駆体の蒸発、前駆体のより迅速な供給を助けるために、及び/又は処理ステーション及び/又は処理ステーションの配管から処理ガスを除去するための掃引ガスとして提供されることができる。
図1に示された実施形態の反応物B曝露段階140Aでは、曝露される基板表面を飽和状態にするために、反応物Bが、制御流量で処理ステーションに供給される。一実施例の二酸化シリコン膜では、反応物BをBTBASとしてもよい。図1の実施形態では、反応物B曝露段階140Aを、一定流量を有するものとして表しているが、当然ながら、変流量を含む任意の適当な反応物Bの流れが、本開示の範囲内で、用いられる。更に、当然ながら、反応物B曝露段階140Aは、任意の適当な期間を有することができる。実施形態によっては、反応物B曝露段階140Aは、反応物Bのための基板表面飽和時間を超える期間を有することができる。例えば、図1に示された実施形態では、反応物B曝露段階140Aに含まれる、反応物B飽和後曝露時間150を表している。任意で、反応物B曝露段階140Aは、適切な不活性ガスの制御流を含んでもよく、該不活性ガスは、上述したように、処理ステーションの圧力及び/又は温度制御、液状前駆体の蒸発、前駆体のより迅速な供給を助けることができる、及び処理ステーションガスの逆拡散を防止できる。図11で示された実施形態では、不活性ガスが、反応物B曝露段階140Aを通して処理ステーションに連続的に供給される。
実施形態によっては、堆積反応をプラズマ活性化することで、結果的に、熱活性化反応より堆積温度を低くでき、利用可能な集積工程のサーマルバジェットの消費を削減できる可能性がある。例えば、実施形態によっては、プラズマ活性化CFD工程は、室温で行うことができる。
図1に表されたCFD工程の実施形態は、プラズマ活性化されるが、当然ながら、他の非熱エネルギ源も、本開示の範囲内で、使用されてもよい。非限定的な非熱エネルギ源の例としては、紫外線ランプ、下流又はリモートプラズマソース、誘導結合プラズマ、及びマイクロ波表面波プラズマが挙げられるが、これらに限定されない。
更に、本明細書で言及された多くの実施例が、2種類の反応物(A及びB)を含むが、当然ながら、任意の適当な数の反応物が、本開示の範囲内で用いられる。実施形態によっては、反応物の表面分解反応用プラズマエネルギを供給するのに使用される単一の反応物及び不活性ガスが、使用されてもよい。或いは、特徴7の内容において上述されたように、実施形態によっては、膜を堆積するのに3種類以上の反応物を使用できる。
シナリオによっては、表面吸着されたB種は、基板表面で不連続な島として存在することがあり、反応物Bが表面飽和状態になるのを難しくしている。様々な表面条件が、基板表面で反応物Bの核形成や飽和を遅延させる可能性がある。例えば、反応物A及び/又はBの吸着で放出されたリガンドが、一部の表面活性部位を遮断し、反応物Bの更なる吸着を妨げてしまうことがある。従って、実施形態によっては、反応物B曝露段階140A中に、反応物Bの流れを調整することによって、及び/又は反応物Bを処理ステーションに離散的にパルス状に流入することによって、連続する反応物Bの吸着層が、設けられる。これにより、一定流シナリオと比較して、反応物Bを節約しつつ、表面吸着工程及び表面脱着工程に時間的余裕を持たせることができる。
加えて又は或いは、実施形態によっては、1つ又は複数の掃引段階が、連続する反応物Bの曝露間に含まれることができる。例えば、図2の実施形態では、堆積サイクル210に関する、例示的なCFD工程タイムチャート200を図式的に示している。反応物B曝露段階240Aでは、反応物Bが、基板表面に曝露される。次に、掃引段階260Aで、反応物Bが供給停止され、反応物Bの気相種が、処理ステーションから除去される。一シナリオでは、気相反応物Bは、反応物A及び/又は不活性ガスの連続流によって転位されることができる。別のシナリオでは、気相反応物Bは、処理ステーションから真空排気することによって、除去されることができる。気相反応物Bを除去することで、吸着/脱着工程の平衡をシフトさせ、リガンドを脱着し、被吸着反応物Bの表面での再配置を促して、不連続な被吸着反応物Bの島同士を合併させることができる。反応物B曝露段階240Bでは、反応物Bが再び基板表面に曝露される。図2で示された実施形態は、1回の反応物Bの掃引及び曝露サイクルを含むが、当然ながら、掃引サイクルと曝露サイクルとを交互に繰り返す回数は、任意の適当な回数が、本開示の範囲内で用いられてもよい。
図1の実施形態に戻ると、180Aでのプラズマによる活性化の前に、気相反応物Bは、実施形態によっては、掃引段階160Aで処理ステーションから除去されることができる。CFDサイクルは、上記の曝露段階に加えて1つ又は複数の掃引段階を含むことができる。更に、処理ステーションを掃引することで、掃引しなければ残留し、膜を汚染する可能性がある表面に吸着されたリガンドを除去することができる。掃引ガスの例としては、アルゴン、ヘリウム、及び窒素を含むが、これらに限定されない。図1に示された実施形態では、掃引段階160A用掃引ガスは、不活性ガス流によって供給される。実施形態によっては、掃引段階160Aは、処理ステーションを真空排気するための1つ又は複数の真空排気のサブ段階を含むことができる。或いは、当然ながら、実施形態によっては、掃引段階160Aは省略されることができる。
掃引段階160Aは、任意の適当な期間を有してもよい。実施形態によっては、1種類又は複数種類の掃引ガスの流量を増大させることで、掃引段階160Aの期間を短縮できる。例えば、掃引ガスの流量は、掃引段階160Aの期間を変更するために、様々な反応物の熱力学的特性、及び/又は処理ステーション及び/又は処理ステーションの配管の幾何特性に応じて、調節されることができる。非限定的な一実施例では、掃引段階の期間は、掃引ガス流量を調整することで最適化できる。これにより、堆積サイクルタイムを短縮でき、その結果、基板処理能力を向上できる。
CFDサイクルは、通常、上記の曝露段階及び任意の掃引段階に加えて、「活性化段階」を含む。活性化段階は、基板表面に吸着された1種類又は複数種類の反応物の反応を駆動する役目を果たす。図1に示された実施形態のプラズマ活性化段階180Aでは、プラズマエネルギが、表面に吸着された反応物AとBとの間の表面反応を活性化させるために提供される。例えば、プラズマは、反応物Aラジカルを生成するために、直接又は間接的に反応物Aの気相分子を活性化できる。これらのラジカルは、その後被表面吸着反応物Bと相互作用し、その結果成膜表面反応する。プラズマ活性化段階180Aは、堆積サイクル110Aを完結するが、図1の実施形態では、その次に、堆積サイクル110Bが行われ、反応物A曝露段階120Bが開始する。
実施形態によっては、プラズマ活性化段階180Aで着火されるプラズマは、基板表面の直上で生成されることができる。これにより、プラズマ密度を高くでき、反応物Aと反応物Bとの間の表面反応率を向上できる。例えば、CFD工程用プラズマは、2枚の容量結合されたプレートを使用して高周波(radio frequency:RF)電界を低圧ガスに印加することによって、生成されることができる。代替の実施形態では、遠隔生成されるプラズマが、主反応チャンバの外で生成されてもよい。
任意の適当なガスが、プラズマを生成するのに使用されることができる。第1実施例では、アルゴン又はヘリウム等の不活性ガスが、プラズマを生成するのに使用されることができる。第2実施例では、酸素又はアンモニア等の反応物ガスが、プラズマを生成するのに使用されることができる。第3実施例では、窒素等の掃引ガスが、プラズマを生成するのに使用されることができる。勿論、これらの種類のガスの組合せが、用いられてもよい。RF電界によってプレート間でガスをイオン化させて、プラズマを着火し、プラズマ放電領域で自由電子を発生させる。これらの電子は、RF電界によって加速され、気相反応分子と衝突することがある。これらの電子が反応分子と衝突することで、堆積工程に関与するラジカル種を生成できる。当然ながら、RF電界は、任意の適当な電極によっても結合されることができる。電極の非限定的な実施例は、処理ガス分散シャワーヘッド及び基板支持台を含む。当然ながら、CFD工程用プラズマは、ガスに対するRF電界の容量結合以外の1つ又は複数の適当な方法によって、生成されてもよい。
プラズマ活性化段階180Aは、任意の適当な期間を有することができる。実施形態によっては、プラズマ活性化段階180Aは、プラズマ活性化されたラジカルが全ての曝露された基板表面及び吸着質と相互作用する時間を超える期間を有し、基板表面に連続膜を形成することができる。例えば、図1に示された実施形態は、プラズマ活性化段階180Aに、プラズマ後飽和曝露時間190を含んでいる。
以下で更に十分に説明されるように、また特徴4に関する言及で示唆されたように、プラズマ曝露時間を延長することで、及び/又は複数のプラズマ曝露段階を設けることで、堆積膜のバルク部及び/又は表面近傍部の後反応処理を提供できる。一シナリオでは、プラズマ処理によって表面汚染を軽減することで、反応物Aの吸着用表面を用意できる。例えば、シリコン含有反応物と窒素含有反応物の反応から生成される窒化シリコン膜は、その後の反応物の吸着に抵抗する表面を有するかも知れない。プラズマで窒化シリコン表面を処理することで、その後の吸着及び反応現象を促進する水素結合を発生できる。
実施形態によっては、膜応力、誘電率、屈折率、エッチレート等の膜特性は、プラズマパラメータを変化させることによって調整されることができ、これについては、以下で更に詳細に言及する。表3は、400℃で堆積された3つのCFD二酸化シリコン膜の実施例に関する様々な膜特性の実施例リストを提供している。また、参照目的で、表3は、400℃で堆積されたPECVD二酸化シリコン膜の実施例に関する膜情報も含む。
Figure 2014532304
例えば、図3は、堆積段階310に続いてプラズマ処理段階390を含むCFD工程タイムチャート300に関する実施形態について図式的に示している。当然ながら、任意の適当なプラズマが、プラズマ処理段階中に使用されてもよい。第1シナリオでは、第1プラズマガスが、堆積サイクルの活性化中に使用され、第2の異なるプラズマガスが、プラズマ処理段階中に使用されることができる。第2シナリオでは、第2の異なるプラズマガスが、プラズマ処理段階中、第1プラズマガスを補うことができる。例示的な原位置プラズマ処理サイクルのための非限定的なパラメータ範囲が、表4に提供される。
Figure 2014532304
図3に示されたプラズマ活性化段階380では、基板表面は、膜堆積反応を活性化するためにプラズマに曝露される。図3に示された実施形態で表されたように、処理ステーションには、プラズマ処理掃引段階390Aでは、例えば、酸素等の補助反応物としてもよい反応物A及び不活性ガスの連続流が提供される。処理ステーションを掃引することで、処理ステーションから揮発性の汚染物質を除去できる。掃引ガスは図3に示されているが、当然ながら、任意の適当な反応物除去方法も、本開示の範囲内で使用されてもよい。プラズマ処理活性化段階390Bでは、プラズマが、新たに堆積された膜のバルク領域及び/又は表面近傍領域を処理するために、着火される。
図3の実施形態は、プラズマ処理段階を含む1回のCFDサイクルを含むが、当然ながら、任意の適当な繰返し回数が、本開示の範囲内で用いられてもよい。更に、当然ながら、1回又は複数回のプラズマ処理サイクルが、通常の堆積サイクル間に間隔を開けて(規則的に又は別の方法で)、挿入されてもよい。例えば、図4は、2堆積サイクル間に挿入されるプラズマ処理段階を含むCFD工程タイムチャート400の実施形態を示している。図4の実施形態は、2堆積サイクル間に挿入されるプラズマ処理サイクルを含むが、当然ながら、任意の適当な回数の堆積サイクルが、1回又は複数回のプラズマ処理サイクル前又は後に行われてもよい。例えば、プラズマ処理が膜密度を変更するのに使用されるシナリオでは、プラズマ処理サイクルは、10堆積サイクル毎に挿入されることができる。プラズマ処理が吸着及び反応事象(event)用表面を用意するのに使用されるシナリオでは、プラズマ処理段階は、全てのCFDサイクルに、例えば各CFD堆積段階後に、組込まれることができる。
堆積膜のプラズマ処理は、膜の1つ又は複数の物理特性を変更できる。一シナリオでは、プラズマ処理は、新たに堆積される膜を緻密化できる。緻密膜は、非緻密膜より、エッチング耐性が高いことがある。例えば、図5は、熱成長した二酸化シリコン膜のエッチレートに対する、例示的なCFD処理された二酸化シリコン膜のエッチレートの比較500に関する実施形態を示している。図5の例示的な膜の実施形態は、CFD工程502及び504によって50〜400℃の様々な温度で堆積された。参考のために、プラズマCVD工程で堆積されたアンドープシリカガラス(USG)及び二酸化シリコンスペーサ層の相対的なエッチレートが、図5に表示されている。各堆積サイクルに1秒の高周波酸素プラズマ活性化段階を含む、工程502によって生成された膜は、希フッ酸(100:1 水:フッ酸(H2O:HF))によるウエットエッチングに対する耐性が、各堆積サイクルに10秒の高周波酸素プラズマ活性化段階を含む膜504の約半分であった。従って、プラズマ活性化段階の1つ又は複数の態様を変えることで、及び/又は、1回又は複数回のプラズマ処理サイクルを含むことで、堆積膜のエッチレートを変えられることが分かる。
別のシナリオでは、膜のプラズマ処理は、膜の応力特性を変化させることができる。例えば、図6は、例示的なCFD二酸化シリコン膜に関するウエットエッチレート比と膜応力との相関関係600の実施形態を示している。図6で示された実施形態では、例えば、プラズマ曝露時間を長くすることによってウエットエッチレート比を低減すると、圧縮膜応力を増大させる可能性がある。
別のシナリオでは、堆積膜のプラズマ処理は、他の膜成分(例えば、例示的な二酸化シリコン膜におけるシリコン及び/又は酸素)に対して微量な膜汚染物質(例えば、例示的な二酸化シリコン膜における水素、窒素及び/又は炭素)を一時的に分画除去することができる。例えば、図7は、堆積温度、プラズマ曝露時間、膜汚染物質濃度との相関関係700に関する実施形態を示している。図7に示された実施形態では、50℃で堆積され、10秒間酸素プラズマ活性化段階を行ったCFD二酸化シリコン膜704は、水素と炭素の濃度が、同じ温度で堆積されたが、1秒間だけ酸素プラズマ活性化段階を行ったCFD二酸化シリコン膜702より低いことを、表している。膜における汚染物質濃度を変更することで、膜の電気特性及び/又は物理特性を変更できる。例えば、炭素及び/又は水素含有量を調節することで、膜誘電率、及び/又は膜エッチレートを調節できる。従って、プラズマ活性化段階の1つ又は複数の態様を変更することで、及び/又は、1回又は複数回のプラズマ処理サイクルを含むことで、膜組成を変更する方法を提供できることが分かる。
上述したプラズマ処理は、酸素プラズマ処理に関するが、当然ながら、任意の適当なプラズマ処理が、本実施形態の範囲から逸脱せずに、用いられてもよい。例えば、実施形態によっては、置換アミンが、適当なCFD工程における窒素含有反応物として、NHの代りに用いられてもよい。NHをコンフォーマルSiN堆積のために置換アミン(例えば、t−ブチルアミンのようなアルキルアミン)と交換することで、多くの利益を提供できるが、場合によっては、堆積膜は、アルキルアミン反応物から発生する炭素残留物(例えば、其々t−ブチルアミン分子(NH(CH)を含む3つのメチル基からの炭素残留物)を含む可能性もある。この膜内炭素の結果、漏電を齎す虞があり、膜を誘電体バリア用途に使用できない可能性もある。
従って、実施形態によっては、SiN膜堆積中に水素プラズマを着火することで、SiN膜における炭素残留物を減少させることができ、それにより膜の絶縁特性を比較的向上できる。実施形態によっては、炭素残留物の減少は、FTIRスペクトルで容易に観察できる。例えば、SiN:C−Hレベルが、約10at%から約1at%に減少されることがある。
そのために、実施形態によっては、窒化シリコン膜が、アルキルアミン又は窒素含有反応物に含まれるアルキルアミンの混合物を使用するCFD工程、及び1又は複数回の水素プラズマ処理で、堆積されることができる。当然ながら、任意の適当な水素プラズマが、本開示の範囲から逸脱せずに用いられてもよい。従って、実施形態によっては、H2にHe又はAr等のガスを混合したもの、又は他のH含有ガス、又はリモートプラズマソースによって発生させた活性H原子が、堆積膜を処理するために、使用されることができる。更に、実施形態によっては、膜の炭素含有量は、処理パルス数及び処理パルスの期間、処理プラズマの強度、基板温度、及び処理ガス組成の中の1つ又は複数を変更することによって、任意の適当な濃度に調整されることができる。
上述した水素プラズマ処理は、窒化シリコン膜に関するが、当然ながら、適当な水素プラズマ処理の応用が、SiOx、GeOx、及びSiOxNyを含むが、これらに限定されない、他のCFD堆積膜の炭素含有量を調節するのに使用されてもよい。
本明細書に開示されたある実施形態は、CFD酸化膜の紫外線処理(プラズマ処理の有無に関わらず)に関する。この処理は、酸化物の欠陥を緩和して、ゲート誘電体のCV特性等の電気特性を向上できる。かかる処理から利益を得られるCFD酸化物を用いるデバイス及びパッケージ用途としては、シリコン貫通ビア、ゲート酸化物を用いる論理技術、シャロートレンチ分離(shallow trench isolation:STI)、STI−フォトレジスト剥離後に形成される薄熱酸化、Pウェル注入前の犠牲酸化物(例えば、〜60A)、「ウェル」後の熱酸化物成長、ゲート/チャネル酸化物、DRAMのプレメタル誘電体(Pre−Metal Dielectric:PMD)用PECVD酸化物が挙げられる。
場合によっては、未処理のCFD酸化膜は、堆積されたままの膜における固定電荷と思われる原因で、比較的電気性能が悪いと観察されたものもある。例えば、膜の中には、ウエハ内Vfbの変化が大きいものも見られた。そうした問題は、紫外線照射を伴う堆積後処理及び/又は水素存在下での熱アニールを使用することによって、解決された。この工程は、(1)酸化物とシリコンの界面、又は(2)被堆積誘電体膜内、又は(3)大気/酸化表面(表面電荷)での固定電荷に関する欠陥を不動態化及び/又は緩和すると思われる。かかる処理を使用することで、堆積されたままの酸化物に関するVfbの広がりが、紫外線硬化後8.3V〜約1.5Vに狭められた。
これらの実施形態は、主として酸化膜を改善することに関するが、開示された方法は、一般的に、誘電体、金属、金属/誘電体界面工学の発展に適用されることができる。特定の誘電体材料としては、例えば、ドープ酸化シリコンを含む酸化シリコン、炭化シリコン、オキシ炭化シリコン(silicon oxycarbide)、窒化シリコン、オキシ窒化シリコン(silicon oxynitride)、及びアッシング可能なハードマスク材料が挙げられる。
誘電特性を向上するために適用できる処理の例として、以下が挙げられる。
(A)紫外線硬化した後に水素アニールを行うCFDによって合成される、誘電体膜の堆積後処理。最も単純な実施形態では、固定電荷を低減するために、紫外線処理のみが使用される。
(B)H−プラズマ、N−プラズマ、N/H−プラズマ、NH−プラズマ、Ar−プラズマ、He−プラズマ、Heアニール、H−アニール、NH−アニール、及びHe、H、Ar、N、H/N−フォーミングガス、NHの存在下での紫外線硬化を含む、処理を伴う、CFD−誘電体膜堆積前の基板の前処理。プラズマ処理は、マイクロ波、ICPリモート、ダイレクトプラズマ発生装置等を含むが、これらに限定されない様々なプラズマ発生装置で可能である。
(C)H−プラズマ、N−プラズマ、N/H−プラズマ、NH−プラズマ、Ar−プラズマ、He−プラズマ、Heアニール、H−アニール、NH−アニール、及びHe、H、Ar、N、H/N−フォーミングガス、NHの存在下での紫外線硬化を含む、処理を伴う、同時処理(堆積中に硬化)。プラズマ処理は、マイクロ波、ICPリモート、ダイレクトプラズマ発生装置、及び当業者に既知の他のプラズマ発生装置を含むが、これらに限定されない様々なプラズマ発生装置で実行可能である。リモートプラズマ、紫外線照射、ダイレクトプラズマ、及びマイクロ波プラズマを含むが、これらに限定されない等方的及び指向的な処理が、適用されることができる。例示的な方法としては、CFDサイクル群間に膜を断続的に処理する方法が挙げられる。CFDサイクル群は、約1〜10,000サイクルまで様々とすることができる。典型的なシナリオは、(1)5サイクルのCFD酸化物成長、その後(2)上述した方法の何れかで1つ又は複数の膜処理(例えば、He−プラズマ、紫外線処理)、その後(3)5サイクルのCFD酸化物成長を含む。この方法は、任意の所望される厚さの膜を成長するために使用されることができる。
(D)任意の上記プラズマによる副産物として施される(例えば、ヘリウムプラズマが、紫外線を照射する)紫外線処理。
CFDサイクル中での原位置「硬化」に関する手順の一例として、以下の操作が挙げられる。
He−プラズマを介した紫外線処理
BTBASのドーズ
パージ
/Ar−RFプラズマ活性化
パージ
ステップ1〜5を繰返して、所望される厚さの膜を生成。
紫外線硬化条件の範囲は、上記内容の何れにも採用されることができる。一般に、載置台温度は、硬化中約250〜500℃に維持される。多くのデバイス作製用途には、上限温度は、450℃に又は400℃にも限定される。硬化中に用いられる雰囲気は、不活性又は反応性としてもよい。硬化中に存在してもよいガスの例としては、ヘリウム、アルゴン、窒素、フォーミングガス、及びアンモニアが挙げられる。かかるガスの流量は、約2〜20,000sccm、好適には約4,000〜18,000sccmとすることができる。紫外線ランプのパワーは、例えば2〜10kW、好適には約3.5〜7kWとすることができる。かかるソースからの紫外線に曝露する適切な期間は、約20〜200秒(例えば、約90秒)である。最後に、圧力は、0〜約40トルのレベルに保たれることができる。
特定の実施形態では、CFD酸化物の効果的な処理が、以下の条件を使用して行われた。
載置台温度=400℃
雰囲気= He
圧力=40トルHe
流量=10,000sccm
実施形態によっては、酸化物の熱アニールは、紫外線硬化操作後に実行される。一実施例では、以下の条件が、アニールの際に使用された。
載置台温度=400℃
雰囲気=H+N
圧力=2.5トル
流量=750sccm H;3000sccm N
また、堆積膜の物理特性及び電気特性も、堆積温度等の他の処理パラメータを調節することによって変更できる。また、例えば、図7で図示された実施形態の相関関係700は、CFD膜堆積温度と膜汚染物質濃度との関係の例を示している。膜堆積温度が高くなるのに従い、膜汚染物質の付着は減少する。別の実施例では、図5に示された実施形態では、上述したように、堆積温度が高くなるに従い、例示的な二酸化シリコンCFD膜のウエットエッチレート比が低下することを示している。膜特性を調整するために調節されることができる他の堆積パラメータとしては、RF電力、RF周波数、圧力、及び流量が挙げられる。更に、実施形態によっては、膜の特徴は、反応物の選択を変更することによって、変更されることができる。例えば、二酸化シリコン膜の水素含有量は、シリコン含有反応物としてテトライソシアナートシラン(tetra isocyanate silane:TICS)、及び酸素含有反応物として酸素及び/又は亜酸化窒素を使用することによって、低減されることができる。
当然ながら、上述したような膜の物理特性及び/又は電気特性の変化は、デバイスの性能及び歩留まりを調節する機会だけでなく、デバイスの製造工程を統合する態様を変更する機会も提供できる。非限定的な一実施例として、CFD二酸化シリコン膜のエッチレート特性を調整する能力により、この膜は、エッチストップ、ハードマスク、及び他の工程統合用途に適する可能性がある。従って、CFDで生産される膜の様々な実施形態は、本明細書では、集積半導体デバイス作製工程全体に亘る用途に提供される。
一シナリオでは、CFD工程は、コンフォーマル二酸化シリコン膜を非平面基板上に堆積できる。例えば、CFD二酸化シリコン膜は、シャロートレンチ分離(shallow trench isolation:STI)構造のトレンチ充填等、構造のギャップ充填に使用されることができる。後述する様々な実施形態は、ギャップ充填用途に関するが、当然ながら、これは単に非限定的で、説明目的の用途であり、他の適当な膜材料を使用する他の適当な用途も、本開示の範囲内であり得る。CFD二酸化シリコン膜の他の用途としては、層間誘電体(interlayer dielectric:ILD)用途、金属間誘電体(intermetal dielectric:IMD)用途、プレメタル誘電体(PMD)用途、シリコン貫通ビア(through−silicon via:TSV)用誘電体ライナ用途、抵抗変化メモリ(resistive RAM:ReRAM)用途、及び/又はDRAM用途におけるスタックトキャパシタ作製が挙げられるが、これらに限定されない。
ドープ酸化シリコンは、ホウ素、リン、又はヒ素ドーパントの拡散ソースとして使用されることができる。例えば、ホウ素ドープシリカガラス(BSG)、リンドープシリカガラス(PSG)、又はボロンリンドープシリカガラス(BPSG)にも使用可能である。ドープCFD層は、例えば、マルチゲートFinFET等の三次元トランジスタ構造及び三次元メモリデバイスにおいて、コンフォーマルドーピングを提供するのに、採用可能である。従来のイオン注入装置は、特に高アスペクト比の構造で、容易に側壁をドープできない。CFDドープ酸化物を拡散ソースすることには、様々な利点がある。まず、CFDドープ酸化物は、低温で高いコンフォーマリティを提供する。対照的に、低圧CVD生産されるドープTEOS(テトラエチルオルソシリケート:tetraethylorthosilicate)が知られているが、高温で堆積する必要があり、相対的に、準常圧CVD及びPECVDドープ酸化膜は、より低温で可能であるが、コンフォーマリティは不十分である。ドーピングのコンフォーマリティは重要だが、膜自体のコンフォーマリティも重要である、というのも、膜は通常、犠牲的に塗布され、その結果除去される必要があるためである。非コンフォーマル膜は、通常、除去に関してより難しい課題に直面している、即ち、一部の領域が、オーバーエッチングされることがある。また、CFDは、ドーピング濃度を極めて良好に制御できる。上述したように、CFD工程は、数層のアンドープ酸化物から、その後、単層のドーピングを提供できる。ドーピングのレベルは、ドープ層が堆積される頻度及びドーピングサイクルの条件から厳格に制御可能である。ある実施形態では、ドーピングサイクルは、例えば、有意な立体障害を伴うドーパントソースを使用することによって、制御される。従来のシリコンベースのマイクロエレクトロニクスに加えて、CFDドープの他の用途として、GaAs等のIII−V半導体及びHgCdTe等のII−VI半導体に基づくマイクロエレクトロニクス及びオプトエレクロニクス、光発電、フラットパネルディスプレイ、及びエレクトロクロミック技術が挙げられる。
一部のギャップ充填工程は、異なる堆積ツールで実行される2膜堆積ステップを伴い、堆積工程間の真空破壊及び大気曝露を必要とする。図8は、複数のギャップ802を含む例示的な非平面基板800を図式的に示している。図8に表されるように、ギャップ802は、異なるアスペクト比を有し、各アスペクト比は、各ギャップ802のギャップ深さ(H)とギャップ幅(W)との比率として定義されることができる。例えば、集積半導体デバイスのロジック領域は、異なるロジックデバイス構造に対応する様々なギャップアスペクト比を有することができる。
図8に表されるように、非平面基板800は、薄いコンフォーマル膜804で被覆されている。コンフォーマル膜804が、ギャップ802Aを完全に充填している一方で、ギャップ802B及び802Cは開口状態のままになっている。ギャップ802B及び802Cをコンフォーマル膜で密閉すると、工程時間が延長されるかも知れない。従って、やり方によっては、厚い膜は、CVD及び/又はPECVD法等の堆積速度が速い処理で、原位置以外(ex−situ)で堆積されてもよい。しかしながら、ギャップ充填膜を原位置以外で堆積すると、製造ラインにおけるウエハ処理能力を低下させる虞がある。例えば、堆積ツール間の基板ハンドリング及び移送時間は、生産期間中、多くの基板処理活動を減らす虞がある。そのため、製造ライン処理能力を低下させ、製造ラインに更なる処理ツールを設置したり、該ツールをメンテナンスしたりする必要が出て来るかも知れない。
更に、ギャップ802Cのアスペクト比は、気相堆積工程に適するかも知れないが、ギャップ802Bのアスペクト比は、堆積速度が速い処理では充填が不完全になり、キーホールボイドを形成する虞がある。例えば、図10は、基板1002に形成された高アスペクト比構造1000の例を示している。図10に表されるように、厚い膜1006を堆積中にブレッドローフィング(bread−loafing)作用により、キーホールボイド1008が発生している。キーホールボイドは、続く工程でも、再び開口状態になり、導電性膜で充填されるものの、デバイスのショートを招く可能性がある。
ギャップ802B等の高アスペクト比のギャップを解決するためのやり方の中には、かかるギャップを形成させないデバイス設計ルールを設けるやり方もある。しかしながら、かかる設計ルールは、更なるマスキングステップを必要とする可能性があり、デバイスの設計を難しくする、及び/又は、集積半導体デバイス面積を増大させる可能性もあり、製造コストの増大にもつながりかねない。従って、実施形態によっては、CFD工程は、原位置でのCFD工程からCVD及び/又はPECVD工程への移行を含んでもよい。例えば、図9は、3段階に分割されたCFD工程タイムチャート900の実施形態を示している。CFD工程段階902は、例示的なCFD工程サイクルについて表している。明瞭化のため、単一のCFD工程サイクルが、図9に表された実施形態では示されているが、当然ながら、任意の適当な回数のCFD工程サイクル及びプラズマ処理サイクルが、CFD工程段階902に含まれてもよい。移行段階904が、CFD工程段階902に続いて行われる。図9の実施形態で表されたように、移行段階904は、CFD工程とPECVD工程の両方の態様を含んでいる。特に、反応物Bが、反応物B曝露段階904Aの終了後に処理ステーションに提供され、その結果、反応物A及びBの両方が、プラズマ活性化段階904B中、気相で存在する。これにより、PECVD型気相反応と同時にCFD型表面反応が提供できる。移行段階904は、反応物B曝露段階904Aとプラズマ活性化段階904Bの1回の繰返しのみを含むが、当然ながら、任意の適当な繰返し回数が、移行段階に含まれてもよい。
実施形態によっては、プラズマ発生装置は、プラズマ活性化段階904B中にプラズマエネルギの間欠パルスを提供するよう制御されることができる。例えば、プラズマは、10〜150Hzの周波数を含むが、これらに限定されない、1又は複数の周波数でパルス状に発生させることができる。これにより、イオン衝撃の指向性を低減することで、連続的なプラズマと比較して、段差被覆性を高めることができる。更に、これにより、基板に対するイオン衝撃による損傷を軽減できる。例えば、フォトレジスト基板は、連続プラズマ中、イオン衝撃によって侵食される虞がある。プラズマエネルギをパルス状に発生させることで、フォトレジストへの侵食を軽減できる。
図9で示された実施形態では、プラズマ活性化段階904B中の反応物Bの流量は、反応物B曝露段階904A中の反応物Bの流量より少ない。従って、反応物Bは、プラズマ活性化段階904B中に処理ステーション内へ「細流される(trickled)」かも知れない。これにより、CFD型表面反応を補う気相PECVD反応を提供できる。しかし、当然ながら、実施形態によっては、反応物Bの流量は、単一のプラズマ活性化段階中又は移行段階に亘り、変化してもよい。例えば、反応物B曝露とプラズマ活性化を2回繰返すことを含む移行段階では、第1プラズマ活性化段階中の反応物Bの流量は、第2プラズマ活性化段階中の反応物Bの流量より少なくてもよい。プラズマ活性化段階904B中の反応物Bの流量を変化させることで、段差被覆を特徴とするCFD工程段階902から堆積速度を特徴とするPECVD工程段階906にスムーズに移行できる。
実施形態によっては、CFD工程は、堆積膜の食い込み(re−entrant)部を選択的に除去するための原位置エッチングを含んでもよい。ギャップ充填CFD工程の原位置エッチングを含む例示的な二酸化シリコン堆積工程に関する非限定的なパラメータ範囲が、表5に提供される。
Figure 2014532304
図11は、堆積段階1102、エッチング段階1104及び次の堆積段階1106を含む、CFD工程タイムチャート1100の実施形態を示している。図11に示された実施形態の堆積段階1102では、膜が、基板の曝露面に堆積される。例えば、堆積段階1102は、1回又は複数回のCFD工程堆積サイクルを含んでもよい。
図11の実施形態のエッチング段階1104では、反応物A及びBが、供給停止され、エッチングガスが処理ステーションに導入される。エッチングガスの非限定的な一例としては、三フッ化窒素(NF3)がある。図11に表された実施形態では、エッチングガスが、エッチング段階1104中に着火されたプラズマによって、活性化される。処理ステーション圧力、基板温度、エッチングガス流量等の様々な処理パラメータは、非平面基板上の堆積膜の食い込み部を選択的に除去するように、エッチング段階1104中に調節されることができる。任意の適当なエッチング工程が、本開示の範囲内で用いられる。他の例示的なエッチング工程としては、反応性イオンエッチング、非プラズマ気相エッチング、固相昇華法、エッチング種の吸着及び指向的活性化(例えば、イオン衝撃による)が挙げられるが、これらに限定されない。
実施形態によっては、非相溶性の気相種は、膜をエッチングする前後に、処理ステーションから除去されることができる。例えば、図11の実施形態では、反応物A及びBを供給停止した後、及びエッチング段階1104中にエッチングガスを供給停止した後にも、不活性ガスの連続流を含む。
エッチング段階1104の終了時に、堆積段階1106が始まり、更に非平面基板のギャップを充填する。堆積段階1106は、任意の適当な堆積工程としてもよい。例えば、堆積段階1106は、CFD工程、CVD工程、PECVD工程等の1工程又は複数の工程を含んでもよい。図11の実施形態は、単一のエッチング段階1104を示しているが、当然ながら、複数の原位置エッチング工程が、ギャップ充填工程中に、任意の適当な種類の複数の堆積段階間で間隔を置いて、挿入されることができる。
図12A〜図12Cは、上述した原位置堆積工程及びエッチング工程に関する実施形態の様々な段階における非平面基板の例示的な断面図を表している。図12Aは、ギャップ1202を含む、例示的な非平面基板1200の断面図を示している。ギャップ1202は、薄膜1204で被覆されている。 薄膜1204は、殆どギャップ1202とコンフォーマルであるが、薄膜1204は、ギャップ1202の先端付近に食い込み部分1206を含む。
図12Bで表された実施形態では、薄膜1204の食い込み部分1206が選択的に除去されており、薄膜1204の上側領域1204Aが、下側領域1204Bより薄くなっている。選択的な食い込み部分の除去、及び/又は側壁角度の調節は、物質移動を制限する及び/又は活性エッチング種に関する寿命の限界によって達成されることができる。実施形態によっては、ギャップ1202の先端部で選択的にエッチングすることで、ギャップ1202の側壁角度も調節でき、その結果ギャップ1202が、底部より先端部で広くなっている。これにより、その後の堆積段階におけるブレッドローフィング作用を更に低減できる。図12Cで示された実施形態では、その後の堆積段階後に、ギャップ1202が、略充填され、全くボイドが無くなっている。
原位置エッチング工程の別の実施形態が、図15に示されており、図15では、銅電極用シリコン貫通ビア(TSV)2500を表している。例示的なTSVの中には、深さ約105ミクロン、及び直径約6ミクロンを有し、その結果、アスペクト比が約17.5:1となり、サーマルバジェット上限が、約200℃のものもある。図15の実施形態で示されたように、シリコン貫通ビア2500は、シリコン基板を金属充填ビアから電気的に分離するために、誘電体分離層2502によって被覆される。誘電体分離層材料の例としては、酸化シリコン、窒化シリコン、低誘電率(low−k)の誘電材料が挙げられるが、これらに限定されない。実施形態によっては、上述した例示的なエッチング工程は、アルゴン等の適当なスパッタガスを使用した、食い込み部の物理スパッタリングを追加されることができる。
CFD膜の他の例示的用途としては、BEOL(back end of line)配線分離用途用コンフォーマル低誘電率膜(例えば、誘電率(k)は、一部の非限定的な実施例では、約3.0以下)、エッチストップ層及びスペーサ層用途用コンフォーマル窒化シリコン膜、コンフォーマル反射防止層、及び銅接着層及び銅バリア層を含むが、これらに限定されない。BEOL処理のための低誘電率誘電体の多様な組成物は、CFDを使用して作製可能である。例としては、酸化シリコン、酸素ドープ炭化物、炭素ドープ酸化物、酸窒化物等が挙げられる。
別の実施例では、一集積工程シナリオでは、二酸化シリコンスペーサ層が、フォトレジスト「コア」上に堆積されることができる。別のコア材料(炭化シリコン層等)の代わりにフォトレジストコアを使用することで、集積工程におけるパターニングステップを排除できる。該工程は、通常のリソグラフィ技術を使用してフォトレジストをパターニングし、その後CFD酸化物の薄膜を直接当該コア上に堆積することを伴うことができる。次に、指向性ドライエッチング工程が、パターニングされたフォトレジストの上面でCDF酸化膜を除去し、下面でパターニングされたフォトレジスト(トレンチと見なす)の側壁に沿った材料のみ残すために、使用されることができる。この段階で、単純なアッシングが、CFD酸化物の下に残存する曝露コアを除去するために、使用可能である。単一のフォトレジスト線が存在したところに、この時点で、2本のCFD酸化物線が存在するようになる。この様に、この工程は、パターン密度を2倍にする;そのため「ダブルパターニング」と呼ばれるときもある。残念ながら、フォトレジストコアを使用することで、スペーサ層の堆積温度が、従来のCVD、PECVD及び/又は、ALD工程の堆積温度より低い可能性がある70℃未満に限定されるかも知れない。従って、実施形態によっては、低温のCFD二酸化シリコン膜は、70℃未満で堆積されるかも知れない。当然ながら、他の考えられる集積工程用途が、適当なCFD成膜に関して、本開示の範囲内で存在する。また、様々な実施形態において、上述したように堆積された窒化シリコン等の窒化物は、半導体デバイス製造の様々なステージにおけるコンフォーマル拡散バリア層及び/又はエッチストップとして、用いられる。
上述した様々なCFD堆積工程は、単一種類の膜を堆積、処理、及び/又はエッチングすることを目的としているが、当然ながら、本開示の範囲内のCFD工程の中には、複数種類の膜を原位置で堆積することを含むものもある。例えば、複数種類の膜を交互にした層が、原位置で堆積されてもよい。第1シナリオでは、ゲートデバイス用の二重構造スペーサが、窒化シリコン/酸化シリコンのスペーサ積層体を原位置で堆積することによって、作製されてもよい。これにより、サイクルタイムを短縮し、処理ステーション処理能力を増大でき、且つ膜層間で生じ得る不適合によって形成される層間不良を回避できる。第2シナリオでは、リソグラフィパターニング用途のための反射防止層が、調整可能な光学特性を有するSiON又はアモルファスシリコンとSiOCの積層体として堆積されることができる。
ある実施形態では、ドーパント含有ソース層が、コンフォーマル膜堆積工程で形成される。該層は、ドーパント種(例えば、ホウ素、リン、ガリウム、及び/又はヒ素等のドーパント原子)のソースを提供するので、「ソース」層と呼ばれる。ドープCFD層は、デバイスの下地(又は、上部)構造をドープするためのドーパントのソースとして機能する。ソース層が形成された後(又は、形成中)に、ドーパント種は、打込まれるか、そうでなければ作製中のデバイスにある隣接する構造体に取込まれる。ある実施形態では、ドーパント種は、コンフォーマルなドーパントソース膜を形成中又は形成した後に、アニールが施されることによって、打込まれる。CFDの高コンフォーマル性により、三次元でドープする必要がある構造を含む、従来とは異なるデバイス構造をドープ可能になる。CFDドーパントソース層は、通常、本明細書に記載された1つ又は複数の工程によって、形成されるが、ドーパント種を取込む更なる工程操作も含む。実施形態によっては、誘電層が、ドーパント種が取込まれるベースソース層として機能する。
例えば、ドープ酸化シリコンは、ホウ素、リン、ヒ素等の拡散ソースとして使用されることができる。例えば、ホウ素ドープシリカガラス(BSG)、リンドープシリカガラス(PSG)、又はボロンリンドープシリカガラス(BPSG)が使用可能である。
ドープCFD層は、例えば、マルチゲートFinFET等の三次元トランジスタ構造、及び三次元メモリデバイスにおいて、コンフォーマルなドープを提供するのに、採用可能である。幾つかの三次元構造の例は、「トライゲート(インテル)」J. Kavalieros他、VLSI技術シンポジウム2006、50頁、及び「FinFET」山下他(IBMアライアンス)、VLSI2011に見られ、両方共全体を、参照として本明細書に組込むものとする。従来のイオン注入装置は、特に高アスペクト比の構造では、側壁を容易にドープできない。また、密配列のi3D構造では、注入装置における指向性イオンビームに対してシャドウイング効果がある場合があるため、注入角度の傾斜に関して、重大なドーズ量保持問題が発生する。従来のシリコンベースのマイクロエレクトロニクスに加えて、CFDドープの他の用途として、GaAs等のIII−V半導体及びHgCdTe等のII−VI半導体に基づくマイクロエレクトロニクス及びオプトエレクロニクス、光発電、フラットパネルディスプレイ、及びエレクトロクロミック技術が挙げられる。
図16は、三次元のゲート構造を有するトランジスタを示しており、該トランジスタでは、ソースとドレインが、従来のイオン注入技術ではドープし難い薄い縦型構造で形成されている。しかしながら、n又はpドープCFD酸化物の薄層が、縦型構造全体に形成されると、コンフォーマルなドープが完成される。コンフォーマルなドープは、三次元デバイスの電流密度を、直列抵抗が減少するため10〜25%増大させることが観測された。山下他のVLSI2011を参照されたい。
拡散ソースとしてのCFDドープ酸化物には、様々な利点がある。まず、低温で高いコンフォーマリティを提供する。ドープ膜は犠牲的なことがあるため、非コンフォーマル膜は、通常、除去に関してより難しい課題に直面している、即ち、一部の領域が、オーバーエッチングされることがある。説明したように、CFDは、高コンフォーマル膜を提供する。加えて、CFDでは、ドープ濃度を極めて上手く制御できる。CFD工程は、アンドープ酸化物を1層又は複数層設けた後に、必要に応じて、単層をドープできる。ドープレベルは、ドープ層が堆積される頻度及びドープサイクルの条件によって、厳密に制御可能である。ある実施形態では、ドープサイクルは、例えば、有意な立体障害を伴うドーパントソースを使用して、制御される。
図17では、基本的なCFDの操作順序を、x軸に沿って左から右に時間を進めて示している。多数の変形例が確認されており、この図は、説明目的でのみ提示されている。順序の初めに、操作A中、気相酸化体が、CFD膜が堆積される基板を収容する反応チャンバ内に導入される。適当な酸化体の例としては、酸素元素(例えば、O又はO)、亜酸化窒素(NO)、水、イソプロパノール等のアルキルアルコール、一酸化炭素、及び二酸化炭素が挙げられる。酸化体は、通常、アルゴン又は窒素等の不活性ガスと共に提供される。
次に、操作Bでは、誘電性前駆体が、一時的に反応チャンバに導入される。操作Bの期間は、前駆体が、1サイクルの膜成長に十分に対応できる量で、基板表面に吸着できるように、選択される。実施形態によっては、前駆体で、基板表面を飽和状態にする。前駆体は、所望する組成の誘電体を生成する能力によって、選択される。誘電性組成物の例としては、酸化シリコン(シリカガラスを含む)、窒化シリコン、オキシ窒化シリコン(silicon oxynitride)、及びオキシ炭化シリコン(silicon oxycarbide)が挙げられる。適当な前駆体の例としては、アルキルアミノシラン(SiH(NR4−x、式中x=1〜3、Rは、メチル、エチル、プロピル、ブチル等のアルキル基を、様々な異性体構成で含む)、及びハロシラン(SiH4−x、式中x=1〜3、Yは、Cl、Br、及びIを含む)が挙げられる。より具体的な例としては、ビス−アルキルアミノシラン及び立体障害性アルキルシランが挙げられる。特定の一実施例では、BTBASが、酸化シリコンを生成するための前駆体である。
操作B中、段階A中に反応チャンバに導入された酸化体は、流れ続ける。ある実施形態では、該酸化体は、操作A中と同じ流量で、且つ同じ濃度で流れ続ける。操作Bの終わりに、誘電性前駆体の反応チャンバへの流れが終了され、図示されたように、操作Cが開始する。操作C中、酸化体及び不活性ガスが、反応チャンバ内で、残留誘電性前駆体をパージするために、操作A及びB中のように流れ続ける。
操作C中にパージが完了された後、前駆体は、誘電体膜の一部を形成するように、基板上で反応させられる(操作Dを参照)。様々な実施形態では、プラズマが、吸着された誘電性前駆体の反応を駆動するように、印加される。実施形態によっては、この反応は、酸化反応である。以前に反応チャンバに流入した酸化体の一部は、誘電性前駆体と共に表面に吸着されることができ、その結果、プラズマによる表面反応に即利用可能な酸化剤を提供できる。
操作A〜Dが纏まって、1サイクルの誘電体膜堆積工程を提供する。当然のことながら、本明細書に記載された他のCFD実施形態が、ここで図示された基本的なサイクルの代りに使用されてもよい。図示された実施形態では、堆積サイクル(A〜D)は、全くドーパント種を導入せずに実行される。様々な実施形態では、操作A〜Dによって表されたサイクルが、ドーパント種を導入する前に、連続して1回又は複数回繰返される。これについては、図17の段階Eで説明されている。実施形態によっては、操作A〜Dが、少なくとも1回、又は少なくとも2回、又は少なくとも5回、ドーパントを導入する前に繰返される。
一実施例として、誘電体は、約0.5〜1オングストローム/サイクルの速度で堆積される。1又は複数サイクル(A〜Dの繰返し)の各サイクルを通じて、酸化体は、反応チャンバに流入し続ける。
工程の数箇所で、誘電体堆積のサイクルが、例えば ジボラン等のドーパント前駆体種の導入で中断される。これは、図面で操作Fとして説明されている。誘電体ソース膜に提供されることができるドーパントの例としては、ホウ素、ガリウム、リン、ヒ素等の原子価III及びIV元素、及び他のドーパントが挙げられる。ドーパント前駆体の例としては、ジボランの他に、ホスフィン及び他の水素化物ソースが挙げられる。また、アルキル前駆体(例えば、トリメチルガリウム)、ハロ前駆体(例えば、塩化ガリウム)等の非水素化物ドーパントも、使用可能である。
バージョンによっては、ドーパントが、下地基板との界面に堆積され、その後、CFDサイクルに、ドーパントのパルスが、xサイクル毎に組入れられ(記述したように)、任意に、アンドープ保護「キャッピング」層で覆われたものを、CFD酸化膜とすることができる。得られた積層体の例については、図18で参照されたい。
特定の実施形態では、ドーパント前駆体種は、酸化体又は他の反応物とではなく、不活性ガス(例えば、アルゴン)等のキャリアガスと混合されて、反応チャンバに供給される。従って、この基本例では、操作F中、酸化体の流れが中断する。他の実施形態では、前駆体は、還元剤又は酸化剤と共に導入される。ある実施形態では、ドーパント対キャリアガスの濃度は、約1:5〜1:20である。ある実施形態では、ドーパント堆積温度は、約300〜400℃である。ドーパント曝露ステップの期間は、目標濃度に応じて異なる。ある実施形態では、曝露ステップは、約2.5〜7.5秒である。特定の実施例では、ジボラン1,000sccmが、アルゴン10,000sccmに、圧力3トル、約400℃で流される。
ある実施形態では、ドーパント前駆体は、非表面限定メカニズム(non−surface limited mechanism)によって基板表面に集合する。例えば、前駆体は、ALD(表面吸着に限定される)工程よりむしろCVD型の工程によって堆積できる。
任意には、ドーパント前駆体は、誘電体膜を更に処理する前に、反応チャンバからパージされる。また、図17で表されるように、ドーパント前駆体を供給した後に、プラズマ、昇温等によって行われることができる任意の活性化操作Gが続く。ジボランをドーパント前駆体とする例では、活性化操作により、ジボランをホウ素元素に変換する。操作Gが完了した後、この工程は、任意のパージ(図示せず)を続行する。
CVDでジボランをドーパントとする一実施例では、活性化操作は、ホウ素を生成するのに、温度ベースの分解だけを行う。これは、温度変化に応じた工程である。高温ほど、同じ単位厚さ当たりのホウ素濃度を得るのに、比較的短い曝露時間で済ませられる。或いは、一部の工程(例えば、トリメチルボラン(trimethylborane:TMB)を採用する工程)では、活性化に、プラズマ又は熱酸化ステップを伴うものもある。一部の他の前駆体に関しては、遊離ホウ素又は他のドーパントを適所に保持するのに「ピンニング(pinning)」ステップを用いるのが適切であるかも知れない。これは、「ピンニング」プラズマを使用して実現されることができる。
ある実施形態では、プラズマ活性化には、炭素を膜に取入れるのに適するあらゆる周波数のRF電力を要する。実施形態によっては、RF電源は、高周波及び低周波RF電源を互いに無関係に制御するよう、構成されることができる。例示的な低周波数RF電力としては、約200〜1000kHzの周波数が挙げられるが、これらに限定されない。例示的な高周波RF電力としては、約10〜80MHz(例えば、13.56MHz)の周波数が挙げられるが、これらに限定されない。同様に、RF電源及び整合ネットワークは、プラズマを生成するのに、任意の適当な電力で操作されることができる。適当な電力の例としては、高周波プラズマに関しては約100〜3,000W、低周波プラズマに関しては約100〜10,000W(ウエハ当たり)の電力が挙げられるが、これらに限定されない。RF電源は、任意の適当なデューティサイクルで動作されることができる。適当なデューティサイクルの例としては、約5〜90%のデューティサイクルが挙げられるが、これらに限定されない。一般的に許容可能な処理圧力は、約0.5〜5トルで、好適には約2〜4トルである。ドーパントに曝露する前の特定のプラズマ前処理(下地基板の)に関しては、最大約10トル(又は最大約9トル)の圧力が、有効であることが分かった。
下表では、様々なBSG工程に使用されることができるプラズマパラメータの範囲について纏めている。
Figure 2014532304
図示された基本工程では、誘電体堆積及び断続的なドーパント供給のサイクル(操作A〜G)は、図面の段階Hに示されるように、複数回実行されることができる。工程順序が繰返される実際の回数は、所望される膜の総厚及びサイクル毎に堆積される誘電体の厚さによって決まる他、膜に取入れられるドーパントの量によっても決まる。実施形態によっては、操作A〜Gは、少なくとも2回、又は少なくとも3回、又は少なくとも5回、又は少なくとも約10回繰返される。
誘電体膜が完全に堆積された後に、該誘電体膜は、付近の半導体構造にドーパント種源として使用されることができる。これは、堆積された膜からのドーパントを、図17の操作Iで表されたように、デバイス構造に打込むことによって、実現されることができる。様々な実施形態では、打込みは、アニール等の熱による拡散処理によって実現される。場合によっては、特に極浅い接合を採用する場合、レーザスパイクアニールが、用いられてもよい。
この基本工程に関して多くの変形例が、想到され得る。そうした変形例の中には、隣接する半導体構造に拡散するために利用可能なドーパントの量を増大させることを、目標とするものもある。他の変形例は、ソース膜から付近の半導体構造に供給されるドーパントの流量を制御するように設計される。更に他の変更例では、ドーパント種が拡散する方向を制御する。しばしば、ドーパントがデバイス構造に向かい、且つ膜の反対側から離隔して拡散するのに有利に働くようにすることが望ましい。
ある実施形態では、ドーパントが成長する誘電体膜に導入される頻度が、制御される。ドーパント前駆体供給サイクルを頻繁にする程、最終的な誘電体膜におけるドーパントの総濃度は高くなる。また、その結果、膜全体に比較的均一にドーパントが分布する。より少ないドーパント前駆体供給サイクルが、堆積工程に挿入されると、ドーパント供給サイクルがより頻繁に行われる場合と比べて、膜のドーパント濃度が高い領域は、より大きく離間される。
一実施形態では、ドーパント前駆体は、成長する誘電体膜に、各誘電体堆積サイクルに1回供給される。別の実施形態では、ドーパント前駆体は、1誘電体堆積サイクルおきに1回供給される。他の実施形態では、低頻度のドーパント前駆体供給サイクルが、工程に取入れられる。例えば、ドーパント前駆体は、3、4、又は5誘電体堆積サイクルおきに1回供給されてもよい。場合によっては、ドーパント前駆体は、5〜20誘電体堆積サイクルおきに約1回の頻度で供給される。
当然ながら、成長している膜へのドーパント前駆体導入の頻度は、誘電体膜を堆積する間に亘り一定にする必要はない。この点を考慮すると、得られる誘電体膜は、堆積誘電体膜の厚さに亘りドーパントの平均濃度が不均等となるような、ドーパントの傾斜組成を有する可能性がある。一実施形態では、ドーパントの濃度は、ドープされる半導体デバイス構造に隣接する誘電体膜の側で、より高くなる。勿論、誘電体膜のドーパント濃度勾配は、全誘電体堆積工程に亘るドーパント供給サイクルの頻度を慎重に変化させることによって、所望に応じて、調整可能である。
基本工程に関する別の変形例は、任意のドーパント前駆体供給サイクル中に供給されるドーパント前駆体の量の調節を伴う。任意の所定のドーパント供給サイクル中に供給されるドーパント前駆体の量は、反応チャンバに供給されるドーパント前駆体の濃度だけでなく、供給されたドーパント前駆体に基板が曝露される期間によっても、決定される。
前述の通り、ドーパント前駆体の中には、CVDのような工程を介して、成長中の膜上に提供されることができるものもある。かかる場合、任意の所定のサイクルにおいて成長中の膜に供給されるドーパント前駆体の量は、吸着又は他の表面で起こる現象によって限定されない。そのため、任意のドーパント供給サイクル中に提供されるドーパント前駆体の量は、比較的多量で、制御可能である場合がある。より多量のドーパント前駆体がドーパント供給サイクル中に供給される程、誘電体膜におけるドーパントの総濃度は高くなる。これにより、全工程においてドーパント前駆体供給サイクルが比較的低頻度であっても、そのために生じる影響を埋め合わせできる。しかし、当然ながら、任意の所定のドーパント前駆体供給サイクル中に供給されるドーパントの量を増加させると、その結果膜においてドーパントの濃度が局所的に比較的高くなる虞がある。勿論、そうしたドーパント濃度の急上昇は、アニール、又は誘電体膜においてドーパント濃度をより均一にするようドーパントを拡散する他の操作によって緩和可能である。
ホウ素をドーパントとする場合では、典型的なホウ素前駆体供給サイクル中に供給されるホウ素のフラックスは、約7.5ML(メガ−ラングミュア(Mega−Langmuir))から30MLまで、目標とする膜濃度に応じて、可変であり、MLは、フラックス/曝露の単位である。
実施形態によっては、各前駆体供給サイクルで供給されるドーパント前駆体の量は、完全な誘電体膜に成長させる間を通して一定ではない。従って、1サイクル当たりに供給されるドーパント前駆体の量は、誘電体膜において所望のドーパント濃度勾配を発生させるように調整可能である。例えば、ドープされる半導体デバイスの形体に比較的近い誘電体膜の場所で発生するドーパント前駆体供給サイクルでは、より多量のドーパント前駆体を提供することが、望ましいかも知れない。その結果得られる濃度勾配では、ドープされるデバイス構造に隣接する膜の領域で、ドーパント濃度が高くなる。
実施形態によっては、ドーパント前駆体は、吸着だけに限って、基板表面に取入れられる。かかる前駆体を用いて、ドーパントの膜への導入が、ALDのような工程を介して(上述したCVDのような方法とは対照的に)進行する。吸着による工程によって基板表面に付着するドーパント前駆体の例としては、トリメチルボラン、及びトリメチルガリウム等他のアルキル前駆体が挙げられる。CVDのような工程によって基板表面に蓄積するドーパント前駆体の例としては、ジボラン、ホスフィン、及びアルシンが挙げられる。
一般に、誘電体膜のドーパントに関する濃度プロファイルは、適宜調整可能である。一実施形態では、ドーパント濃度は、ドープされる構造に隣接する膜の縁部で急に高レベルになる。実施形態によっては、濃度は、膜厚を通じて断続的に増減する。一実施例では、ドーパント(例えば、ホウ素)は、下地基板とCFD誘電体層との間の界面にのみ提供される。このドーパント層は、「スパイク層」と呼ばれることがある。場合によっては、ドーパント曝露を、一度に(single−step)行うよりも、パルス状に行う(例えば、ドーパント前駆体へCVD曝露を使用して)ことで、ウエハ内のドーパント取込みに関する均一性を高められる。別の実施例では、CFD酸化物又は他の誘電体に、ドーパント(例えば、ドープBSGにおけるホウ素)が組入れられる。図18及び図19を参照されたい。組入れられるドープ誘電体は、スパイク層を備えても、備えなくてもよい。また別の実施例では、アンドープCFD酸化物又は他の誘電体キャップは、保護層として機能する。再度、図18及び図19を参照されたい。
ドーパント種が存在する誘電体膜自体は、膜自体を通してドーパント種の拡散に影響を与えるように、調整可能である。例えば、膜密度及び/又は化学組成は、所望する影響をドーパント種の拡散に関して及ぼすように、制御されることができる。やり方によっては、誘電体全体の厚さは、調整されたドーパント拡散性が、膜厚を通じて不変であるように、同じ密度又は組成を有する。他のやり方では、膜特性は、ドーパントの拡散が膜厚に亘り異なるように、調整される。本発明者らは、プラズマ酸化パラメータが、例えば、アニール中にCFD酸化物に亘りドーパントをより多く拡散可能にするために、CFD酸化物を低密度にするよう変更可能であることを、見出した。
ある実施形態では、誘電体膜(又は成膜に使用される処理ガス)の組成は、膜におけるドーパント拡散に影響を及ぼすように、調整される。例えば、誘電体膜堆積サイクル中に反応チャンバに供給された酸化体処理ガスにおける窒素対酸素の比率が、誘電体膜を通り拡散するドーパント種の能力に影響を及ぼすことが分かった。例えば、誘電体膜の形成中に使用される酸化体ガス中に存在する窒素の量が多いと、その結果、誘電体膜が、ドーパント拡散に対してかなりの抵抗を持つようになる。対照的に、ガス中に存在する酸素の量が比較的多いと、その結果、膜が、ドーパント拡散に対して遥かに小さな抵抗を持つようになる。処理ガス中に存在する窒素は、含窒素化合物(例えば、NO)又は窒素元素、Nといったもので、提供されることができる。様々な実施形態では、誘電体膜堆積サイクル中に連続的に流れる酸化体は、亜酸化窒素を含有する。
ある実施形態では、誘電体膜は、初めに、誘電体膜の初期成長段階中、酸素含有量が多く、窒素含有量が比較的少ない酸化体ガス(酸化剤ガス)を使用することによって作製される。後に、膜がドープされる基板構造上に部分的に形成された後、酸化体ガスは、窒素が比較的豊富となるように、組成が変化させられる。例えば、初期の堆積サイクル中、誘電体膜に使用される酸化体ガスは、全体的に分子状酸素を含有してもよい。後の誘電体堆積サイクルでは、酸化体ガスは、酸素が、少なくとも部分的に亜酸化窒素と置換されるように改質される。これは、目標を、膜の下部に向かう方向への拡散を促進し、膜の上部に向かう方向への拡散を遮断することと仮定している−ドープされるデバイス構造が、誘電体膜の下に位置していると仮定している。本発明者らは、窒素濃度レベルが、約1E20原子/cc(例えば、SIMSで測定された)より高い場合、ホウ素拡散に対する遮断効果がかなり大きくなることを、見出した。対照的に、窒素濃度レベルが、約1E19原子/cc以下では、遮断効果は、事実上排除できる。
膜組成自体の観点からすれば、膜の窒素含有量は、ドープされる基板構造に近い膜の部分にある比較的低レベルから、ドープされる構造の反対側に位置する部分にある比較的高レベルまで、様々にできる。
また、誘電体膜の形成中に用いられる堆積温度も、ドーパント原子が膜内で拡散する能力に影響を及ぼす。一般に、CFD処理によって比較的低温で堆積された誘電体は、一般的に、比較的ドーパント拡散速度を速くできることが分かった。比較的速いドーパント拡散速度に関連する比較的低温の例は、約300〜400℃の範囲の温度であり、特には、約350〜400℃である。勿論、これらの温度範囲は、誘電性前駆体及び他の堆積パラメータの選択によって異なる。これらの温度範囲は、多くの前駆体で用いられるが、誘電性前駆体としてBTBASを使用する際に、特に適する。
対照的に、比較的高温で堆積された誘電体は、ドーパント種の拡散に抵抗する傾向がある。誘電性前駆体としてBTBASを使用すると、比較的遅いドーパント拡散速度と関連する比較的高温は、約350〜400℃の範囲、特には300〜380℃の範囲である。勿論、これらの温度は、他の前駆体にも適用されることができる。更に、確かに、高温な程、一般的にドーパント拡散に抵抗する緻密な膜になるが、プラズマ酸化中のRF曝露時間や電力等他のパラメータによって、拡散率及び/又は密度も制御可能である。CFD酸化物成長中に用いられる基本パラメータの例としては、(1)通常低周波プラズマなしでの、約200〜2,500ワット(300mmウエハに対して)の高周波プラズマ、及び(2)約0.2〜1.5秒の範囲のプラズマ曝露時間が挙げられる。
ある実施形態では、比較的低温が、ドープされるデバイス構造に隣接して誘電体膜を堆積するのに用いられ、高温が、誘電体膜の一部を該構造から更に離隔して堆積するのに用いられる。ある実施形態では、完全に誘電体膜を堆積するまでの間に用いられる温度は、変化し、また同様に、酸化体ガス中の窒素対酸素の比率も、堆積工程中、変化する。この様に、得られる誘電体膜のドーパント拡散性は、膜厚に亘り過度に変化することがある。
様々な実施形態では、堆積温度は、CFD中に基板を保持する載置台又はチャックを加熱及び/又は冷却することによって、制御される。適当な載置台の例は、2009年5月5日に出願された米国特許出願第12/435,890号(米国出願公開第2009−0277472号)、及び2011年4月13日に出願された米国特許出願第13/086,010号に記載されており、両出願は、全体として本明細書中に参照として組込まれる。
ある実施形態では、ドープされる基板表面のデバイス構造は、誘電体膜又はドーパント前駆体を堆積する前に、前処理される。一実施例では、前処理は、還元性プラズマ等のプラズマに曝露することを伴う。かかる処理は、例えば、ドープされる基板形体がシリコンを含有する場合に、適するかも知れない。通常、シリコンは、次なるドーパントの拡散に対するバリアとして機能できる少量の自然酸化物を含有する。特定の実施形態では、基板表面は、水素含有プラズマ等の還元性プラズマで前処理され、その後、表面は、誘電体膜堆積の第1サイクル前に、気相の、ドーパント前駆体と接触させられる。前駆体は、プラズマ前処理が完了された直後に、反応チャンバに供給されることができる。実施例によっては、ドーパント前駆体は、ジボランとする。一般に、図17に表された工程は、ドーパント又はドーパント前駆体が、第1誘電体堆積サイクル前に、基板表面に供給されるように、変更されてもよい。
様々な実施形態では、部分的に形成された誘電体膜自体が、ドーパント前駆体に曝露される前に、プラズマ又は他の活性化処理で、前処理される。これは、(a)ドーパント前駆体に曝露する前に熱均一性を提供することによって、ウエハ内の均一性を高めるのに役立ち、(b)ドーパント前駆体の誘電体表面に対する付着を増進するために、誘電体表面を(例えば、化学的及び/又は物理的に粗面化して)活性化するのに役立つ。
他のある実施形態では、ドーパント種の化学状態は、膜堆積工程のドーパント前駆体の供給段階及び/又は活性化段階中に、制御される。実施形態によっては、ドーパント前駆体は、誘電体膜内にドーパントを「固定」し、それにより、アニールや他のそうした操作によって次に活性化されるまで、ドーパント拡散を制限する方法で、処理される。一実施例では、特定のドーパントは、ドーパント又はドーパント前駆体を誘電体膜堆積工程のドーパント供給段階中に酸化することによって、固定される。特定の実施例では、得られるホウ素含有材料を誘電体膜中に効果的に固定するために、ジボランが、酸化環境で、反応チャンバに供給される。或いは、ドーパントは、前駆体を反応チャンバに不活性又は還元性環境で供給することによって、固定され、その後、誘電体膜上に位置しながら、酸化環境に曝露される。対照的に、還元剤で特定のドーパント前駆体を処理し、その後の酸化を行わないことで、誘電体膜においてより易動性が高いドーパントを生成できる。
ソース層が形成された後(又はソース層の形成中)に、ドーパント種は、打込まれる、或いはそうでなければ、作製されるデバイスにおいて隣接する構造に取込まれる。ある実施形態では、ドーパント種は、コンフォーマルなドーパントソース膜の形成中又は後にアニールすることによって、打込まれる。従来の熱アニールの他に、例えば、フラッシュアニール及びレーザスパイクアニールが、使用可能である。アニールの時間及び温度は、ソース層のドーパントの濃度、量及び種類、ソース層マトリクス(例えば、酸化物ガラス)の組成及びモホロジ、ドーパント種が隣接するデバイス構造内に移動しなければならない距離、デバイス構造における所望するドーパント濃度、及びデバイス構造の組成及びモホロジを含む様々なパラメータに応じて決まる。ある実施形態では、アニールは、約900〜1100℃の温度で、約2〜30秒間、実行される。
本明細書で説明したように、様々な装置が、ドープ誘電体膜を堆積するのに、設計されることができる。一般的に、そうした装置は、ドープ膜の堆積中基板を保持するための処理チャンバを含む。処理チャンバは、誘電性前駆体、酸化体、キャリアガス又は不活性ガス、ドーパント種等を含む処理ガスを導入するための1つ又は複数の入口を含む。様々な実施形態では、この装置は更に、誘電体層を作成するのに適する特性を持つプラズマを発生させるための特徴、ドーパントを誘電体層に取込むための特徴、誘電体層を処理して、該層の電気、光学、機械及び/又は化学特性を改質するための特徴、及び膜からのドーパントを基板に打込むための特徴を含む。通常、この装置は、真空ポンプ又はかかるポンプに接続するための設備を含む。更にまた、この装置は、コントローラ又は本明細書に記載されたドープ誘電体堆積操作の順序を実現するために、該装置を制御するよう構成又は設計されたコントローラを有する。コントローラは、処理ガスを供給し、圧力を制御するための弁、プラズマを生成する電源、及び真空源を含む装置の様々な特徴を制御する命令を含むことができる。命令は、様々な操作のタイミング及び順序を制御できる。様々な実施形態では、この装置は、カリフォルニア州サンノゼのノベラスシステムズ社(Novellus Systems)から入手可能な堆積ツールのVector(登録商標)群で提供されるような特徴を有することができる。ドープ誘電体膜を堆積するのに適する装置に関する他の特徴については、本明細書の他の箇所に記載される。
ドープCFD膜の特性
ドーパント種源として機能する誘電体膜には、様々な特徴がある。様々な実施形態では、膜厚は、約20〜200オングストロームである。場合によっては、三次元トランジスタ構造体のソース−ドレインエクステンション領域のフロントエンドをドープするため等には、膜厚は、約50〜100オングストロームである。誘電体膜におけるドーパント原子(又は、他のドーパント種)の平均濃度は、膜の単位表面積当たりの総量の他、膜及びドープ適用部におけるドーパント原子の拡散率を含む様々な因子によって決まる。ある実施形態では、膜におけるドーパント濃度は、約0.01〜10重量%である。更なる実施形態では、膜におけるドーパント濃度は、約0.1〜1重量%である。また更なる実施形態では、膜におけるドーパント濃度は、約0.5〜4重量%である。本明細書に記載された技術により、広範囲、例えば約0.01〜10重量パーセントにわたるドーパント濃度の調整が可能になる。例えば、ホウ素濃度は、CFD誘電体膜において、約0.1〜4.3重量%に容易に調整可能であることが実証されている。ある実施形態では、5、7、10及び12nmのCFD膜が、約0.1〜0.5重量%のホウ素で成長される。
CFDドープ誘電体膜は、他の特性を特徴とすることができる。例えば、CFD堆積膜のシート抵抗(Rs)は、約100から50,000Ω/sq.まで様々とすることができる。場合によっては、これらの値は、一部又は全てのドーパントが、ドープCFD層から打込まれた後に、得られる。CFD膜からドーパントを打込むことによって作成される接合部深さ(例えば、SIMSによって測定される)は、適宜、最大約1,000オングストロームのレベルに調節可能である。勿論、多くのフロントエンドデバイスは、かなり浅い接合部深さ、例えば、約5〜50Aの範囲を必要とするが、この深さも、CFD膜を使用して獲得できる。実際の接合部深さは、例えば、面間ドーパント(例えば、ホウ素)濃度、バルク及び界面から基板(例えば、シリコン)へのドーパントの易動性、及びドーパントに打込むのに使用されるアニールの温度及び期間を含む、多くの因子によって、制御可能である。
CFDドープの適用
誘電体ソース層が形成される基板表面は、高コンフォーマルな堆積を必要とすることがある。ある実施例では、誘電体ソース膜は、約1:0.5〜1:12(特には、約1:1〜1:8)のアスペクト比を有し、約60nm以下(特には、約30nm以下)の形体幅を有する形体をコンフォーマルにコーティングする。本明細書に記載された種類の誘電体ソース層を使用するドープは、22nmテクノロジノード、16nmテクノロジノード等を含む、45nmテクノロジノード以降に従い形成されたデバイスで、特に適用が見られるであろう。
CFDソース層を使用してドープされることができるデバイス構造の中には、CMOSソース及びドレイン、ソース−ドレインエクステンション領域、メモリ素子のコンデンサ電極、ゲート構造等の従来のドープ構造がある。この方法でドープされることができる他の構造は、22nmテクノロジノードで作製された一部のデバイスで用いられる一部の三次元ゲート構造のもの等のゲート構造におけるソース/ドレインエクステンション領域にある接合部等の非平面又は三次元構造である。一部の三次元構造は、以前に参照として組込んだ、「トライゲート(インテル)」:J.Kavalieros他、VLSI技術シンポジウム2006、50頁、及び「FinFET」山下他 (IBMアライアンス)、VLSI2011、及び両文献における参考文献に見られる。
ドープCFD膜は、集積回路作製の様々なステージで使用されるエッチング可能層を提供すること等、他に様々に応用できる。ある実施形態では、エッチング可能層は、エッチレートがドープレベルによって調整可能である、ウエットエッチング速度が調節可能なガラス層である。即ち、ドープレベルは、所定のエッチレートを提供するように選択される。特定の実施形態では、エッチング可能層は、リン、ホウ素、又はそれらの組合せ等のドーパントを含有するケイ酸塩ガラス層である。
CFDドープの実施例
CFDのホウ素ドープシリカガラス(BSG)膜が、用意され、該膜は、複雑な三次元ゲート構成に関して略100%の段差被覆性を達成した。同様の結果が、リンドープシリカガラス(PSG)でも予想される。ホウ素又はリンは、かかる膜から、ソース及びドレイン接合部の縦横領域に、ドーパント拡散下でコンフォーマル/均質にする次なるアニールステップ中に、打込可能である。図20は、CFDのBSG/PSG膜を合成するのに使用される、典型的な堆積ブロックを示している。CFD酸化物の成長サイクルは、(a)SiO前駆体(BTBAS)の飽和ドーズ量、(b)残留前駆体種を洗い流すための不活性なパージ、(c)酸化プラズマステップ、及び(d)反応副産物を除去するための不活性ガスパージを含む。このメカニズムにより確実に、反応をセルフリミッティングなものにし、これらの膜で優れたコンフォーマリティが観察されるようにできる。ホウ素又はリン曝露ステップは、CFD酸化物成長中に定期的に挿入され、次に圧送及びパージ順序が続き、必要であれば、任意のRFピンニング/硬化ステップ(例えば、プラズマへの曝露)が行われる。この堆積ブロックは、目標BSG/PSGの厚さによって、必要に応じて何回でも繰返される。図20を参照されたい。
ホウ素又はリン曝露を挿入する頻度により、所定温度でドーパント拡散距離を調節する一方で、曝露の長さにより、ドーパントの総ドーズ量を制御する。これら2つの強力な制御パラメータは、正確に界面のドーパント濃度を調整するために、融通の利く合成スキームを提供する。
実験では、CFDは、BSG膜で優れた成長特性を示した。CFDのBSG工程では、シリコンソースとしてBTBASを使用し、酸化のためにNOプラズマを使用し、ホウ素でドープするためにアルゴン中で5%のジボラン(B)を使用した。アルゴンとNOの混合物が、パージガスとして使用された。アンドープCFD酸化物の結果と一致して、〜1A/サイクルの成長率が得られ、ホウ素曝露ステップを含むことが、CFD成長に悪影響を及ぼさなかったことが示された。250A厚のCFDのBSG膜は、SEM写真で示されるように、異なる試験構造に略完全なコンフォーマリティを示した。これらの膜に関する段差被覆性は、緻密な構造と分離した構造に関して〜100%になると計算された(図21)。段差被覆性は、形体の側壁の膜厚を、同じ形体の上部の膜厚で割った商として定義される。表3は、膜における最終的な平均ホウ素濃度に関して、ホウ素曝露時間、ホウ素挿入の頻度、及び成長温度の効果を区別するために、初めの研究とは異なる分割で示している。25X CFD Oxは、ホウ素挿入ステージ当たりに25回CFDアンドープ酸化物サイクルがあることを意味する。この試料は、約500オングストロームまで成長したため、全順序が、約20回繰返された(CFD酸化物に対して1A/サイクルの成長率とすると)ことになる。これらの分割に関するSIMSデータは、図22に示されるように、平均ホウ素濃度が、ホウ素を約0.5〜3.5重量%とする範囲で調整可能であり、それにより、カスタマイズされたドープオプションが可能になることを示している。
Figure 2014532304
装置
当然ながら、任意の適当な処理ステーションが、上述した実施形態の1つ又は複数で用いられてもよい。例えば、図13は、CFD処理ステーション1300の実施形態を図式的に示している。簡単にするために、CFD処理ステーション1300は、低圧環境を維持するための処理チャンバ本体1302を有するスタンドアロンの処理ステーションとして表されている。しかし、当然ながら、複数のCFD処理ステーション1300が、一般的な低圧処理のツール環境に含まれてもよい。図13で図示された実施形態では、1つの処理ステーションを示しているが、当然ながら、実施形態によっては、複数の処理ステーションが、処理ツールに含まれてもよい。例えば、図14は、マルチステーション処理ツール2400の実施形態について図示している。更に、当然ながら、実施形態によっては、以下で詳述されるものを含む、CFD処理ステーション1300の1つ又は複数のハードウェアパラメータが、1台又は複数のコンピュータコントローラによって、プログラム的に調節されてもよい。
CFD処理ステーション1300は、処理ガスを分散シャワーヘッド1306に供給する反応物供給システム1301と流体連通する。反応物供給システム1301は、シャワーヘッド1306に供給するために処理ガスを配合及び/又は調整する混合容器1304を含む。1個又は複数の混合容器入口弁1320は、混合容器1304への処理ガスの導入を制御できる。
BTBASのような、一部の反応物は、処理ステーションで気化され、次に処理ステーションに供給される前に、液体で貯蔵されてもよい。例えば、図13の実施形態は、混合容器1304に供給される液状反応物を気化するための気化ポイント1303を含む。実施形態によっては、気化ポイント1303は、加熱気化器としてもよい。かかる気化器から発生した飽和反応物の蒸気は、下流側供給配管で凝縮できる。非相溶性のガスを凝縮された反応物に曝露すると、小粒子を作成できる。これらの小粒子は、配管に詰まり、バルブ操作を妨害して、基板等を汚染する虞がある。これらの問題を解決するやり方の中には、残留反応物を除去するために、供給配管を掃引する及び/又は真空排気することを伴うものがある。しかしながら、供給配管を掃引すると、処理ステーションのサイクルタイムが長くなり、処理ステーションの処理能力を下げる場合がある。従って、実施形態によっては、気化ポイント1303の下流側供給配管 は、ヒートトレースされてもよい。また、実施例によっては、混合容器1304も、ヒートトレースされてもよい。非限定的な一実施例では、気化ポイント1303の下流側配管は、約100℃から延びて、混合容器1304で約150℃に上昇する昇温プロファイルを有する。
実施形態によっては、反応液は、液体噴射装置で気化されてもよい。例えば、液体噴射装置は、液状反応物を混合容器上流のキャリアガス流にパルス状に噴射することができる。一シナリオでは、液体噴射装置は、液体を高圧から低圧にフラッシュすることによって、反応物を気化できる。別のシナリオでは、液体噴射装置は、液体を霧化して分散した微小液滴にし、該微小液滴は、加熱された供給パイプで、その後気化させることができる。当然ながら、小さな液滴は、大きな液滴より速く気化するため、液体噴射と完全気化との間の遅延を短縮できる。より速く気化させることで、気化ポイント1303から下流の配管長を短くできる。一シナリオでは、液体噴射装置は、混合容器1304に直接取付けられる。別のシナリオでは、液体噴射装置は、シャワーヘッド1306に直接取付けられる。
シャワーヘッド1306及び載置台1308は、プラズマに電気を供給するRF電源1314及び整合ネットワーク1316と電気的に導通する。実施形態によっては、プラズマエネルギは、処理ステーションの圧力、ガス濃度、RFソース電力、RFソース周波数、及びプラズマ電力のパルスタイミングの1つ又は複数を制御することによって、制御されることができる。例えば、RF電源1314と整合ネットワーク1316は、所望するラジカル種の組成を有するプラズマを形成するために、任意の適当な電力で操作されることができる。適当な電力の例としては、300mmのウエハに対しては100〜5,000Wの電力が挙げられるが、これらに限定されない。同様に、RF電源1314は、任意の適当な周波数のRF電力を提供できる。実施形態によっては、RF電源1314は、高周波RF電源と低周波RF電源を互いに無関係に制御するよう構成されることができる。例示的な低周波数のRF周波数としては、50〜500kHzの周波数が挙げられるが、これらに限定されない。例示的な高周波のRF周波数としては、1.8MHz〜2.45GHzの周波数が挙げられるが、これらに限定されない。当然ながら、任意の適当なパラメータは、プラズマエネルギを表面反応に提供するために、個々に又は連続的に調節されることができる。非限定的な一実施例では、プラズマ電力は、連続して出力されるプラズマと比較して、基板表面へのイオン衝撃を軽減するために、断続的にパルス状に出力されることができる。
実施形態によっては、プラズマは、1個又は複数のプラズマモニタによって原位置でモニタリングされることができる。一シナリオでは、プラズマ電力は、1個又は複数の電圧、電流センサ(例えば、VIプローブ)によってモニタリングされることができる。別のシナリオでは、プラズマ密度及び/又は処理ガス濃度は、1個又は複数の光学発光分光(optical emission spectroscopy:OES)センサで測定されることができる。実施形態によっては、1つ又は複数のプラズマパラメータは、かかる原位置プラズマモニタからの測定値に基づいて、プログラム的に調節されることができる。例えば、OESセンサは、プラズマ電力をプログラム的に制御するフィードバックループで、使用されることができる。当然ながら、実施形態によっては、他のモニタが、プラズマ及び他の処理特性をモニタリングするのに使用されることができる。かかるモニタとしては、赤外線(IR)モニタ、音響モニタ、及び圧力トランスデューサが挙げられるが、これらに限定されない。
実施形態によっては、載置台1308は、ヒータ1310を介して温度制御されることができる。更に、実施形態によっては、CFD処理ステーション1300に対する圧力制御は、ちょう形弁1318によって提供されることができる。図13の実施形態に示されるように、ちょう形弁1318は、下流側の真空ポンプ(図示せず)によって提供される真空をスロットル調整する。しかしながら、実施形態によっては、処理ステーション1300の圧力制御は、CFD処理ステーション1300に導入された1種類又は複数のガスの流量を変えることによって、調節されることもできる。
上述したように、1つ又は複数の処理ステーションは、マルチステーション処理ツールに含まれることができる。図14は、内向きロードロック2402及び外向きロードロック2404を有するマルチステーション処理ツール2400の実施形態に関する概略図を示しており、これらのロードロックのどちらか又は両方は、リモートプラズマソースを備えることができる。大気圧にあるロボット2406は、ポッド2408を通して装填されたカセットから大気圧ポート2410を通じて内向きロードロック2402の中へウエハを移動させるように構成される。ウエハは、ロボット2406によって、内向きロードロック2402にある載置台2412上に置かれ、大気圧ポート2410が閉じられ、ロードロックがポンプダウンされる。内向きロードロック2402がリモートプラズマソースを備える場合、ウエハは、処理チャンバ2414に導入される前に、ロードロック中でリモートプラズマ処理に曝されることができる。更に、ウエハは、例えば、湿気及び吸着されたガスを除去するために、内向きロードロック2402において加熱されることもできる。次に、処理チャンバ2414へのチャンバ搬送ポート2416が開かれ、別のロボット(図示せず)が、ウエハを反応器に入れ、処理のために、反応器内に図示された第1ステーションの載置台上に載置する。図14で表された実施形態は、ロードロックを含むが、当然ながら、実施形態によっては、ウエハを処理ステーションに直接入れることも可能である。
図示された処理チャンバ2414は、図14に示された実施形態では1〜4の番号を付された4つの処理ステーションを備える。各ステーションは、加熱された載置台(2418でステーション1として示される)、及びガスライン入口を有する。当然ながら、実施形態によっては、各プロセスステーションが、異なる又は複数の目的を有してよい。例えば、実施形態によっては、処理ステーションは、CFD工程モードとPECVD工程モードとの間で切替可能としてもよい。加えて、又は或いは、実施形態によっては、処理チャンバ2414は、CFD工程ステーションとPECVD工程ステーションとからなる対を1つ又は複数含むことができる。図示された処理チャンバ2414は、4つのステーションを備えるが、当然ながら、本開示による処理チャンバは、任意の適当な数のステーションを有してもよい。例えば、実施形態によっては、処理チャンバが、5つ以上のステーションを有することができる一方で、その他の実施形態では、処理チャンバが、3つ以下のステーションを有することができる。
図14では、処理チャンバ2414内でウエハを移送するウエハハンドリングシステム2490も示している。実施形態によっては、ウエハハンドリングシステム2490は、ウエハを、様々な処理ステーション間で、及び/又は処理ステーションとロードロックとの間で移送することができる。当然ながら、任意の適当なウエハハンドリングシステムが用いられてもよい。非限定的な例として、ウエハカルーセル及びウエハハンドリングロボットが挙げられる。また、図14は、処理ツール2400の処理条件及びハードウェア状態を制御するために用いられるシステムコントローラ2450の実施形態も表している。システムコントローラ2450は、1つ又は複数のメモリデバイス2456、1つ又は複数の大容量ストレージデバイス2454、及び1つ又は複数のプロセッサ2452を含むことができる。プロセッサ2452は、CPU又はコンピュータ、アナログ及び/又はデジタル入力/出力接続、ステッピングモータコントローラボード等を含むことができる。
実施形態によっては、システムコントローラ2450は、処理ツール2400の全活動を制御する。システムコントローラ2450は、大容量ストレージデバイス2454に記憶され、メモリデバイス2456に読み込まれ、プロセッサ2452上で実行されるシステム制御ソフトウェア2458を実行する。システム制御ソフトウェア2458は、タイミング、ガスの混合、チャンバ及び/又はステーション圧力、チャンバ及び/又はステーション温度、ウエハ温度、目標電力レベル、RF電力レベル、基板載置台、チャック及び/又はサセプタ位置、及び処理ツール2400によって行われる特定の処理に関する他のパラメータを制御するための命令を含むことができる。システム制御ソフトウェア2458は、任意の適当な方法で構成されてもよい。例えば、様々な処理ツールコンポーネントサブルーチン又は制御オブジェクトが、様々な処理ツール工程を実行するのに必要な処理ツールコンポーネントの動作を制御するために、書き込まれることができる。システム制御ソフトウェア2458は、任意の適当なコンピュータ可読プログラミング言語としてコード化されることができる。
実施形態によっては、システム制御ソフトウェア2458は、上述された様々なパラメータを制御するための入力/出力制御(IOC)シーケンシング命令を含むことができる。例えば、CFD工程の各段階は、システムコントローラ2450によって実行される1つ又は複数の命令を含むことができる。CFD工程段階のための処理条件を設定する命令は、対応するCFDレシピ段階に含めることができる。実施形態によっては、CFDレシピ段階は、CFD工程段階のための全命令が、該工程段階と同時に実行されるように、順番に配列されることができる。
実施形態によっては、システムコントローラ2450に関連して大容量ストレージデバイス2454及び/又はメモリデバイス2456に保存された他のコンピュータソフトウェア及び/又はプログラムが、用いられてもよい。これを目的としたプログラム又はプログラムの部分の例として、基板位置決めプログラム、処理ガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、及びプラズマ制御プログラムが挙げられる。
基板位置決めプログラムは、基板を載置台2418上に載せるために、及び基板と処理ツール2400の他の部品との間の間隔を制御するために使用される、処理ツールコンポーネントに関するプログラムコードを含むことができる。
処理ガス制御プログラムは、ガス組成及び流量を制御するための、及び任意で、処理ステーション内の圧力を安定させるために、堆積の前に1つ又は複数の処理ステーションにガスを流入させるためのコードを含むことができる。圧力制御プログラムは、例えば、処理ステーションの排気システムにおけるスロットル弁や処理ステーションに入るガス流等を規制することによって、処理ステーション内の圧力を制御するためのコードを含むことができる。
ヒータ制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するためのコードを含むことができる。或いは、ヒータ制御プログラムは、基板への熱伝達ガス(ヘリウム等)の供給を制御することができる。
プラズマ制御プログラムは、1つ又は複数の処理ステーションの処理電極に印加されるRF電力レベルを設定するためのコードを含むことができる。
実施形態によっては、システムコントローラ2450に関連付けられたユーザインタフェースを存在させてもよい。ユーザインタフェースとしては、ディスプレイ画面、装置及び/又は処理条件のグラフィックソフトウェア表示、及びポインティングデバイス、キーボード、タッチ画面、マイクロフォン等のユーザ入力装置等が挙げられる。
実施形態によっては、システムコントローラ2450によって調節されるパラメータは、処理条件に関するものであってよい。非限定的な例としては、処理ガスの組成及び流量、温度、圧力、プラズマ条件(RFバイアス電力レベル等)、圧力、温度等が挙げられる。これらのパラメータは、ユーザインタフェースを用いて入力可能なレシピの形でユーザに提供されることができる。
工程をモニタリングするための信号は、システムコントローラ2450のアナログ及び/又はデジタル入力接続によって、様々な処理ツールセンサから提供されることができる。工程を制御するための信号は、処理ツール2400のアナログ及びデジタル出力接続に載せて出力されることができる。モニタリング可能な処理ツールセンサの非限定的な例としては、マスフローコントローラ、圧力センサ(マノメータ等)、熱電対等が挙げられる。適切にプログラムされたフィードバック及び制御アルゴリズムが、処理条件を維持するために、これらのセンサからのデータと共に使用されることができる。
システムコントローラ2450は、上記堆積工程を実行するためのプログラム命令を提供することができる。プログラム命令は、DC電力レベル、RFバイアス電力レベル、圧力、温度等の、様々な処理パラメータを制御できる。命令は、本明細書で説明される様々な実施形態に従い、積層膜を原位置で堆積する操作を行うために、これらのパラメータを制御できる。
本明細書で上述した装置/工程は、半導体デバイス、ディスプレイ、LED、光起電力パネル等の作製又は製造のための、リソグラフィパターニングツール又は工程と併せて使用されることができる。必ずしもそうとは限らないが、通常、かかるツール/工程は、共通の作製設備において併せて使用又は実行される。リソグラフィによる膜のパターニングは、通常、以下の操作:(1)スピンオンツール又は噴き付けツールを使用して、被加工物、即ち基板にフォトレジストを塗布する操作;(2)加熱板又は高温炉又はUV硬化ツールを使用して、フォトレジストを硬化させる操作;(3)ウエハステッパ等のツールによって、可視光又はUV光又はx線でフォトレジストを露光する操作;(4)レジストを選択的に除去するようにレジストを現像し、それによりウェットベンチ等のツールを使用してパターニングする操作;(5)ドライエッチングツール又はプラズマアシストエッチングツールを使用して、レジストパターンを下地膜又は被加工物に転写する操作;及び(6)RF又はマイクロ波プラズマ除去ストリッパ等のツールを使用して、レジストを除去する操作の中の、一部又は全部を含み、各操作は、考えられる幾つかのツールによって可能になる。
本明細書に記載された構成及び/又はやり方は、全く例示的なものであり、これらの特定の実施形態又は実施例は、多数の変形例が可能であるため、限定する意味に取られないものと、理解されるべきである。本明細書に記載された特定のルーチン又は方法は、あらゆる処理戦略の中の、1つ又は複数を提示したに過ぎないかも知れない。よって、説明した様々な行為(act)は、説明された順序で、他の順序で、並行して、又は場合によっては省略されて、実行されることができる。同様に、上述した工程の順番は、変更されることできる。
本開示の内容は、本明細書に開示された様々な工程、システム及び構成の新規な且つ進歩性のある全ての組合せ及び部分的組合せ、及び他の特徴、機能、行為、及び/又は特性、並びに一部及び全ての均等物を含む。
別の態様では、開示された方法は、反応チャンバにおいて誘電体膜を基板表面に堆積する。この方法は、以下の操作によって特徴付けられる。(a)第1反応物が基板表面に吸着可能な条件下で、酸化体を反応チャンバに流入すること、(b)酸化体が反応チャンバに流入し続けている間に、誘電性前駆体を反応チャンバに導入すること(c)基板表面での誘電性前駆体と酸化体との反応をもたらして、誘電体膜の一部を形成するために、基板表面をプラズマに曝露すること、(d)(a)〜(c)の間には導入されないドーパント含有材料を、当該ドーパント含有材料が膜の被曝露面と接触可能な条件下で、反応チャンバに導入すること、及び(e)ドーパント含有材料からのドーパントを、誘電体膜に取込ませること。一実装では、誘電性前駆体は、BTBAS、又は前の態様で特定されたような別の前駆体である。
次に、上記7つの特徴について更に記述する。以下の説明では、更に一または複数の種類の反応物を、基板表面に吸着させ、その後反応させて、プラズマとの相互作用によって表面に膜を形成するCFD反応について、考察する。
図1は、プラズマ活性化されるCFD工程の例示的な実施形態に関するタイミングチャート100を概略的に示している。2回の完全なCFDサイクルが表されている。図示されたように、各サイクルは、反応物Aに曝露する段階120Aまたは120B、直ぐに続いて反応物Bに曝露する段階140Aまたは140B、反応物Bを掃引する段階160Aまたは160B、及び最終的にプラズマ活性化段階180Aまたは180Bを含む。プラズマ活性化段階180A及び180B中に提供されるプラズマエネルギは、表面に吸着された反応種AとBとの間の反応を活性化する。図示された実施形態では、一方の反応物(反応物A)が供給された後には、全く掃引段階が実行されない。実際に、この反応物は、膜堆積工程中連続して流れる。従って、反応物Aが気相で存在する間に、プラズマが着火される。上記特徴1〜3は、図1の実施例で具現化されている。
図1に示された実施形態の反応物B曝露段階140Aでは、曝露される基板表面を飽和状態にするために、反応物Bが、制御流量で処理ステーションに供給される。一実施例の二酸化シリコン膜では、反応物BをBTBASとしてもよい。図1の実施形態では、反応物B曝露段階140Aを、一定流量を有するものとして表しているが、当然ながら、変流量を含む任意の適当な反応物Bの流れが、本開示の範囲内で、用いられる。更に、当然ながら、反応物B曝露段階140Aは、任意の適当な期間を有することができる。実施形態によっては、反応物B曝露段階140Aは、反応物Bのための基板表面飽和時間を超える期間を有することができる。例えば、図1に示された実施形態では、反応物B曝露段階140Aに含まれる、反応物B飽和後曝露時間150を表している。任意で、反応物B曝露段階140Aは、適切な不活性ガスの制御流を含んでもよく、該不活性ガスは、上述したように、処理ステーションの圧力及び/又は温度制御、液状前駆体の蒸発、前駆体のより迅速な供給を助けることができる、及び処理ステーションガスの逆拡散を防止できる。図で示された実施形態では、不活性ガスが、反応物B曝露段階140Aを通して処理ステーションに連続的に供給される。
原位置エッチング工程の別の実施形態が、図15に示されており、図15では、銅電極用シリコン貫通ビア(TSV)を表している。例示的なTSVの中には、深さ約105ミクロン、及び直径約6ミクロンを有し、その結果、アスペクト比が約17.5:1となり、サーマルバジェット上限が、約200℃のものもある。図15の実施形態で示されたように、シリコン貫通ビアは、シリコン基板を金属充填ビアから電気的に分離するために、誘電体分離層2502によって被覆される。誘電体分離層材料の例としては、酸化シリコン、窒化シリコン、低誘電率(low−k)の誘電材料が挙げられるが、これらに限定されない。実施形態によっては、上述した例示的なエッチング工程は、アルゴン等の適当なスパッタガスを使用した、食い込み部の物理スパッタリングを追加されることができる。
Figure 2014532304

Claims (41)

  1. 反応チャンバにおいて基板表面に膜を堆積する方法であって、
    (a)第1反応物が前記基板表面に吸着可能な条件下で、前記反応チャンバに前記第1反応物を導入すること、
    (b)前記第1反応物が前記基板表面に吸着されている間に、前記反応チャンバに第2反応物を導入すること、
    (c)前記第1反応物と前記第2反応物との間の反応を前記基板表面にもたらして、前記膜の一部を形成するために、前記基板表面をプラズマに曝露すること、
    (d)(a)〜(c)を少なくとも1回繰返すこと、
    (e)(a)〜(d)の間には導入されないドーパント含有材料を、該ドーパント含有材料が前記膜の被曝露面と接触可能な条件下で、前記反応チャンバに導入すること、
    (f)前記ドーパント含有材料から前記膜にドーパントを導入すること
    を備える、方法。
  2. 請求項1に記載の方法は更に、(g)(e)又は(f)の後に(a)〜(c)を繰返すことを備える、方法。
  3. 請求項1に記載の方法は更に、(g)(a)〜(e)を繰返すことを備える、方法。
  4. 請求項1に記載の方法において、(a)〜(c)の間に堆積される膜の量は、約0.5〜1オングストロームである、方法。
  5. 請求項1に記載の方法は更に、前記膜が存在する前記基板表面の形体に、前記膜からの前記ドーパントを打込むことを更に含む、方法。
  6. 請求項5に記載の方法において、前記膜から前記ドーパントを打込むことは、前記膜をアニールすることを含む、方法。
  7. 請求項5に記載の方法において、前記膜は、前記基板表面の三次元の形体上に存在し、前記膜から前記ドーパントを打込むことで、前記形体に前記ドーパントをコンフォーマルに拡散させる、方法。
  8. 請求項7に記載の方法において、前記形体の幅は、約40ナノメートル以下である、方法。
  9. 請求項1に記載の方法において、更に、前記基板表面をプラズマに曝露する前に、前記反応チャンバから前記第2反応物をパージすることを備える、方法。
  10. 請求項9に記載の方法において、前記パージすることは、酸化体を含むガスを、前記反応チャンバに流入させることを含む、方法。
  11. 請求項1に記載の方法において、前記第1反応物および前記第2反応物は、前記反応チャンバ内において気相で共存し、前記第1反応物および前記第2反応物は、(c)でプラズマに曝露されるまで、前記反応チャンバ内において互いにはっきりとは反応しない、方法。
  12. 請求項1に記載の方法において、前記ドーパントを前記膜に導入することは、前記ドーパント含有材料をプラズマに曝露することを含む、方法。
  13. 請求項1に記載の方法において、前記第1反応物は、酸化剤である方法。
  14. 請求項13に記載の方法において、前記酸化剤は、亜酸化窒素である、方法。
  15. 請求項1に記載の方法において、前記第2反応物は、
    アルキルアミノシラン(SiH(NR2)4−x)、(式中x=1〜3、Rは、アルキル基を含む)、及び
    ハロシラン(SiH4−x)、(式中x=1〜3、Yは、Cl、Br、及びIを含む)
    から成る群から選択される、方法。
  16. 前記第2反応物は、BTBASである、請求項1に記載の方法。
  17. 請求項1に記載の方法において、前記ドーパント含有材料は、ホスフィン、アルシン、アルキルボラン、アルキルガラン、アルキルホスフィン、ハロゲン化リン、ハロゲン化ヒ素、ハロゲン化ガリウム、ハロゲン化ホウ素、アルキルボラン、及びジボランから成る群から選択される、方法。
  18. 請求項1に記載の方法において、前記膜は、誘電体膜である、方法。
  19. 請求項1に記載の方法において、総膜厚は、約10〜100オングストロームである、方法。
  20. 請求項1に記載の方法において、前記膜におけるドーパント濃度は、約0.01〜10重量%である、方法。
  21. 請求項1に記載の方法において、さらに、
    フォトレジストを前記基板表面に塗布すること、
    前記フォトレジストを光にさらすこと、
    前記レジストをパターニングし、前記基板表面に前記パターンを転写すること、
    前記基板表面から前記フォトレジストを選択的に除去すること、
    を備える方法。
  22. 反応チャンバにおいて基板表面に誘電体膜を堆積する方法であって、
    (a)酸化体が前記基板表面に吸着可能な条件下で、前記反応チャンバに前記酸化体を流入させること、
    (b)前記酸化体が、前記反応チャンバに流入し続けている間に、誘電性前駆体を前記反応チャンバに導入すること、
    (c)前記基板表面での前記誘電性前駆体と酸化体との反応をもたらして、前記誘電体膜の一部を形成するために、前記基板表面をプラズマに曝露すること、
    (d)(a)〜(c)の間には導入されないドーパント含有材料を、前記ドーパント含有材料が前記誘電体膜の被曝露面と接触可能な条件下で、前記反応チャンバに導入すること、
    (e)前記ドーパント含有材料からドーパントを、前記誘電体膜に取込ませること
    を含む方法。
  23. 請求項22に記載の方法において、前記誘電性前駆体は、BTBASである、方法。
  24. 請求項22に記載の方法において、さらに、前記誘電体膜からドーパントを基板に打込むことを備える、方法。
  25. 請求項22に記載の方法において、操作(a)〜(c)は繰返される、方法。
  26. 請求項25に記載の方法において、(a)が最初に実行されるときには、前記酸化体は、酸素対窒素を第1比率で含有し、(a)が繰返されるときには、前記酸化体は、酸素対窒素を、前記第1比率よりも小さい第2比率で含有する、方法。
  27. 請求項26に記載の方法において、前記酸化体は、(a)が最初に実行されるときには、酸素元素を含み、前記酸化体は、(a)が繰返されるときには、亜酸化窒素を含む、方法。
  28. 請求項25に記載の方法において、前記基板は、(c)が最初に実行されるときには、第1温度であり、前記基板は、(c)が繰返されるときには、前記第1温度より高い第2温度である、方法。
  29. 請求項22に記載の方法において、さらに、(a)の前に、前記基板表面を前記ドーパント含有材料と接触させることを備える、方法。
  30. 反応チャンバにおいて基板表面に誘電体膜を堆積する方法であって、
    (a)誘電性前駆体が前記基板表面に吸着可能な条件下で、前記反応チャンバに前記誘電性前駆体を導入すること、
    (b)その後、前記誘電性前駆体が前記基板表面に吸着された状態のままで、前記反応チャンバから前記誘電性前駆体をパージすること、
    (c)前記基板表面における前記誘電性前駆体の反応をもたらして、前記誘電体膜の一部を形成するために、前記基板表面をプラズマに曝露すること、
    (d)(a)〜(c)の間には導入されないドーパント前駆体を、前記ドーパント前駆体が前記誘電体膜の一部と接触可能な条件下で、前記反応チャンバに導入すること
    を備える方法。
  31. 請求項30に記載の方法において、さらに、(a)〜(c)の前及び間に、酸化体を前記反応チャンバに流入させることを備える、方法。
  32. 請求項30に記載の方法において、さらに、(e)ドーパントを前記誘電体膜に導入するために、前記ドーパント前駆体を反応させることを備える、方法。
  33. ドープ膜を基板表面に堆積するための装置であって、
    前記ドープ膜の堆積中、前記基板を保持するデバイスを含む反応チャンバと、
    前記反応チャンバに結合されている1又は複数の処理ガス入口、
    コントローラであって、
    (a)第1反応物が前記基板表面に吸着可能な条件下で、前記反応チャンバに前記第1反応物を導入すること、
    (b)前記第1反応物が前記基板表面に吸着されている間に、第2反応物を前記反応チャンバに導入すること、
    (c)前記第1反応物と前記第2反応物との間の反応を前記基板表面でもたらして、前記ドープ膜の一部を形成するために、前記基板表面をプラズマに曝露する、
    (d)(a)〜(c)を少なくとも1回繰返すこと;
    (e)(a)〜(d)の間には導入されないドーパント含有材料を、前記ドーパント含有材料が前記ドープ膜の被曝露面と接触可能な条件下で、前記反応チャンバに導入すること、
    (f)前記ドーパント含有材料から前記ドープ膜にドーパントを導入すること
    を前記装置に実行させるよう設計又は構成されているコントローラ
    を備える装置。
  34. 請求項33に記載の装置において、前記コントローラは、さらに、前記装置が、(a)〜(d)の前及び間に酸化体を前記反応チャンバに流入させるように設計又は構成されている、装置。
  35. 請求項33に記載の装置において、前記コントローラは、さらに、(g)(e)又は(f)の後に(a)〜(c)を繰返させるように設計又は構成されている、装置。
  36. 請求項33に記載の装置において、前記コントローラは、さらに、(g)前記ドープ膜から前記ドーパントを、前記ドープ膜が存在する前記基板表面の形体に打込ませるように設計又は構成されている、装置。
  37. 請求項36に記載の装置において、前記ドープ膜から前記ドーパントを打込むことは、前記ドープ膜をアニールすることを含む、装置。
  38. 請求項33に記載の装置において、前記コントローラは、さらに、前記基板表面をプラズマに曝露する前に、前記第2反応物を前記反応チャンバからパージさせるように設計又は構成されている、装置。
  39. 請求項38に記載の装置において、前記パージは、酸化体を含むガスを、前記反応チャンバに流入させることを含む、装置。
  40. 請求項33に記載の装置において、前記コントローラは、さらに、(e)が、(a)〜(d)の1回又は複数回繰返す間の間隔で実行されるように、設計又は構成され、前記間隔は、前記ドープ膜を堆積する期間に亘り、変化する、装置。
  41. 請求項33に記載の前記装置とステッパを含むシステム。
JP2014531838A 2011-09-23 2012-08-29 プラズマ活性化されるコンフォーマル誘電体膜 Active JP6199292B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/242,084 US8637411B2 (en) 2010-04-15 2011-09-23 Plasma activated conformal dielectric film deposition
US13/242,084 2011-09-23
PCT/US2012/052769 WO2013043330A1 (en) 2011-09-23 2012-08-29 Plasma activated conformal dielectric film deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2017159931A Division JP2018011067A (ja) 2011-09-23 2017-08-23 プラズマ活性化されるコンフォーマル誘電体膜

Publications (2)

Publication Number Publication Date
JP2014532304A true JP2014532304A (ja) 2014-12-04
JP6199292B2 JP6199292B2 (ja) 2017-09-20

Family

ID=47914754

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2014531838A Active JP6199292B2 (ja) 2011-09-23 2012-08-29 プラズマ活性化されるコンフォーマル誘電体膜
JP2017159931A Pending JP2018011067A (ja) 2011-09-23 2017-08-23 プラズマ活性化されるコンフォーマル誘電体膜

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2017159931A Pending JP2018011067A (ja) 2011-09-23 2017-08-23 プラズマ活性化されるコンフォーマル誘電体膜

Country Status (6)

Country Link
JP (2) JP6199292B2 (ja)
KR (2) KR102084901B1 (ja)
CN (2) CN103890910B (ja)
SG (2) SG11201400633RA (ja)
TW (3) TWI602245B (ja)
WO (1) WO2013043330A1 (ja)

Cited By (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013153164A (ja) * 2012-01-20 2013-08-08 Novellus Systems Incorporated 無塩素の共形SiN膜を蒸着させるための方法
JP2014229834A (ja) * 2013-05-24 2014-12-08 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP2016122837A (ja) * 2014-12-12 2016-07-07 ラム リサーチ コーポレーションLam Research Corporation キャリアリング構造及びこれを含むチャンバシステム
KR20160146565A (ko) * 2015-06-12 2016-12-21 램 리써치 코포레이션 갭 충진 애플리케이션들에서 실리콘 다이옥사이드 막의 원자층 증착에서의 심들을 제거하기 위한 시스템들 및 방법들
JP2017092098A (ja) * 2015-11-04 2017-05-25 東京エレクトロン株式会社 窒化膜の形成方法
US9673041B2 (en) 2010-04-15 2017-06-06 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for patterning applications
JP2017174988A (ja) * 2016-03-24 2017-09-28 豊田合成株式会社 半導体装置の製造方法及び半導体装置
US9786570B2 (en) 2012-11-08 2017-10-10 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9793110B2 (en) 2010-04-15 2017-10-17 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US9865815B2 (en) 2015-09-24 2018-01-09 Lam Research Coporation Bromine containing silicon precursors for encapsulation layers
US9875891B2 (en) 2014-11-24 2018-01-23 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
JP2018061007A (ja) * 2016-07-29 2018-04-12 ラム リサーチ コーポレーションLam Research Corporation 半導体パターニング用途のためのドープald膜
KR20180059261A (ko) * 2016-11-25 2018-06-04 주식회사 원익아이피에스 반도체 소자의 갭필 방법
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
KR20180082599A (ko) * 2015-12-08 2018-07-18 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 각이 진 이온 빔을 사용하여 캐비티를 충전하기 위한 장치 및 기술들
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10043655B2 (en) 2010-04-15 2018-08-07 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
JP2018524808A (ja) * 2015-06-16 2018-08-30 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ハライドシラン化合物及び組成物並びにそれを使用してケイ素含有膜を堆積するためのプロセス
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
WO2018168057A1 (ja) * 2017-03-17 2018-09-20 株式会社日本製鋼所 成膜方法および電子装置の製造方法並びにマスク保持体
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
JP2019033229A (ja) * 2017-08-09 2019-02-28 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
JP2019511118A (ja) * 2016-03-13 2019-04-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated スペーサ用の窒化ケイ素膜の選択的堆積
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10373806B2 (en) 2016-06-30 2019-08-06 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
JP2020065087A (ja) * 2015-11-12 2020-04-23 エーエスエム アイピー ホールディング ビー.ブイ. SiOCN薄膜の形成
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
JP2021039975A (ja) * 2019-08-30 2021-03-11 東京エレクトロン株式会社 成膜装置及び成膜方法
JP2021061348A (ja) * 2019-10-08 2021-04-15 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP2021530730A (ja) * 2018-06-29 2021-11-11 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 光学部品のマイクロ/ナノ構造を間隙充填するための流動性cvdの使用
WO2022043824A1 (ja) * 2020-08-26 2022-03-03 株式会社半導体エネルギー研究所 金属酸化物の成膜方法、および記憶装置の作製方法
JP2022058614A (ja) * 2015-03-26 2022-04-12 ラム リサーチ コーポレーション 断続的な再修復プラズマを用い、aldによる酸化ケイ素表面コーティングを使用したラジカル再結合の最少化
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
WO2023171416A1 (ja) * 2022-03-10 2023-09-14 東京エレクトロン株式会社 埋込方法及び基板処理装置
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
JP7465287B2 (ja) 2019-06-08 2024-04-10 アプライド マテリアルズ インコーポレイテッド 自己形成バリア層を備えた低誘電率誘電体

Families Citing this family (280)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US20150329965A1 (en) * 2012-12-21 2015-11-19 Prasad Narhar Gadgil Methods of low temperature deposition of ceramic thin films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9620502B2 (en) * 2013-04-10 2017-04-11 Samsung Electronics Co., Ltd. Semiconductor device including an extended impurity region
US9606519B2 (en) 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9685325B2 (en) * 2014-07-19 2017-06-20 Applied Materials, Inc. Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
FI126970B (en) 2014-12-22 2017-08-31 Picosun Oy Atomic layer cultivation in which the first and second species of source materials are present simultaneously
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
KR102317440B1 (ko) * 2015-05-27 2021-10-26 주성엔지니어링(주) 반도체 소자의 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9721887B2 (en) * 2015-08-19 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd Method of forming metal interconnection
US9909214B2 (en) * 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9725302B1 (en) * 2016-08-25 2017-08-08 Applied Materials, Inc. Wafer processing equipment having exposable sensing layers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) * 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10516100B2 (en) 2017-06-12 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon oxynitride based encapsulation layer for magnetic tunnel junctions
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102470206B1 (ko) * 2017-10-13 2022-11-23 삼성디스플레이 주식회사 금속 산화막의 제조 방법 및 금속 산화막을 포함하는 표시 소자
US20190119815A1 (en) * 2017-10-24 2019-04-25 Applied Materials, Inc. Systems and processes for plasma filtering
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
KR20240007292A (ko) * 2018-08-02 2024-01-16 젤리스트 인코퍼레이티드 기상 천이 종의 제어된 형성을 통한 박막 증착 방법
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
WO2020072625A1 (en) * 2018-10-03 2020-04-09 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
TW202026452A (zh) 2018-10-04 2020-07-16 日商Adeka股份有限公司 原子層堆積法用薄膜形成用原料、薄膜形成用原料、薄膜之製造方法及化合物
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220012474A (ko) * 2020-07-22 2022-02-04 주식회사 원익아이피에스 박막 증착 방법 및 이를 이용한 반도체 소자의 제조방법
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4843472B1 (ja) * 1968-05-09 1973-12-19
JPH0293071A (ja) * 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JPH06177120A (ja) * 1992-10-27 1994-06-24 Sony Corp 層間絶縁膜の形成方法
JP2001338922A (ja) * 2000-03-22 2001-12-07 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2002134497A (ja) * 2000-10-23 2002-05-10 Sony Corp 半導体装置の製造方法
JP2002164345A (ja) * 2000-11-28 2002-06-07 Tokyo Electron Ltd 成膜方法
WO2007043709A1 (ja) * 2005-10-14 2007-04-19 Nec Corporation 半導体装置の製造方法およびその製造装置
JP2007180362A (ja) * 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
JP2007287889A (ja) * 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法
JP2007287890A (ja) * 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法、プラズマcvd装置
JP2008500742A (ja) * 2004-05-21 2008-01-10 アプライド マテリアルズ インコーポレイテッド 高誘電率誘電材料への酸窒化シリコン層の形成
JP2008109093A (ja) * 2006-09-28 2008-05-08 Tokyo Electron Ltd 成膜方法および成膜装置
JP2009065203A (ja) * 2005-03-09 2009-03-26 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
JP2009152640A (ja) * 2005-02-17 2009-07-09 Hitachi Kokusai Electric Inc 基板処理装置
JP2009540128A (ja) * 2006-06-16 2009-11-19 フジフィルム マニュファクチャリング ユーロプ ビー.ブイ. 大気圧グロー放電プラズマを使用した原子層堆積の方法及び装置
JP2010245518A (ja) * 2009-04-01 2010-10-28 Asm Japan Kk 低温でプラズマ励起原子膜の成膜によりシリコン酸化膜を成膜する方法
JP2011023576A (ja) * 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6156149A (en) * 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5994209A (en) * 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
KR100721503B1 (ko) * 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US7713592B2 (en) * 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US7125815B2 (en) * 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7482247B1 (en) * 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
KR100622609B1 (ko) * 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP2007019145A (ja) * 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
US7897217B2 (en) * 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
JP4434149B2 (ja) * 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
TWI424498B (zh) * 2006-03-31 2014-01-21 Applied Materials Inc 用以改良介電薄膜之階梯覆蓋與圖案負載的方法
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2008294260A (ja) 2007-05-25 2008-12-04 Sony Corp 半導体装置とその製造方法並びに積層絶縁膜とその形成方法
US20090065896A1 (en) * 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
JP2010251654A (ja) * 2009-04-20 2010-11-04 Elpida Memory Inc 成膜方法および半導体装置の製造方法
KR101732187B1 (ko) * 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4843472B1 (ja) * 1968-05-09 1973-12-19
JPH0293071A (ja) * 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JPH06177120A (ja) * 1992-10-27 1994-06-24 Sony Corp 層間絶縁膜の形成方法
JP2001338922A (ja) * 2000-03-22 2001-12-07 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2002134497A (ja) * 2000-10-23 2002-05-10 Sony Corp 半導体装置の製造方法
JP2002164345A (ja) * 2000-11-28 2002-06-07 Tokyo Electron Ltd 成膜方法
JP2008500742A (ja) * 2004-05-21 2008-01-10 アプライド マテリアルズ インコーポレイテッド 高誘電率誘電材料への酸窒化シリコン層の形成
JP2009152640A (ja) * 2005-02-17 2009-07-09 Hitachi Kokusai Electric Inc 基板処理装置
JP2009065203A (ja) * 2005-03-09 2009-03-26 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
WO2007043709A1 (ja) * 2005-10-14 2007-04-19 Nec Corporation 半導体装置の製造方法およびその製造装置
JP2007180362A (ja) * 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
JP2007287890A (ja) * 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法、プラズマcvd装置
JP2007287889A (ja) * 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法
JP2009540128A (ja) * 2006-06-16 2009-11-19 フジフィルム マニュファクチャリング ユーロプ ビー.ブイ. 大気圧グロー放電プラズマを使用した原子層堆積の方法及び装置
JP2008109093A (ja) * 2006-09-28 2008-05-08 Tokyo Electron Ltd 成膜方法および成膜装置
JP2010245518A (ja) * 2009-04-01 2010-10-28 Asm Japan Kk 低温でプラズマ励起原子膜の成膜によりシリコン酸化膜を成膜する方法
JP2011023576A (ja) * 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置

Cited By (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11011379B2 (en) 2010-04-15 2021-05-18 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US11133180B2 (en) 2010-04-15 2021-09-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9793110B2 (en) 2010-04-15 2017-10-17 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9673041B2 (en) 2010-04-15 2017-06-06 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for patterning applications
US10361076B2 (en) 2010-04-15 2019-07-23 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US10043657B2 (en) 2010-04-15 2018-08-07 Lam Research Corporation Plasma assisted atomic layer deposition metal oxide for patterning applications
US10043655B2 (en) 2010-04-15 2018-08-07 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
JP2013153164A (ja) * 2012-01-20 2013-08-08 Novellus Systems Incorporated 無塩素の共形SiN膜を蒸着させるための方法
US9786570B2 (en) 2012-11-08 2017-10-10 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10741458B2 (en) 2012-11-08 2020-08-11 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10008428B2 (en) 2012-11-08 2018-06-26 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
JP2014229834A (ja) * 2013-05-24 2014-12-08 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9875891B2 (en) 2014-11-24 2018-01-23 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR20200022414A (ko) * 2014-12-12 2020-03-03 램 리써치 코포레이션 캐리어 링 구조체 및 이를 포함하는 챔버 시스템들
KR102421858B1 (ko) * 2014-12-12 2022-07-15 램 리써치 코포레이션 캐리어 링 구조체 및 이를 포함하는 챔버 시스템들
JP2016122837A (ja) * 2014-12-12 2016-07-07 ラム リサーチ コーポレーションLam Research Corporation キャリアリング構造及びこれを含むチャンバシステム
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
JP2022058614A (ja) * 2015-03-26 2022-04-12 ラム リサーチ コーポレーション 断続的な再修復プラズマを用い、aldによる酸化ケイ素表面コーティングを使用したラジカル再結合の最少化
JP7386270B2 (ja) 2015-03-26 2023-11-24 ラム リサーチ コーポレーション 断続的な再修復プラズマを用い、aldによる酸化ケイ素表面コーティングを使用したラジカル再結合の最少化
US11920239B2 (en) 2015-03-26 2024-03-05 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
KR102616699B1 (ko) * 2015-06-12 2023-12-26 램 리써치 코포레이션 갭 충진 애플리케이션들에서 실리콘 다이옥사이드 막의 원자층 증착에서의 심들을 제거하기 위한 시스템들 및 방법들
KR20160146565A (ko) * 2015-06-12 2016-12-21 램 리써치 코포레이션 갭 충진 애플리케이션들에서 실리콘 다이옥사이드 막의 원자층 증착에서의 심들을 제거하기 위한 시스템들 및 방법들
JP2018524808A (ja) * 2015-06-16 2018-08-30 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ハライドシラン化合物及び組成物並びにそれを使用してケイ素含有膜を堆積するためのプロセス
US9865815B2 (en) 2015-09-24 2018-01-09 Lam Research Coporation Bromine containing silicon precursors for encapsulation layers
US10141505B2 (en) 2015-09-24 2018-11-27 Lam Research Corporation Bromine containing silicon precursors for encapsulation layers
JP2017092098A (ja) * 2015-11-04 2017-05-25 東京エレクトロン株式会社 窒化膜の形成方法
JP2020065087A (ja) * 2015-11-12 2020-04-23 エーエスエム アイピー ホールディング ビー.ブイ. SiOCN薄膜の形成
KR20180082599A (ko) * 2015-12-08 2018-07-18 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 각이 진 이온 빔을 사용하여 캐비티를 충전하기 위한 장치 및 기술들
JP2019501489A (ja) * 2015-12-08 2019-01-17 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド 傾斜イオンビームを用いて空洞を満たすための装置及び技術
JP7098522B2 (ja) 2015-12-08 2022-07-11 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド 傾斜イオンビームを用いて空洞を満たすための装置及び技術
KR102639549B1 (ko) * 2015-12-08 2024-02-22 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 각이 진 이온 빔을 사용하여 캐비티를 충전하기 위한 장치 및 기술들
JP2019511118A (ja) * 2016-03-13 2019-04-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated スペーサ用の窒化ケイ素膜の選択的堆積
JP2017174988A (ja) * 2016-03-24 2017-09-28 豊田合成株式会社 半導体装置の製造方法及び半導体装置
JP7410106B2 (ja) 2016-06-30 2024-01-09 ラム リサーチ コーポレーション ギャップ充填時の蒸着およびエッチングのための装置および方法
US10373806B2 (en) 2016-06-30 2019-08-06 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
JP2022028796A (ja) * 2016-06-30 2022-02-16 ラム リサーチ コーポレーション ギャップ充填時の蒸着およびエッチングのための装置および方法
US10957514B2 (en) 2016-06-30 2021-03-23 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10679848B2 (en) 2016-07-01 2020-06-09 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
JP2018061007A (ja) * 2016-07-29 2018-04-12 ラム リサーチ コーポレーションLam Research Corporation 半導体パターニング用途のためのドープald膜
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR20180059261A (ko) * 2016-11-25 2018-06-04 주식회사 원익아이피에스 반도체 소자의 갭필 방법
KR102241937B1 (ko) 2016-11-25 2021-04-20 주식회사 원익아이피에스 반도체 소자의 갭필 방법
JP2018154874A (ja) * 2017-03-17 2018-10-04 株式会社日本製鋼所 成膜方法および電子装置の製造方法並びにマスク保持体
WO2018168057A1 (ja) * 2017-03-17 2018-09-20 株式会社日本製鋼所 成膜方法および電子装置の製造方法並びにマスク保持体
US10988841B2 (en) 2017-03-17 2021-04-27 The Japan Steel Works, Ltd. Film-forming method, manufacturing method of electronic device, and mask holder
US11075074B2 (en) 2017-08-09 2021-07-27 Tokyo Electron Limited Method for depositing a silicon nitride film and film deposition apparatus
JP2019033229A (ja) * 2017-08-09 2019-02-28 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
JP7232823B2 (ja) 2017-09-13 2023-03-03 ラム リサーチ コーポレーション 犠牲エッチングキャップ層を利用した高アスペクト比フィーチャの誘電体ギャップ充填
US10658172B2 (en) 2017-09-13 2020-05-19 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
JP2020535633A (ja) * 2017-09-13 2020-12-03 ラム リサーチ コーポレーションLam Research Corporation 犠牲エッチングキャップ層を利用した高アスペクト比フィーチャの誘電体ギャップ充填
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
JP7328264B2 (ja) 2018-06-29 2023-08-16 アプライド マテリアルズ インコーポレイテッド 光学部品のマイクロ/ナノ構造を間隙充填するための流動性cvdの使用
JP2021530730A (ja) * 2018-06-29 2021-11-11 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 光学部品のマイクロ/ナノ構造を間隙充填するための流動性cvdの使用
JP7465287B2 (ja) 2019-06-08 2024-04-10 アプライド マテリアルズ インコーポレイテッド 自己形成バリア層を備えた低誘電率誘電体
JP2021039975A (ja) * 2019-08-30 2021-03-11 東京エレクトロン株式会社 成膜装置及び成膜方法
JP7259649B2 (ja) 2019-08-30 2023-04-18 東京エレクトロン株式会社 成膜装置及び成膜方法
WO2021070682A1 (ja) * 2019-10-08 2021-04-15 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP2021061348A (ja) * 2019-10-08 2021-04-15 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP7257930B2 (ja) 2019-10-08 2023-04-14 東京エレクトロン株式会社 基板処理方法及び基板処理装置
WO2022043824A1 (ja) * 2020-08-26 2022-03-03 株式会社半導体エネルギー研究所 金属酸化物の成膜方法、および記憶装置の作製方法
WO2023171416A1 (ja) * 2022-03-10 2023-09-14 東京エレクトロン株式会社 埋込方法及び基板処理装置

Also Published As

Publication number Publication date
WO2013043330A1 (en) 2013-03-28
TWI531001B (zh) 2016-04-21
KR102084901B1 (ko) 2020-03-05
CN107342216A (zh) 2017-11-10
TWI682459B (zh) 2020-01-11
CN107342216B (zh) 2022-05-31
TW201330096A (zh) 2013-07-16
SG11201400633RA (en) 2014-08-28
CN103890910B (zh) 2017-05-17
CN103890910A (zh) 2014-06-25
TW201616576A (zh) 2016-05-01
JP6199292B2 (ja) 2017-09-20
JP2018011067A (ja) 2018-01-18
SG10201607194PA (en) 2016-10-28
TWI602245B (zh) 2017-10-11
KR101975071B1 (ko) 2019-05-03
KR20190049906A (ko) 2019-05-09
TW201735162A (zh) 2017-10-01
KR20140079431A (ko) 2014-06-26

Similar Documents

Publication Publication Date Title
JP6199292B2 (ja) プラズマ活性化されるコンフォーマル誘電体膜
US10043655B2 (en) Plasma activated conformal dielectric film deposition
KR102145694B1 (ko) 플라즈마 활성화된 등각 막 성막을 위한 전구체들
KR102357418B1 (ko) 유기금속 공-반응물들과의 교차-복분해 반응들을 통해 sic 및 sicn 막들을 증착시키기 위한 장치들 및 방법들
KR102012532B1 (ko) 플라즈마 활성화된 컨포멀 유전체 막 증착
US9230800B2 (en) Plasma activated conformal film deposition

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150819

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160819

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160823

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20161114

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170220

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170725

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170823

R150 Certificate of patent or registration of utility model

Ref document number: 6199292

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250