CN103890910B - 等离子体活化保形电介质膜沉积的方法和装置 - Google Patents

等离子体活化保形电介质膜沉积的方法和装置 Download PDF

Info

Publication number
CN103890910B
CN103890910B CN201280046487.6A CN201280046487A CN103890910B CN 103890910 B CN103890910 B CN 103890910B CN 201280046487 A CN201280046487 A CN 201280046487A CN 103890910 B CN103890910 B CN 103890910B
Authority
CN
China
Prior art keywords
dopant
film
reactant
plasma
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201280046487.6A
Other languages
English (en)
Other versions
CN103890910A (zh
Inventor
尚卡尔·斯娃米纳森
乔恩·亨利
丹尼斯·M·豪斯曼
普拉莫德·苏布拉莫尼姆
曼迪亚姆·西里拉姆
维什瓦纳坦·兰加拉詹
基里斯·K·卡特提格
巴特·J·范施拉芬迪克
安德鲁·J·麦克罗
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/242,084 external-priority patent/US8637411B2/en
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Priority to CN201710347032.2A priority Critical patent/CN107342216B/zh
Publication of CN103890910A publication Critical patent/CN103890910A/zh
Application granted granted Critical
Publication of CN103890910B publication Critical patent/CN103890910B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

在衬底表面上沉积膜的方法,其包括表面介导反应,在该反应中经过反应物的吸附和反应的一个或多个循环所述膜进行生长。在一个方面中,该方法的特征在于,在吸附和反应的循环之间,间歇性输送掺杂剂物质到膜。

Description

等离子体活化保形电介质膜沉积的方法和装置
相关申请的交叉引用
根据35U.S.C.§120,本申请作为2011年4月11日提交的美国专利申请No.13/084,399的部分继续申请要求优先权,美国专利申请No.13/084,399主张于2010年4月15日提交的美国临时专利申请号61/324710、于2010年8月10日提交的美国临时专利申请号61/372,367、于2010年9月1日提交的美国临时专利申请号61/379,081、以及于2010年11月29日提交的美国临时专利申请号61/417,807的利益。上述专利申请中的每一个其全部内容通过引用并入本申请,并用于所有目的。本申请也是于2011年4月11日提交的美国专利申请No.13/084,305申请的部分继续申请,其全部内容通过引用并入本申请,并用于所有目的。
背景技术
用于半导体器件的各种薄膜层可利用原子层沉积(ALD)工艺沉积。但是,现有的ALD工艺可能不适合用于沉积高度保形的电介质膜。
发明内容
本文所公开的各个方面涉及用于在衬底表面上沉积膜的方法和装置。在某些实施方案中,所述方法包括通过表面介导反应沉积膜,在所述反应中经过反应物的吸附和反应的一个或多个循环使膜生长。在一个方面,该方法的特征在于,在吸附和反应的循环之间间歇输送掺杂物质到膜。在某些时候,该掺杂剂物质可被驱动跨越衬底表面到所述衬底的掺杂区域。
在一个方面,公开的方法在反应室中在衬底表面上沉积膜。所述方法的特征在于以下操作:(a)在允许第一反应物吸附到所述衬底表面的条件 下将所述第一反应物引入所述反应室;(b)在所述第一反应物被吸附在所述衬底表面上的同时,将第二反应物引入所述反应室;(c)将所述衬底表面暴露于等离子体以驱动所述衬底表面上的所述第一和所述第二反应物之间的反应以形成所述膜的一部分;(d)重复(a)-(c)至少一次;(e)在允许包含掺杂剂的材料接触所述膜的暴露表面的条件下,将所述包含掺杂剂的材料引入所述反应室,而在(a)-(d)中不引入;以及(f)将掺杂剂从所述包含掺杂剂的材料引入所述膜。将所述掺杂剂引入所述膜可涉及将所述包含掺杂剂的材料暴露于等离子体。
在各种实施方式中,所述方法另外包括从所述膜驱动所述掺杂剂到所述膜驻留的所述衬底表面的特征中。从所述膜驱动所述掺杂剂可通过对所述膜进行退火处理来实现。在一些应用中,所述膜驻留在所述衬底表面的三维特征上,并且驱动来自所述膜的掺杂剂使所述掺杂剂保形扩散到所述特征中。在特定应用中,所述特征具有不大于约40纳米的宽度。
在某些实施方式中,膜是电介质膜。在一些情况下,总的膜厚度介于约10-100埃之间。在各种实施方式中,在膜中的掺杂剂浓度为介于按重量计约0.01%至10%之间。
在某些实施方式中,方法的该方面另外包括在(e)或(f)之后重复(a)-(c)。在某些实施方式中,本方法的该方面另外包括重复(a)-(e)。在一些实施方式中,在(a)-(c)中沉积的膜的量为介于约0.5至1埃之间。
在某些实施方式中,所述方法另外包括在将所述衬底表面暴露于等离子体之前从所述反应室清除所述第二反应物。所述清除可以通过使含有氧化剂的气体流入所述反应室来实现。在一些实施方式中,所述第一和第二反应物以气相共存于所述反应室中,并且在所述反应室中所述第一和第二反应物直至在(c)中暴露于等离子体中才会明显相互反应。
在某些实施方式中,第一反应物是氧化剂,例如,一氧化二氮。在某些实施方式中,第二反应物是电介质前体,例如(i)烷氨基硅烷(SiHx(NR24-x)中,其中x=1-3,并且R包括烷基,或(ii)卤代硅烷(SiHxY4-x),其中X=1-3,以及Y包括Cl、Br和I。在一个具体实施方式中,第二反应物是BTBAS。在某些实施方式中,所述包含掺杂剂的材料选自膦、砷化氢、烷基硼、烷基镓烷、烷基膦、卤化磷、卤化砷、卤化镓、卤化硼、烷基硼,和乙硼烷。
在另一个方面,一种公开的方法在反应室中在衬底表面上沉积膜。所述方法的特征在于以下操作:(a)在允许第一反应物吸附到所述衬底表面上的条件下使氧化剂流入所述反应室;(b)在所述氧化剂继续流入所述反应室的同时,将电介质前体引入所述反应室;(c)将所述衬底表面暴露于等离子体以驱动在所述衬底表面上的所述电介质前体和氧化剂反应,以形成所述电介质膜的一部分;(d)在允许包含掺杂剂的材料接触所述膜的暴露表面的条件下,将所述包含掺杂剂的材料引入所述反应室,而在(a)-(c)中不引入;和(e)造成来自所述包含掺杂剂的材料的掺杂剂结合入所述电介质膜。在一个实施方式中,所述电介质前体是BTBAS或在先前方面中确定的其他的前体。
此外,该方法可以要求重复操作(a)-(c)一次或多次。在一个具体的例子中,当最初执行(a)时,所述氧化剂包含第一比率的氧比氮,而当随后执行(a)时,所述氧化剂包含第二比率的氧比氮。所述第二比率小于所述第一比率。例如,当最初执行(a)时,所述氧化剂可包括元素氧,而当重复(a)时,所述氧化剂包括一氧化二氮。在一些实施方式中,当最初执行(c)时,所述衬底在第一温度,而当重复(c)时,所述衬底在第二温度,所述第二温度高于所述第一温度。
在某些情况下,该方法还包括将掺杂剂从电介质膜驱动进入所述衬底。在一些实施方式中,所述方法还包括在(a)之前将所述衬底表面与所述包含掺杂剂的材料接触。
在另一方面,本发明所公开的方法根据以下操作在反应室中在衬底表面上沉积电介质膜:(a)在允许电介质前体吸附到所述衬底表面的条件下将所述前体引入所述反应室;(b)此后在所述前体保持吸附在所述衬底表面上的同时,将所述电介质前体从所述反应室清除;(c)将所述衬底表面暴露 于等离子体以驱动所述衬底表面上的所述电介质前体的反应以形成所述电介质膜的一部分;以及(d)在允许掺杂剂前体接触所述电介质膜的条件下,将所述掺杂剂前体引入所述反应室,而在(a)-(c)中不引入。在一些实施方式中,所述方法另外包括在(a)-(c)之前和期间使氧化剂流入所述反应室。在一些情况下,所述方法还包括使所述掺杂剂前体反应以将掺杂剂引入到膜中。
本发明的又一个方面涉及用于在衬底表面上沉积掺杂膜的装置。所述装置的特征在于以下特征:反应室,其包括用于在所述掺杂的电介质膜的沉积过程中容纳所述衬底的设备;一个或多个工艺气体进口,其耦合到所述反应室;和控制器。所述控制器被设计或配置成使所述装置执行以下操作:(a)在允许第一反应物吸附到所述衬底表面的条件下将所述第一反应物引入所述反应室;(b)在所述第一反应物被吸附在所述衬底表面上的同时,将第二反应物引入所述反应室;(c)将所述衬底表面暴露于等离子体以驱动所述衬底表面上的所述第一和所述第二反应物之间的反应以形成所述膜的一部分;(d)重复(a)-(c)至少一次;(e)在允许包含掺杂剂的材料接触所述膜的暴露表面的条件下,将所述包含掺杂剂的材料引入所述反应室,在(a)-(d)中不引入;和(f)将掺杂剂从所述包含掺杂剂的材料引入所述膜。所述控制器可以被设计或配置成管理诸如根据其它方面讨论的方法等其他的方法的性能。
在某些实施方式中,所述控制器进一步被设计或配置成造成所述装置在(a)-(d)之前和期间使氧化剂流入所述反应室。在某些实施方式中,所述控制器进一步被设计或配置成在(e)或(f)之后重复(a)-(c)。在某些实施方式中,所述控制器进一步被设计或配置成造成将所述掺杂剂从所述膜驱动到所述膜所在的所述衬底表面的特征中。将所述掺杂剂从所述膜驱动可通过对所述膜进行退火处理来实现。在一些实施方式中,所述控制器进一步被设计或配置成造成(e)在(a)-(d)的一次或更多次重复之间的间隔执行,其中在沉积所述膜的过程中所述间隔是变化的。
在各种实施方式中,所述控制器进一步被设计或配置成造成在将所述衬底表面暴露于等离子体之前将所述第二反应物从所述反应室清除。在一个示例中,所述清除通过使含有氧化剂的气体在所述控制器的指引下流入所述反应室来实现。
这些以及其他的特征将参照相关的附图在下文进行详细描述。
附图说明
图1根据本公开的实施方式示意性示出了示例的保形膜沉积(CFD)工艺的时序图。
图2根据本公开的实施方式示意性示出了另一示例的CFD工艺的时序图。
图3根据本公开的实施方式示意性示出了另一示例的CFD工艺的时序图。
图4根据本公开的实施方式示意性示出了一示例的包括等离子体处理循环的CFD工艺的时序图。
图5根据本公开的实施方式示出了所沉积的膜的湿法蚀刻速率比和沉积温度之间的示例的相关性。
图6根据本公开的实施方式示出了所沉积的膜的湿法蚀刻速率比和应力之间的示例的相关性。
图7根据本公开的实施方式示出了所沉积的膜的膜污染物浓度和沉积温度之间的示例的相关性。
图8示意性地示出了包含多个空隙的非平坦的衬底的示例的横截面。
图9根据本公开的实施方式示意性地示出了包括到PECVD工艺的过渡的示例的CFD工艺的时序图。
图10示意性地示出了包含锁孔空穴的间隙填充的示例的横截面。
图11根据本公开的实施方式示意性地示出了包括原位蚀刻的示例的CFD工艺的时序图。
图12A示意性地示出了重入(re-entrant)的间隙填充轮廓的示例的横截面。
图12B根据本公开的实施方式示意性地示出了在原位蚀刻过程中图12A的重入的间隙填充轮廓的示例的横截面。
图12C根据本公开的实施方式示意性地示出了在原位蚀刻过程中图12B的间隙填充轮廓的示例的横截面。
图13根据本公开的实施方式示意性地示出了示例的处理站。
图14根据本公开的实施方式示意性地示出了包括多个处理站和控制器的示例性处理工具。
图15根据本公开的实施方式示意性地示出了在包括原位蚀刻的CFD工艺过程中的硅通孔的示例的横截面图。
图16示出了具有三维栅结构的晶体管,其中,源极和漏极形成在难以通过传统的离子注入技术掺杂的薄垂直结构中。
图17呈现了随着时间沿x轴推进从左到右的基准CFD操作顺序。
图18和19描绘了其中掺杂剂沉积在下伏衬底的界面处,随后CFD循环与掺杂剂输送穿插,且任选地用未掺杂的保护性“加盖(capping)”层可以是CFD氧化膜结束的实施方式。
图20显示了用于合成CFD BSG/PSG膜的典型沉积框图。
图21显示了在致密和隔离的结构上CFD膜的阶梯覆盖性计算为
图22呈现了SIMS数据,其示出了在CFD膜中平均硼浓度可在约0.5-3.5重量%硼的范围中调节。
具体实施方式
半导体器件的制造通常涉及在集成制造工艺中在非平坦的衬底上沉积一个或多个薄膜。在集成工艺的一些方面,沉积与衬底形貌共形的薄膜可能是有用的。例如,氮化硅膜可以沉积在增高的栅极堆叠(elevated gate stack)的顶部作为间隔层以保护轻掺杂的源极和漏极区域免受随后的离子注入工艺的损坏。
在间隔层沉积工艺中,化学气相沉积(CVD)工艺可用于在非平坦的衬底上形成氮化硅膜,然后对其各向异性蚀刻以形成间隔结构。然而,随着栅堆叠之间的距离减小,CVD气相反应的物质运输限制可能会导致“面包块”(“bread-loafing”)沉积效应。这些效应通常导致在栅堆叠的顶面出现较厚的沉积物并且在栅堆叠的底部角落出现较薄的沉积物。此外,由于一些管芯(die)可以有不同器件密度的区域,因此在整个晶片表面的物质运输效应可能会导致在管芯内和晶片内的膜厚度的变化。这些厚度的变化可能导致在一些区域的过蚀刻和在其他区域的蚀刻不足。这会降低器件的性能和/或管芯产量。
解决这些问题的一些方法包括原子层沉积(ALD)。相比于使用热激活气相反应以沉积膜的CVD工艺,ALD工艺使用表面介导沉积反应以逐层沉积薄膜。在一个示例的ALD工艺中,包括多个表面活性位点的衬底表面被暴露于气相分布的第一膜前体(P1)。P1的一些分子可以在衬底表面顶部形成凝聚相,其包括P1的化学吸附类物和物理吸附分子。然后将反应器抽空,以除去气相和物理吸附的P1,以便只有化学吸附类物留下。然后引入第二膜前体(P2)到反应器中,使得一些P2的分子吸附到衬底表面。可以再次抽空该反应器,这时去除未被约束的P2。接着,提供于衬底的热能激活P1和P2的吸附分子之间的表面反应,形成膜层。最后,将该反应器抽空,以去除反应副产物和可能未反应的P1和P2,结束ALD循环。可以包括其他ALD循环以增加膜的厚度。
在一个示例中,根据投配步骤前体的暴露时间和前体的粘着系数,每个ALD循环可以沉积厚度在0.5和3埃之间的膜层。因此,当沉积超过几 纳米厚的膜时,ALD工艺可能是耗时的。此外,一些前体可以具有长的暴露时间,以沉积保形膜,从而也可以降低晶片吞吐的时间。
保形膜也可以沉积在平坦的衬底上。例如,由包含不同类型膜的平坦堆叠层可以形成光刻图案化应用中的抗反射层。这样的抗反射层厚度可介于约100至1000埃之间,使得ALD工艺的吸引力不及CVD工艺。然而,相比于许多CVD工艺可能提供的晶片内厚度变化的容差,这样的抗反射层还可以具有晶片内厚度变化的较低的容差。例如,600埃厚的抗反射层可以有小于3埃的厚度范围的容差。
相应地,本发明提供了用于在非平坦的和平坦的衬底上提供等离子体激活的保形膜沉积(CFD)的工艺和设备的多个示例。这些示例包括在一些但不是在所有的CFD工艺中采用的多种特征。在这些特征中有:(1)消除或减少从反应室中“清扫”一种或两种反应物所需的时间,(2)提供至少一种反应物的连续流,同时让不同的反应物断续地流入到反应室中,(3)当反应物中的一种以气相存在时,而不是当所有的反应物被清除出反应室时,点燃等离子体,(4)用等离子体处理沉积的CFD膜以修改膜的性能,(5)通过CFD沉积膜的第一部分后,通常在相同的反应室中,通过PECVD沉积膜的一部分,(6)在CFD的阶段之间蚀刻部分地沉积的膜,以及(7)通过仅对膜的沉积的循环插入杂质输送循环,对CFD膜掺杂。当然,上面所罗列的并不详尽。研究本说明书的其余部分,各种其它CFD特征将是显而易见的。
CFD“循环”的构思与这里所讨论的各种示例有关。一般来说,循环是执行一次表面沉积反应所需的最小组的操作。一个循环的结果是在衬底表面上产生至少部分膜层。通常情况下,CFD循环将只包括那些将每种反应物输送并吸附到衬底表面,且接着使这些吸附的反应物形成部分膜层所必需的步骤。当然,循环可包括若干辅助步骤,如清扫反应物或副产物中的一种,和/或处理所沉积的部分膜。一般情况下,循环包含仅仅一种情形的独特操作序列。作为示例,一个循环可以包括以下操作:(i)输送/吸附反应物A,(ii)输送/吸附反应物B,(ⅲ)将B清扫出反应腔室,以及(iv)施加等离子体以驱动A和B的表面反应,从而在表面上形成部分膜层。
上述7种特征现在将作进一步讨论。在下面的描述中,考虑CFD反应,在该CFD反应中,一种或多种反应物吸附到衬底表面,然后通过与等离子体的相互作用,发生反应,从而在该表面上形成膜。
特征1(反应物的连续流)-当反应物A在传统的ALD中正常不会流动时,让反应物A在CFD循环的一个或多个部分的过程中连续流到反应室。在传统的ALD中,反应物A流动只为了让该反应物吸附在衬底表面。在ALD循环的其他阶段,反应物A不流动。然而,根据本发明所描述的某些CFD示例,反应物A不仅在与其吸附有关的阶段期间流动,而且在执行吸附A的操作之外的CFD循环的阶段流动。例如,在许多示例中,在反应物A流动到反应器中的同时,装置正投配第二反应物(本文称作反应物B)。因此,在CFD循环的至少一部分的期间,反应物A和B以气相共存。另外,反应物A可以流动,同时施加等离子体以驱动在衬底表面的反应。注意,可结合载气-如氩气将连续流动的反应物输送到反应室。
连续流的示例的一个优点是,所形成的流避免了由与开启流与关闭流相关的使流瞬态启动并稳定而导致的延迟以及流的变化。
作为具体的示例,可通过保形膜沉积工艺,使用主要反应物(有时也被称为“固体组分”前体,或在本示例中,简单称作“反应物B”)沉积氧化膜。双(叔-丁基氨基)硅烷(BTBAS)是一种这样的主要反应物。在此示例中,氧化物沉积工艺涉及氧化剂的输送,如氧或一氧化二氮,其在不同的暴露阶段,在主要反应物的输送过程中,初始地并且连续地流动。在不同的等离子体暴露阶段,氧化剂也连续流动。参见例如图1中所示的序列。相比而言,在传统的ALD工艺中,当固体组分前体输送到反应器中时,氧化剂的流将停止。例如,当输送反应物B时,反应物A的流将停止。
在一些具体的示例中,连续流动的反应物是“辅助”的反应物。如本文所用,“辅助”的反应物是任何并非主要反应物的反应物。正如上文所述,主要反应物包含在室温下是固体的元素,该元素用于由CFD形成的膜。这样的元素的示例是金属(例如,铝和钛)、半导体(例如,硅和锗)、和非金属 或类金属(例如,硼)。辅助的反应物的示例包括氧气、臭氧、氢、一氧化碳、一氧化二氮、氨、烷基胺、和其他类似物。
连续流动的反应物可以以恒定的流率或以变动但可控的流率提供。在后者的情况下,作为示例,在输送主要反应物的暴露阶段期间,辅助反应物的流率可能下降。例如,在氧化物沉积过程中,氧化剂(例如,氧或一氧化二氮)在整个沉积序列可以连续流动,但是在输送主要反应物(例如,BTBAS)时,其流率可能下降。这在BTBAS的投配期间,会增加其局部压强,从而减少布满衬底表面所需要的暴露时间。点燃等离子体之前不久,氧化剂的流量可以增加,以减少等离子体暴露阶段期间BTBAS存在的可能性。在一些实施方式中,连续流动的反应物在两个或两个以上的沉积循环的过程中以变动的流率流动。例如,反应物可以在第一CFD循环以第一流率流动,而在第二CFD循环以第二流率流动。
当采用多个反应物且其中之一的流动是连续的时,在CFD循环的一部分的过程中,其中的至少两者将以气相共存。同样,在输送第一反应物后没有执行清除步骤时,两种反应物将共同存在。因此,使用在没有施加激活能量的气相中不会彼此明显发生反应的反应物可能是重要的。通常情况下,反应物应当直到其出现在衬底表面上并暴露于等离子体或另一合适的非热激活条件时才反应。选择这样的反应物涉及考虑至少(1)所希望的反应的热力学适宜度(吉布斯自由能<0),和(2)反应的激活能量,其应该是足够大,以便在所需的沉积温度的反应可以忽略不计。
特征2(减少或消除清扫步骤)-在某些实施方式中,该工艺省去或减少与清扫步骤相关联的时间,清扫步骤在传统的ALD中通常会执行。在传统的ALD中,在每种反应物输送并吸附到衬底表面后,进行单独的清扫步骤。在传统的ALD清扫步骤中,很少或没有吸附或反应发生。在CFD循环中,在输送至少一种反应物后,减少或消除该清扫步骤。图1示出了去除了清扫步骤的处理序列的示例。没有执行从反应室清扫反应物A的清扫步骤。在某些情况下,在CFD循环输送第一反应物后,没有进行清扫步骤,但是在输送第二反应物或者最后输送的反应物输送后,可以选择地进行清扫步骤。
CFD的“清扫”步骤或阶段的构思出现在本发明的各种实施方式的讨论中。一般来说,清扫阶段从反应室去除或清除气相反应物中的一种,并且通常仅在该反应物的输送完成后进行。换言之,该反应物在清扫阶段不再输送到反应室。然而,在清扫阶段期间,该反应物保持吸附在衬底表面上。通常情况下,清扫用于在衬底表面吸附该反应物至所需的水平后去除室中的任何残留的气相反应物。清扫阶段也可以从所述衬底表面去除弱吸附的物质(例如,某些前体配位体或反应副产物)。在ALD中,清扫阶段对于防止两种反应物的气相反应或者防止一种反应物与热的、等离子体的相互作用或者用于表面反应的其他的驱动力的相互作用而言,被视为是必要的。在一般情况下,并且除非另有规定,否则,清扫阶段可通过以下步骤完成:(ⅰ)将反应室抽空,和/或(ii)使不包含将被清扫出的物质的气体流动通过该反应室。在情形(ii)中,这样的气体可以是,例如,惰性气体或辅助反应物,如连续流动的辅助反应物。
消除清扫阶段可以在有或无其它反应物的连续流的情况下完成。在图1中所示的实施方式中,反应物A在其到衬底表面上的吸附完成后,没有被清扫掉,而是继续流入(图中由标号130示出)。
在使用两个或两个以上的反应物的各种实施方式中,其清扫步骤被消除或减少的反应物是辅助反应物。作为示例,辅助反应物是氧化剂或氮源,而主要反应物是含硅、硼、或锗的前体。当然,主要反应物的清扫也可以被减少或消除。在一些实施方式中,在辅助反应物输送之后,不执行清扫步骤,但在主要反应物输送之后,可选地执行清扫步骤。
如所提及的,清扫阶段不必完全消除,而只是相比于传统的ALD工艺清扫阶段减少持续时间。例如,在CFD循环过程中,诸如辅助反应物之类的反应物的清扫阶段可进行约0.2秒或更短,例如,介于约0.001至0.1秒之间。
特征3(当反应物中的一种以气相存在时点燃等离子体)-对于该特征,点燃等离子体,然后将所有的反应物从反应室中清除。这与传统的ALD工艺相反,在传统的ALD工艺中,只有气相反应物不再存在于反应室 中后,才激活等离子体或进行其他反应驱动操作。注意,在如图1所描绘的CFD循环的等离子体部分的期间反应物A连续流动时,这样的特征必然会发生。然而,本公开的实施方式并不限于这种方式。一种或多种反应物可以在CFD循环的等离子体阶段流动,但不必在CFD循环过程中连续流动。此外,在等离子体激活过程中处于气相的反应物可以是主要反应物或辅助反应物(当在CFD循环中采用两种反应物时)。
例如,序列可以是:(i)引入反应物A,(ii)清除A,(iii)引入反应物B并且当B正在流动时激励等离子体,及(iv)清除。在这样的实施方式中,该工艺采用来源于气相的等离子体激活反应物。这是一个一般的示例,其中CFD不限于序列步骤的序列。
如果在向反应器供应固体组分前体(主要反应物)的时间阶段提供激活等离子体,则阶梯覆盖性(step coverage)可能变得不那么保形,但沉积速率通常会增大。但是,如果只有在输送一种辅助反应物的过程中进行等离子体激活,情况并不一定是如此。等离子体可以激活气相辅助成分以使其更易反应,从而增加其在保形膜沉积反应中的反应性。在某些实施方式中,该特征在沉积含硅膜(例如氧化物、氮化物或碳化物)时采用。
特征4(沉积CFD膜的等离子体处理)-在这些实施方式中,等离子体在保形膜沉积过程中可起到两种或两种以上的作用。其作用之一是,在每个CFD循环中激活或驱动膜形成反应。其另一个作用是,在经过一个或多个CFD循环的CFD膜部分或完全沉积之后,处理膜。等离子体处理的目的是改变膜的一种或多种属性。通常情况下,但并不一定,进行等离子体处理阶段的条件与那些用于激活膜形成反应(即,驱动膜形成反应)而采用的条件是不同的。作为一个例子,等离子体处理也可以在还原或氧化环境存在时进行(例如,在氢或氧存在时),而这在CFD循环的激活期间是不必要的。
可以在CFD工艺的每个循环期间、每隔一个循环期间、或在更少频率的基础上,执行等离子体处理操作。该处理可以是定期进行的、与固定的CFD循环数相结合的,或者其可以可变地(例如,在CFD循环的不同的间隔)或者甚至是随机地执行。在一个典型的例子中,进行几个CFD循环的膜 沉积,以达到适当的膜厚度,然后采用等离子体处理。此后,再次进行没有等离子体处理的几个CFD循环的膜沉积,然后再次进行等离子体处理。这种x次CFD循环的超序列及紧接着的等离子体处理(膜改性),可以重复进行,直到膜通过CFD完全形成。
在某些实施方式中,所述等离子体处理可在CFD循环开始之前进行,以改变沉积CFD膜的表面的一种或多种属性。在各种实施方式中,表面是由硅(掺杂的或不掺杂的)或含硅物质形成的。改变后的表面能够更好地产生与随后沉积的CFD膜之间的高品质界面。该界面可通过诸如减少故障而提供例如良好的附着力、可靠的电气性能等。
衬底在CFD之前的预处理,并不限于任何特定的等离子体处理。在某些实施方式中,预处理涉及,在氦、氢、氩、氮、氢/氮形成气体、和/或氨的存在下,暴露于氢等离子体、氮等离子体、氮/氢等离子体、氨等离子体、氩等离子体、氦等离子体、氦退火、氢退火、氨退火、和UV-固化。等离子体处理可以用各种等离子体发生器实现,包括但不限于,用微波、ICP-远程、ICP-直接(direct)和本领域技术人员公知的其他手段来实现。
总体而言,该处理可发生在CFD循环之前、期间和之后。当在CFD循环期间发生时,可以根据适当的沉积条件选择处理频率。通常情况下,处理发生的频率每个循环不超过一次。
作为一个例子,考虑从存在有一些碳的前体形成氮化硅的工艺。这类前体的示例包括BTBAS。作为前体中存在有碳的后果,所沉积的氮化物膜包括一些碳杂质,这可能会降低氮化物的电性能。为了克服这种问题,在使用含碳前体的几个CFD循环后,部分沉积的膜在等离子体的存在下暴露于氢,以减少并最终去除碳杂质。
可以选择用于修改膜表面的等离子体条件,以实现膜性质和/或组成物的所希望的改变。在各个等离子体条件中,对于所需修改,可以选择和/或修整的是:氧化条件、还原条件、蚀刻条件、用于产生等离子体的功率、用于产生等离子体的频率、用于产生等离子体的两种或更多的频率的使用、等离子体密度、等离子体和衬底之间的距离,等等。CFD膜可以通过等离子体 处理进行修改的性能的示例包括:内部膜应力、耐蚀刻性、密度、硬度、光学性质(折射率、反射率、光密度、等等)、介电常数、碳含量、电性能(Vfb扩散等)、及类似物。
在一些实施方式中,使用除等离子体处理以外的处理,以修改沉积膜的属性。这样的处理包括电磁辐射处理、热处理(例如,退火或高温脉冲)、及类似处理。任何这些处理可以单独进行,或者与包括等离子体处理的另一种处理组合进行。任何这样的处理可以用来替代任何上述等离子体处理。在一个特定的实施方式中,该处理涉及把该膜暴露于紫外线辐射。正如下面所描述的,在一个特定的实施方式中,该方法涉及对CFD膜氧化物在原位(即,在形成膜的过程中)应用UV-辐射或对沉积后的氧化物应用UV-辐射。这样的处理可以用来减少或消除结构缺陷,并提供改进的电性能。
在某些特定的实施方式中,UV处理可以和等离子体处理结合使用。这两种操作可以同时执行或顺序执行。在顺序执行选项中,可选地,UV操作首先发生。在同时执行的选项中,这两个处理可以配置不同的源(例如,RF功率源用于等离子体,灯用于UV),或配置单一的源,例如氦等离子体,其产生紫外线辐射副产物。
特征5(通过CFD并且接着过渡到PECVD进行沉积)-在这样的实施方式中,已完成的膜中部分由CFD产生,且部分由诸如PECVD等CVD工艺产生。通常情况下,沉积工艺的CFD部分首先进行,PECVD部分其次进行,但这并不是必然的。与仅用CVD相比,混合CFD/CVD工艺可以改善阶梯覆盖性(step coverage),与仅用CFD相比,混合CFD/CVD工艺还额外地提高了沉积速率。在某些情况下,当CFD反应物正在流动时,施用等离子体或其他激励,以产生寄生CVD操作,从而实现较高的沉积速率、不同级别的膜,等等。
在某些实施方式中,可以采用两个或更多的CFD阶段,和/或可以采用两个或更多的CVD阶段。例如,膜的初始部分可通过CFD沉积,随后膜的中间部分通过CVD沉积,且膜的最后部分通过CFD沉积。在这样的实 施方式中,在通过CFD沉积膜的后面的部分之前,诸如通过等离子体处理或蚀刻修改膜的CVD部分,可能是需要的。
在CFD阶段和CVD阶段之间可以采用过渡阶段。在这样的过渡阶段中采用的条件,与在CFD阶段或CVD阶段所采用的条件不同。通常情况下,虽然并不一定,该条件同时允许CFD表面反应和CVD类型气相反应。过渡阶段通常涉及暴露在等离子体中,其例如可以是脉冲的。另外,在过渡阶段,可以涉及以低流率(即,速率明显低于该工艺中相应的CFD阶段所采用的速率)输送一种或多种反应物。
特征6(通过CFD沉积,蚀刻,然后进一步通过CFD沉积)-在这样的实施方式中,进行一个或多个循环(通常是多个循环)的CFD沉积,然后将得到的膜进行蚀刻以去除,例如,在凹部入口(尖端)处或附近的一些多余的膜,然后进一步进行CFD的沉积循环。沉积膜中结构特征的其他实施例,可以以类似方式蚀刻。用于该工艺的蚀刻剂的选择将取决于被蚀刻材料。在某些情况下,可以用含氟蚀刻剂(例如,NF3)或氢进行蚀刻操作。
在某些实施方式中,用远程等离子体来产生蚀刻剂。一般来说,相较于直接等离子体,远程等离子体蚀刻具有更好的各向同性。远程等离子体通常对衬底提供相对较高比例的自由基。这些自由基的反应性可以随在凹部内的垂直位置的变化而变化。在特征的顶部,自由基较为集中,因而会以较高的速率蚀刻,而朝凹部进一步向下及在底部,部分自由基已丢失,因此,它们以较低的速率蚀刻。当然,这是一种理想的反应性分布,用于解决发生在凹部开口处太多沉积的问题。在蚀刻中使用远程等离子体的额外好处是等离子体相对和缓,因此不可能损坏衬底层。当下伏的衬底层对氧化或其他损坏敏感时,这是特别有益的。
特征7(用额外的反应物修整膜的成分)-本文提出的许多实施方式涉及采用一种或两种反应物的CFD工艺。另外,许多示例在每一个CFD循环中采用相同的反应物。然而,这并不是必然的。首先,许多CFD工艺可使用3种或更多的反应物。示例包括(i)使用乙硼烷、六氟化钨、和氢作为反应物的钨CFD;和(ii)使用乙硼烷、BTBAS、和氧作为反应物的硅氧化物CFD。乙硼烷可以从生长中的膜中除去,或,如果合适的话,它可以被并入膜中。
此外,一些示例可以仅仅在某些CFD循环中采用额外的反应物。在这样的示例中,基本的CFD工艺循环仅仅采用创建基膜组合物的反应物(例如,氧化硅或碳化硅)。在所有或几乎所有的CFD循环中进行这个基本方法。然而,一些CFD循环作为不同的循环进行,且它们使用不同于正常沉积循环的条件。例如,它们可以采用一种或更多的额外反应物。这些不同的循环也可以采用与基本CFD工艺中所用的反应物相同的反应物,尽管这并不是必然的。
这样的CFD工艺对于制备掺杂的氧化物或其他掺杂的物质作为CFD膜是特别有益的。在一些实施方式中,掺杂剂前体仅仅在一小部分CFD循环中被包括作为“额外”的反应物。添加掺杂剂的频率取决于所期望的掺杂剂浓度。例如,在每10个基本物质沉积循环中可以有一个循环包含掺杂剂前体。
不同于许多其他的沉积工艺,尤其是那些需要热激活的工艺,CFD工艺可在相对较低的温度下进行。一般来说,CFD的温度在约20至400℃之间。可以选择这样的温度,以允许在温度敏感工艺的背景下沉积(例如在光致抗蚀剂的芯(core)的沉积)。在一个特定的实施方式中,约20至100℃之间的温度被用于双重图案化应用(使用,例如,光致抗蚀剂的芯)。在另一个实施方式中,约200至350℃之间的温度用于存储器制造处理(memory fabricationprocessing)。
如上文所述,CFD非常适合于在先进技术节点沉积膜。因此,例如,CFD工艺可以集成于在32纳米节点、22纳米节点、16纳米节点、11纳米节点、和超过这些的工艺中。这些节点描述在半导体国际技术路线图(ITRS)中,业内人士多年来对微电子技术要求达成了共识。一般来说,它们引用存储单元的半节距。在一个特定的示例中,CFD工艺被应用到“2X”设备(具有在20-29nm范围内的特征的设备)和更精细的设备。
虽然本文提供的CFD膜的例子大多数涉及硅基微电子器件,但该些膜也可以用于其他领域。使用非硅半导体(例如GaAs和其它III-V族半导体,以及II-VI族材料(例如HgCdTe))的微电子或光电子,可以得益于本文所公开的CFD工艺。保形介电膜在太阳能领域(例如光电器件)、电致变色领域、和其他领域的应用是可能的。
图1示意性地显示了等离子体激活CFD工艺的示例性实施方式的时序图100。描述了两个完整的CFD循环。如图所示,每一个都包括暴露于反应物A阶段120A或120B,紧接着暴露于反应物B阶段140A或140B,清扫反应物B阶段160A或160B,和最后等离子体激活阶段180A或180B。在等离子体激活阶段180A和180B期间提供的等离子体能量激活表面吸附的反应物A和B之间的反应。在所描述的实施方式中,一种反应物(反应物A)被传送之后不进行清扫阶段。事实上,这种反应物在膜沉积处理期间连续地流动。从而,当反应物A处于气相时,点燃等离子体。上述特征1-3体现在图1的例子中。
在所示实施方式中,反应物气体A和B可以在气相中共存而不相互反应。因此,在ALD工艺中描述的一个或多个处理步骤可以在这个示例的CFD工艺中缩短或消除。例如,可以消除A暴露阶段120A和120B之后的清扫步骤。
CFD工艺可以用于沉积任何数量的不同类型的膜。虽然本文提出的大多数示例涉及介电材料,但所公开的CFD工艺也可用于形成导电性材料膜和半导体材料膜。氮化物和氧化物是特征性的介电材料,但也可以形成碳化物、氮氧化物、碳掺杂的氧化物、硼化物等等。氧化物包括的材料范围很广,包括未掺杂的硅酸盐玻璃(USG)、掺杂的硅酸盐玻璃。掺杂的玻璃的示例包括掺硼硅酸盐玻璃(BSG)、磷掺杂的硅酸盐玻璃(PSG)、硼磷掺杂的硅酸盐玻璃(BPSG)。
在一些实施方式中,硅氮化物膜可以通过含硅反应物与一种或更多的含氮反应物和/或含氮反应物的混合物之间的反应形成。含硅反应物的实施例包括,但不限于,双(叔丁基氨基)硅烷(SiH2(NHC(CH3)3)2或BTBAS), 二氯甲硅烷(SiH2Cl2),和氯硅烷(SiH3Cl)。含氮反应物的示例包括,但不限于,氨、氮、和叔丁基胺((CH3)3CNH2或叔丁基胺)。含氮反应物混合物的示例包括,但不限于,氮和氢的混合物。
可根据各种膜和/或硬件条件选择一种或多种反应物。例如,在一些实施方式中,硅氮化物膜可以由二氯硅烷和等离子体激活氮反应形成。把二氯硅烷化学吸附至硅氮化物表面可创设出硅-氢封端的表面,释放出氯化氢(HCl)。该化学吸附反应的示例示意性地描述在反应1中。
反应1
反应1中所示的环状中间体可接着通过与等离子体激活氮的反应被转化成硅胺封端的表面。
然而,二氯硅烷的一些分子可通过其他的机制化学吸附。例如,表面形貌可阻碍反应1中描绘的环状中间体的形成。另一种化学吸附机制的示例示意性地显示于反应2中。
反应2
在随后氮的等离子体激活过程中,反应2中所示的中间产物的剩余氯原子可以被释放出来,并可通过等离子体激活。这可导致氮化硅表面的蚀 刻,从而有可能导致氮化硅膜变得粗糙或模糊。另外,残留的氯原子可物理性地和/或化学性地再吸附,有可能污染沉积膜。这种污染可改变硅氮化物膜的物理和/或电性能。此外,激活的氯原子还可导致处理站硬件部分的蚀刻损伤,有可能减少处理站部分的使用寿命。
因此,在一些实施方式中,氯硅烷可取代二氯硅烷。这可降低膜污染、膜损坏、和/或处理站的损坏。反应3示意性地显示了氯硅烷化学吸附的示例。
反应3
虽然在反应3中所示的示例中使用氯硅烷作为含硅反应物,但应理解的是,可以使用任何合适的单取代卤代硅烷。
如上面所解释的,所描述的中间体结构可与氮源反应,以形成氮化硅的硅胺封端的表面。例如,氨可以通过等离子体激活,形成各种氨自由基物质。该自由基物质与中间体反应,形成硅胺封端的表面。
但是,氨可强烈地物理吸附到反应物传送管线、处理站、和排放管道的表面,这可导致清除和抽空时间的延长。此外,氨可以具有与一些气相含硅反应物之间的高反应性。例如二氯硅烷(SiH2Cl2)和氨的气相混合物可产生不稳定的物质,例如二氨基硅烷(SiH2(NH)2)。这些物质可在气相中分解,核化成小颗粒。如果氨与卤代硅烷化学吸附过程中产生的氯化氢反应,也可以形成小颗粒。这些颗粒可在处理站中积聚,在处理站中它们可污染衬底表面,有可能导致集成设备的缺陷,以及在处理站中它们可污染处理站硬件,有可能导致停机时间和清洗(tool down time and cleaning)。小颗粒也可 能积聚在排放管中,可能会堵塞泵和鼓风机,并可能使得需要特殊的环境排放管洗涤器和/或冷阱(traps)。
因此,在一些实施方式中,被取代的胺可作为含氮反应物使用。例如,由等离子体激活的烷基取代的胺(例如叔丁基胺)形成的各种自由基,可以提供给处理站。相较于氨,被取代的胺(例如叔丁基胺)在操作硬件上可以具有较低的粘附系数,这可能会导致相对较低的物理吸附率和相对较低的工艺清除时间。
此外,这样的含氮反应物可能会形成卤化盐,卤化盐比氯化铵更易挥发。例如,叔丁基氯化铵可能比氯化铵更易挥发。这可以减少停机时间、设备故障、和环保减排费用。
再者,这样的含氮反应物可通过各种副产物反应形成其他氨的前体。例如,叔丁基胺与二氯硅烷反应可生成BTBAS。因此,副产物可以提供形成氮化硅的替代路线,有可能增加膜的产率。在另一个示例中,被取代的胺可提供至氮化硅膜的低温热激活的路线。例如,叔丁基胺在高于300℃的温度热分解,以形成异丁烯和氨。
虽然上面提供的说明性示例描述了:使用叔丁基胺形成硅氮化物膜,但可以理解的是,在本发明公开的范围内,可以采用任何合适的被取代的胺。在一些实施方式中,可以基于热力学特性和/或反应物的活性的特点,选择合适的被取代的胺。例如,可以考虑由反应物形成的卤化盐的相对挥发性,可以考虑在有关温度下热分解的各种路径的存在性和选择性。
此外,虽然上面提供的示例描述了硅氮化物膜的沉积,但可以理解的是,上面讨论的原理一般适用于其他膜的沉积。例如,一些实施方式可以联合使用合适的卤代硅烷与合适的含氧反应物(例如氧等离子体),以沉积氧化硅。
在表1提供了反应物、产物膜、以及膜和操作属性范围的一个非限制性的列表。
图1还显示了示例性CFD工艺阶段的各种CFD工艺参数的时间进度的实施方式。图1描绘了两个示例性的沉积循环110A和110B,但应理解的是,CFD工艺可包括任何合适数量的沉积循环以沉积所需的膜厚度。示例性的CFD工艺参数包括,但不限于,惰性气体和反应物的流率、等离子体功 率和频率、衬底温度、和处理站压强。表2提供了使用BTBAS和氧的示例性的二氧化硅沉积循环的非限制性的参数范围。
CFD循环通常包含针对每个反应物的暴露阶段。在此“暴露阶段”期间,反应物被输送到处理室,以使得把反应物吸附在衬底表面上。通常情况下,在暴露阶段的开始,在衬底表面并不吸附有任何可评估量的反应物。在图1中,在反应物A暴露阶段120A和B,反应物A以受控的流率提供至处理站,以布满(saturate)衬底的暴露表面。反应物A可以是任何合适的沉积反应物,例如,主要反应物或辅助反应物。在一个示例中,其中CFD产生二氧化硅膜,反应物A可以是氧。在图1所示的实施方式中,在整个沉积循环110A和110B中,反应物A连续地流动。不同于通常的ALD工艺,其中膜前体的暴露被分开以防止气相反应,一些CFD工艺的实施方式中,反应物A和B能以气相混合。如上所指出,在一些实实施方式中,选择反应物A和B,以使得它们能够在气相共存,而不会在应用等离子体能量或激活表面反 应之前在反应器中会遇到的条件下彼此明显地反应。在某些情况下,选择反应物,以使得(1)它们之间的反应在热力学上是有利的(即,吉布斯自由能<0)和(2)该反应具有足够高的激活能量,以至于在所需要的沉积温度下,反应可以忽略不计。符合这些条件的各种反应物组合在本说明书其他地方有确认。许多这样的组合包括提供在室温下为固体的要素的主要反应物,以及不提供在室温下为固体的要素的辅助反应物。在某些组合中使用的辅助反应物的实施例包括氧、氮、烷基胺、和氢。
在ALD工艺中,反应物A首先被导通,然后稳定,并暴露于衬底,然后关闭,并最终从反应器中移除,相较于ALD工艺,连续地给处理站供给反应物A可减少或消除反应物A的流率导通和稳定时间。虽然在图1所示的实施方式描述了反应物A暴露阶段120A和B具有恒定的流率,但可以理解的是,在本发明公开的范围内可以使用任何合适流量的反应物A,包括可变的流量。另外,虽然图1显示了反应物A在整个CFD循环(沉积循环110A)具有恒定的流率,但这并不是必然的。例如,在B暴露阶段140A和140B期间,反应物A的流率可以降低。这可能会增加B的局部压强,从而增加将反应物B吸附在衬底表面上的驱动力。
在一些实施方式中,反应物A暴露阶段120A可具有超过反应物A布满衬底表面时间的持续时间。例如,图1所示的实施方式包括在反应物A暴露阶段120A中的反应物A布满后暴露时间130。可选地,反应物A暴露阶段120A包括受控制的惰性气体流率。惰性气体的示例包括,但不限于,氮气、氩气和氦气。可以提供惰性气体,以协助处理站的压强和/或温度控制、液态前体的蒸发、更快速地传送前体和/或作为清扫气体用于从处理站和/或处理站管道除去工艺气体。
在图1所示实施方式的反应物B暴露阶段140A,反应物B以受控的流率供给到处理站,以布满暴露的衬底表面。在一个二氧化硅膜示例中,反应物B可以是BTBAS。尽管图1所示实施方式描述了具有恒定流率的反应物B暴露阶段140A,但应理解的是,在本发明公开的范围内,可以使用任何合适的反应物B流,包括可变的流。此外,应理解的是,反应物B暴露阶段140A可具有任何合适的持续时间。在一些实施方式中,反应物B暴露阶段140A的持续时间可以超过反应物B的衬底表面布满时间。例如,图1所示实施方式描述了包括在反应物B暴露阶段140A中的反应物B布满后的暴露时间150。可选地,反应物B暴露阶段140A可包括合适的受控惰性气体流,该惰性气体流如上所述,可以协助处理站的压强和/或温度控制、液态前体的蒸发、前体的更快速传送,并且可以防止处理站气体反扩散。在图1所示实施方式中,在整个反应物B暴露阶段140A,惰性气体持续地供给到处理站。
在一些实施方式中,等离子体激活的沉积反应,相较于热激活反应,可导致较低的沉积温度,这有可能减少集成方法中可用热预算(thermal budget)的消耗。例如,在一些实施方式中,可以在室温下发生等离子体激活的CFD过程。
虽然图1所示实施方式的CFD工艺是用等离子体激活的,但应理解的是,在本发明公开的范围内,可以使用其他非热能源。非热能源的非限制性示例包括,但不限于,紫外灯、下游或远程等离子体源、感应耦合等离子体和微波表面波等离子体。
此外,本文所讨论的许多示例包括两种反应物(A和B),可以理解的是,在本发明公开的范围内,也可以采用任何适当数量的反应物。在一些实施方式中,可以使用用于给等离子体供应能量以进行反应物的表面分解反应的单个反应物和惰性气体。可替代的是,如上文在特征7的背景中所讨论的,一些实施方式可以使用三个或更多的反应物沉积膜。
在某些情况下,表面吸附的B物质可能在衬底表面上以不连续的岛状物存在,这使得难以实现表面布满反应物B。各种表面条件可能会推迟反应物B在衬底表面上的成核和布满。例如,反应物A和/或B吸附时释放的配位体可能阻止一些表面活性点,防止反应物B的进一步吸附。因此,在一些实施方式中,在反应物B暴露阶段140A期间,通过调制流量和/或离散施加脉冲方式把反应物B供入处理站,可以提供反应物B连续吸附层(adlayers)。相较于恒定流率的情况,这可在保护反应物B的同时,提供额外的时间用于表面吸附过程和去吸附过程。
额外地或可替代地,在一些实施方式中,在反应物B连续暴露之间,可以包括一个或更多清扫阶段。例如,图2所示实施方式示意性地显示了用于沉积循环210的示例性CFD工艺的时序图200。在反应物B暴露阶段240A,反应物B暴露于衬底表面。随后,在清扫阶段260A,反应物B被关闭,并从处理站中除去气相的反应物B。在一种情况下,气相反应物B可以被连续流动的反应物A和/或惰性气体替代。在另一种情况下,气相反应物B可通过抽空处理站而被移除。去除气相反应物B可以转变吸附/去吸附过程的平衡,去吸附配位体,促进被吸附B的表面重排以合并被吸附B的不连续的岛。在反应物B暴露阶段240B,反应物B再次暴露于衬底表面。虽然图2所示实施方式包括反应物B的清扫和暴露循环的一种情形,但应理解的是,在本发明公开的范围内,也可以采用重复任何适当数量的交替的清扫和暴露循环。
回到图1的实施方式,在180A通过等离子体激活之前,在一些实施方式中,可以在清扫阶段160A从处理站除去气相反应物B。除了上述暴露阶段之外,CFD循环还可以包括一个或多个清扫阶段。清扫处理站可避免气相反应,在这样的气相反应中,反应物B是容易受到等离子体激活的影响。此外,清扫处理站可以去除吸附在表面的配体,否则的话,这些配体会留下来并污染膜。清扫气体的示例包括,但不限于,氩气、氦气和氮气。在图1所示示例中,清扫阶段160A的清扫气体由惰性气体流供给。在一些实施方式中,清扫阶段160A可包括一个或多个抽空亚阶段用于抽空处理站。替代地,可以理解的是,在一些实施方式中,也可以省略清扫阶段160A。
清扫阶段160A可具有任何合适的持续时间。在一些实施方式中,增加一种或多种清扫气体的流率可以减少清扫阶段160A的持续时间。例如,可根据各种反应物的热力学特性和/或处理站的几何特征和/或处理站管道的几何特征调整清扫气体的流率,从而调整清扫阶段160A的持续时间。在一个非限制性的示例中,可以通过调整清扫气体流率优化清扫阶段的持续时间。这可减少沉积循环的时间,从而可提高衬底的吞吐率。
除了上述的暴露和可选清扫阶段之外,CFD循环通常还包括“激活阶段”。该激活阶段用于驱动吸附在衬底表面上的一种或多种反应物的反应。在图1所示的实施方式中的等离子体激活阶段180A,提供等离子体能量以激活表面吸附的反应物A和B之间的表面反应。例如,等离子体可直接或间接激活反应物A的气相分子,以形成反应物A的自由基。然后,这些自由基可以与表面吸附的反应物B相互作用,导致形成膜的表面反应。沉积循环110A结束于等离子体激活阶段180A,在图1所示实施方式中,其后是沉积循环110B,开始反应物A暴露阶段120B。
在一些实施方式中,在等离子体激活阶段180A中点燃的等离子体,可直接在衬底表面上形成。这可以提供更大的等离子体密度以及在反应物A和B之间的增强的表面反应速率。例如,用于CFD工艺的等离子体可通过用两个电容耦合板把射频(RF)场施加到低压气体生成。在可替代的实施方式中,可在主反应室的外面生成远程等离子体。
可以使用任何合适的气体以形成等离子体。在第一个示例中,可以使用惰性气体(例如氩气或氦气)以形成等离子体。在第二个示例中,可以使用诸如氧或氨之类的反应物气体以形成等离子体。在第三个示例中,可以使用清扫气体(例如氮)以形成等离子体。当然,可以使用这些种类的气体的组合。由RF场在板之间气体的电离点燃等离子体,在等离子体放电区域(plasma discharge region)产生自由电子。这些电子被RF场加速,并可与气相反应物分子相碰撞。这些电子与反应物分子的碰撞可形成参与沉积处理的自由基种类物。可以理解的是,可以通过任何合适的电极耦合RF场。电极的非限制性示例包括工艺气体分布喷头(showerheads)和衬底支撑基座。可以理解的是,除了RF场电容耦合至气体的方法之外,还可以用其他一个或多个合适的方法形成用于CFD工艺的等离子体。
等离子激活阶段180A可具有任何合适的持续时间。在一些实施方式中,等离子体激活阶段180A可具有一定的持续时间,该持续时间超过等离子体活化的自由基与所有暴露的衬底表面和被吸附物进行相互作用以在衬 底表面的最上面形成连续膜所需的时间。例如,在图1所示的实施方式包括在等离子体激活阶段180A中的等离子体布满后暴露时间190。
如下文更充分地解释的,并且如在上文对特征4的讨论中所建议的,延长等离子体暴露时间和/或提供多个等离子体暴露阶段,可提供整个沉积膜和/或表面附近部分沉积膜的反应后处理。在一种情况下,通过等离子体处理降低表面污染可制备用于吸附反应物A的表面。例如,由含硅反应物和含氮反应物之间反应形成的氮化硅膜,可以具有抗吸附后续反应物的表面。用等离子体处理氮化硅表面,可以产生有利于后续吸附和反应的氢键。
在一些实施方式中,可以通过改变等离子体参数调整膜的属性(例如膜应力、介电常数、折光率、蚀刻速率),这将在下文更详细地讨论。表3提供了用于在摄氏400度沉积的三种示例性CFD二氧化硅膜的各种膜特性的示例性列表。为便于参考,表3还包括用于在摄氏400度沉积的示例性PECVD二氧化硅膜的膜信息。
例如,图3示意性地显示了CFD工艺时序图300的实施方式,其包括沉积阶段310,和之后的等离子体处理阶段390。应理解的是,在等离子体处理阶段,可以使用任何合适的等离子体。在第一种情况下,可以在沉积循环的激活过程中,使用第一种等离子体气体,且在等离子体处理阶段使用第 二种不同的等离子体气体。在第二种情况下,在等离子体处理阶段,第二种不同的等离子体气体可以补充第一种等离子体气体。表4提供了用于原位等离子体处理循环实施例的非限制性参数范围。
在图3所示的等离子体激活阶段380,衬底表面暴露于等离子体以激活膜沉积反应。如图3所示实施方式所描述,处理站被提供有连续的反应物A流,例如,其可以是辅助反应物(例如氧)以及在等离子体处理的清扫阶段390A的惰性气体。清扫处理站可以从处理站去除易挥发的污染物。虽然图3显示了清扫气体,但应理解的是,在本发明公开的范围内,也可以使用任何合适的去除反应物的方法。在等离子体处理激活阶段390B,等离子体被点燃以处理整个新沉积的膜和/或表面附近区域的新沉积的膜。
虽然图3的实施方式包括一个示例的CFD循环,该CFD循环包括等离子体处理阶段,但应理解的是,在本发明公开的范围内,也可以采用任何适当数量的重复。此外,应理解的是,一个或多个等离子体处理循环可(有规律地或以其他方式)间隔插入正常的沉积循环之间。例如,图4显示了CFD工艺时序图400的实施方式,其包括在两个沉积循环之间插入等离子体处理阶段。尽管图4的实施方式包括插入两个沉积循环之间的等离子体处理循环,但应理解的是,一个或多个等离子体处理循环之前或者之后,可以 有任何合适数量的沉积循环。例如,在一种情况下,等离子体处理用来改变膜的密度,等离子体处理循环可以在每间隔十个沉积循环后插入。在一种情况下,等离子体处理用来制备用于吸附和反应的表面,等离子体处理阶段可以并入每一个CFD循环,例如,在每个CFD沉积阶段后。
沉积膜的等离子体处理,可以改变该膜的一个或多个物理特性。在一种情况下,等离子体处理可使新沉积膜变得致密。致密膜比非致密的膜可以更加耐蚀刻。例如,图5显示了对示例性CFD处理的二氧化硅膜和热生长的二氧化硅膜的蚀刻速率做比较的对照500的实施方式。图5的示例性膜的实施方式是在从50到400摄氏度的温度范围内,通过CFD工艺502和504沉积的。作为参考,用于未掺杂硅酸盐玻璃(USG)的相对蚀刻速率和通过等离子体增强CVD工艺沉积的二氧化硅隔离层(spacer layers)的相对蚀刻速率显示在图5中。由在每个沉积循环中包括一秒高频氧等离子体激活阶段的工艺502生产的膜,其耐稀氢氟酸湿法刻蚀(100:1H2O:HF)的能力,是由在每个沉积循环中包括十秒高频氧等离子体激活阶段的工艺504生产的膜的耐稀氢氟酸湿法刻蚀(100:1H2O:HF)的能力的大约一半。因此,应理解的是,通过改变等离子体激活阶段的一个或多个方面和/或包括一个或多个等离子体处理循环可以改变沉积膜的蚀刻速率。
在另一种情况下,膜的等离子体处理可以改变膜的应力特性。例如,图6显示了用于示例性CFD二氧化硅膜的湿法蚀刻速率比和膜应力之间的相关性600的实施方式。在图6显示的实施方式中,例如,通过例如延伸等离子体暴露时间减少湿法蚀刻速率比,可以增加压缩膜应力。
在另一种情况下,沉积膜的等离子体处理可提供瞬态差异性(transientdifferential)去除,以相对于其他膜成分(例如,在一个示例性二氧化硅膜中的硅和/或氧气)去除微量膜污染物(例如,一个示例性二氧化硅膜中的氢、氮和/或碳)。例如,图7显示了沉积温度、等离子体暴露时间、和膜污染物浓度之间的相关性700的实施方式。在图7所示实施方式中,相较于在摄氏50度的温度下沉积的、具有一秒氧等离子体激活阶段的CFD二氧化硅膜702,在相同温度下沉积的、具有10秒钟氧等离子体激活阶段的 CFD二氧化硅膜704,显示出较低的氢和碳的浓度。膜污染物浓度的改变可以改变该膜的电气和/或物理性能。例如,对碳和/或氢含量的调节可以调节膜的介电常数和/或膜的蚀刻速率。因此,应理解的是,改变等离子活化阶段的一个或多个方面和/或包括一个或多个等离子体处理循环,可提供改变膜组合物的方法。
虽然上面所讨论的等离子体处理涉及氧等离子体处理,但应理解的是,在不偏离本实施方式的范围的情况下,也可以采用任何合适的等离子体处理。例如,在一些实施方式中,被取代的胺在合适的CFD工艺中可以作为含氮反应物以替代NH3。虽然用被取代的胺(例如,象叔丁基胺这样的烷基胺)替换NH3以沉积保形SiN可提供许多好处,但在某些情况下,沉积膜可含有来自于烷基胺反应物的碳残基(例如,来自于包含在各个叔丁基胺分子(NH2-(CH3)3)中的三个甲基基团的碳残余物)。这种膜内的碳可导致漏电,并可导致膜不能用于一些电介质阻挡层应用。
因此,在一些实施方式中,在SiN膜沉积过程中点燃氢等离子体可降低SiN膜中的碳残余物,这可以相对地提高膜的绝缘性能。在一些示例中,碳残余物的减少可以在红外光谱(FTIR)中容易地观察到。例如,SiN:C-H水平可以从约10%原子降低至约1%原子。
因此,在一些实施方式中,氮化硅膜的沉积可以用CFD工艺使用含有烷基胺或烷基胺混合物的含氮反应物和一轮或多轮氢等离子体处理。可以理解的是,在不脱离本公开的范围的情况下,也可以采用任何合适的氢等离子体。因此,在一些实施方式中,H2与诸如He或AI等气体的混合物、或其他含H气体、或由远程等离子体源产生的活性氢原子,可用于处理沉积膜。另外,在某些实施方式中,通过改变处理脉冲的数量和它们的持续时间、处理等离子体强度、衬底温度、和工艺气体成分中的一个或多个,膜中的碳含量可以被调整到任何合适的浓度。
虽然上面所讨论的氢等离子体处理涉及氮化硅膜,但应理解的是,可以使用合适的氢等离子体处理应用来调整其他CFD沉积膜(包括,但不限于,SiOx、GeOx、SiOxNy)的碳含量。
本发明公开的某些实施方式涉及氧化物CFD膜的紫外线处理(伴有或不伴有等离子体处理)。该处理可以减少氧化物中的缺陷,并改善诸如栅极介电层的CV特性等电性能。能得益于这样的处理的采用CFD氧化物的设备和封装应用(package applications)包括硅通孔、采用栅氧化层的逻辑技术、浅沟槽隔离(STI)、STI-光致抗蚀剂剥离后形成的薄的热氧化、在P井植入前的牺牲氧化物(例如,~60A)、“井”后热氧化物生长、栅氧化物/沟道区域氧化物、DRAM PMD PECVD氧化物。
在某些情况下,已发现未处理的CFD氧化物膜具有相对较差的电气性能,据信,是由于在沉积膜中的固定电荷而导致。例如,已发现一些膜具有显著的晶片内Vfb变化。通过使用紫外线辐射和/或在氢存在下热退火的后沉积处理,这样的问题已得到解决。现认为该方法钝化和/或减轻与在(1)硅界面的氧化物的,或(2)在沉积的绝缘膜内的,或(3)在氧化物表面的空气中的固定电荷(表面电荷)有关的缺陷。使用这样的处理,所沉积的氧化物的Vfb扩散在UV固化后已经从8.3V缩窄到约1.5V左右。
虽然这些实施例主要是涉及改善氧化物膜,但本发明所公开的方法一般也可以应用于电介质、金属、至电介质界面的金属的生长的工艺。具体的电介质材料包括,例如,硅氧化物(包括掺杂的硅氧化物)、硅碳化物、硅碳氧化物、硅氮化物、硅氧氮化物、和可灰化的硬掩模材料。
可以应用到改善介电性能的处理方法的示例包括以下内容:
(A)使用UV固化对由CFD合成的介电膜进行沉积后处理并且接着进行氢退火。在最简单的实施方式中,可以单独使用UV处理以减少固定电荷。
(B)衬底在CFD介电膜沉积之前的预处理,该预处理所用的处理技术包括:在He、H2、Ar、N2、H2/N2形成的气体、NH3的存在下,H2-等离子体、N2-等离子体、N2/H2-等离子体、NH3-等离子体、Ar-等离子体、He-等离子体、He退火、H2-退火、NH3-退火、UV固化。等离子体处理可用各种等离子体发生器实施,包括,但不限于,用微波、ICP-远程、ICP-直接及类似器件实施。
(C)同时进行的处理(在沉积过程中固化),该处理利用的处理技术包括:在He、H2、Ar、N2、H2/N2形成的气体、NH3的存在下,H2-等离子体、N2-等离子体、N2/H2-等离子体、NH3-等离子体、Ar-等离子体、He-等离子体、He退火、H2-退火、NH3-退火、UV固化。等离子体处理可以用各种等离子体发生器,包括但不限于,微波、ICP-远程、ICP-直接和本领域中已知的其他手段。可以应用的各向同性和定向处理包括,但不限于,远程等离子体、UV暴露、直接等离子体、和微波等离子体。示例性的方法,包括在CFD循环组之间间歇性地处理膜。CFD循环组可在约从1至10000个循环内变化。一种典型的情况包括:(1)5个CFD氧化物生长循环,接着,(2)用任何上面描述的方法(例如,He-等离子体、UV-处理)进行一个或多个膜处理,随后,(3)5个CFD氧化物生长循环。此方法可用于生长具有任何所希望厚度的膜。
(D)UV处理作为任何上述等离子体处理的附带结果(例如,氦等离子体发射紫外辐射)。
在CFD循环过程中原位“固化”步骤的一个示例涉及下列操作:
通过He-等离子体进行的UV处理
BTAAS投配
清除(purge)
O2/Ar-RF等离子体激活
清除
重复步骤1-5以产生所需厚度的膜
一系列UV固化条件可以用于任何所列环境中。一般来说,该基座温度在固化过程中将保持在约250至500℃之间。对于许多器件的制造应用,温度上限为450℃甚至400℃。在固化过程中所采用的环境可以是惰性的或反应性的。在固化过程中可能存在的气体的示例包括氦气、氩气、氮气、形成气体、和氨气。这类气体的流率可以是约2至20,000sccm,优选为约4000至18000sccm。紫外灯的功率可为,例如,约2-10kW,并优选为约3.5 至7kW之间。暴露在来自这样的源的紫外线的合适的持续时间是约20秒和200秒之间(例如,约90秒)。最后,压强可以被保持在0乇至约40乇之间水平。
在一个特定的实施方式中,使用下列条件得到CFD氧化物的有效处理:
基座温度=400℃
环境=He
压强=40Torr He
流率=10000sccm
在一些实施方式中,在UV固化操作之后进行该氧化物的热退火。在一个示例中,在退火中使用下列条件:
基座温度=400℃
环境=H2+N2
压强=2.5乇
流率=750sccm H2;3000sccm N2
通过调整如沉积温度等其他工艺参数也可以改变沉积的膜的物理和电气特性。例如,如图7所示的示例的相关性700还示出了CFD膜沉积温度和膜污染物浓度之间的关系。随着膜沉积温度升高,膜污染物的掺入量减少。在另一个示例中,如上所述,图5所示的实施方式示出了示例的二氧化硅CFD薄膜的湿法蚀刻速率比随着沉积温度的升高而减少。可以被调节用来调整膜性能的其他沉积参数包括RF功率、RF频率、压强和流率。此外,在一些实施方式中,膜特性可通过改变反应物的选择来改变。例如,通过使用四异氰酸酯硅烷(TICS)作为含硅反应物且氧和/或一氧化二氮作为含氧反应物,可以减少二氧化硅膜的氢含量。
可以理解的是,物理和/或电的膜特性的变化,如上面所讨论的,可提供机会以调整器件的性能和产量,以及提供机会以改变设备制造工艺整合的各个方面。作为一个非限制性的示例,调整CFD二氧化硅膜的蚀刻速率特 性的能力可以使该膜作为蚀刻停止、硬掩模、和其他工艺整合应用的候选者。因此,本文中提供了在整个半导体器件的制造工艺中应用的CFD制造的膜的不同的实施方式。
在一个方案中,CFD工艺可以在非平坦的衬底上沉积保形的二氧化硅膜。例如,CFD二氧化硅膜可用于结构的间隙填充,例如,浅沟槽隔离(STI)结构的沟槽填充。虽然下面描述的各种实施例涉及间隙填充应用,但可以理解的是,这仅仅是非限制性的、说明性的应用,利用其它合适的膜材料的其它合适的应用可以在本公开的涵盖的范围内。CFD二氧化硅薄膜的其它应用包括但不限于,层间电介质(ILD)应用、金属间介电(IMD)应用、金属前介电(PMD)应用、电介质衬垫硅通孔(TSV)应用、电阻式RAM(ReRAM)应用、和/或在DRAM中堆叠电容器制造应用。
对硼、磷、甚至砷掺杂剂掺杂的氧化硅可以被用作扩散源。例如,可以使用硼掺杂的硅酸盐玻璃(BSG)、磷掺杂的硅酸盐玻璃(PSG)、或硼磷掺杂的硅酸盐玻璃(BPSG)。掺杂的CFD层可以被用来在例如诸如多栅极FinFET和三维存储器器件等三维晶体管结构中提供保形掺杂。传统的离子注入器不能轻易掺杂侧壁,尤其是在高深宽比结构中。CFD掺杂的氧化物作为扩散源有各种优势。首先,它们在低温条件下提供高保形能力。相比较而言,低压强CVD生产掺杂的TEOS(硅酸四乙酯)是公知的,但其需要在高的温度沉积,且低于大气压的CVD和PECVD掺杂的氧化膜在较低的温度是可能的,但没有足够的保形性。掺杂的保形性是重要的,而且膜本身的保形性也是重要的,因为膜通常是牺牲应用,并且之后将需要被去除。在去除时,非保形的膜通常面临更多的挑战,即某些区域可能被过蚀刻。此外,CFD提供了非常良好的受控掺杂浓度。正如所描述的,CFD工艺可以在提供一些未掺杂的氧化物层之后接着提供单个的掺杂层。掺杂的水平可以由被沉积的掺杂的层使用的频率以及掺杂循环的条件严格控制。在某些实施方式中,掺杂循环通过例如使用具有显著的空间位阻的掺杂剂源来控制。除了传统的硅基微电子外,CFD掺杂的其他应用还包括基于如砷化镓(GaAs)等III-V半导体和如碲镉汞(HgCdTe)等II-VI族半导体的微电子和光电子器件、光伏器件、平板显示器、和电致变色技术。
一些间隙填充工艺涉及在不同的沉积工具执行两个膜沉积步骤,其需要在沉积工艺之间的真空中断并暴露于空气中。图8示意性地示出了包括多个间隙802的示例性的非平坦衬底800。如图8所描绘的,间隙802可以有不同的深宽比,该深宽比可以被定义为每个间隙802的间隙宽度(W)与间隙深度(H)的比例。例如,集成半导体器件的逻辑区可能有对应于不同的逻辑器件结构的不同的间隙深宽比。
如图8所描绘的,非平坦衬底800是由薄的、保形的膜804覆盖的。尽管保形膜804具有完整填充的间隙802A,但间隙802B和间隙802C保持继续开放。用保形膜关闭间隙802B和802C可能导致延长的处理时间。因此,在一些方法中,通过诸如CVD和/或PECVD法等较高的沉积速率工艺可以在非原位沉积更厚的膜。然而,间隙填充膜的非原位沉积可能会减少在生产线中的晶片吞吐量。例如,在沉积工具之间的衬底装卸和转移的时间可能会降低生产期间的一些衬底处理活动。这可能会降低生产线的吞吐量,并且可能在生产线中需要额外的处理工具的安装和维护。
此外,虽然间隙802C可以具有适合于气相沉积工艺的深宽比,但802B可以具有可通过更高的沉积速率工艺导致不完全填充并且可以形成锁孔空隙的深宽比。例如,图10示出了示例性的形成在衬底1002中的高深宽比结构1000。如图10所描绘的,在较厚的膜1006的沉积过程中的面包块效应已产生锁孔空隙1008。锁孔空隙可以重新打开,并在随后的工艺中填充导电膜,这可能会导致设备短路。
解决如间隙802B等高深宽比间隙的一些方法包括提供避免这样的间隙产生的器件设计规则。然而,这样的设计规则可能需要额外的掩模处理步骤,可能使器件的设计困难,和/或可能导致增加的集成的半导体器件的面积,这可能会增加制造成本。因此,在一些实施方式中,CFD工艺可以包括从CFD工艺到CVD和/或PECVD工艺的原位过渡。例如,图9示出了已被分为三个阶段CFD工艺的时序图900的实施方式。CFD工艺阶段902描绘了示例性CFD工艺循环。为清楚起见,单个的CFD工艺循环在如图9所示的示例中所示,但应理解,在CFD工艺阶段902中可以包括任何合适数量的CFD工艺循环和等离子体处理循环。过渡阶段904接续CFD工艺阶段902。 如图9的示例所描绘的,过渡阶段904包括CFD工艺和PECVD工艺两者的方面。具体而言,在反应物B曝光阶段904A结束之后反应物B被提供到处理站,以使反应物A和B在等离子体激活阶段904B期间均以气相存在。这可以同时提供PECVD型气相反应和CFD型表面反应。虽然过渡阶段904仅包括反应物B的曝光阶段904A和等离子体激活阶段904B的一次重复,但应该理解,过渡阶段可以包括任何合适数量的重复。
在一些实施方式中,等离子体发生器可以被控制以在等离子体激活阶段904B期间提供间歇脉冲的等离子体能量。例如,等离子体可以在一个或多个频率施加脉冲,该频率包括(但不限于)10Hz到150Hz之间的频率。这与连续等离子体相比可以通过减少离子轰击的定向性而增强阶梯覆盖性。另外,这可以减少对衬底的离子轰击损坏。例如,在连续等离子体期间光致抗蚀剂衬底可通过离子轰击侵蚀。脉冲地施以等离子体能量可以减少光致抗蚀剂的侵蚀。
在图9中所示的实施方式中,在等离子体激活阶段904B期间反应物B的流率小于在反应物B暴露阶段904A期间的反应物B的流率。因此,在等离子体激活阶段904B期间反应物B可以“滴流”到处理站。这可以提供补充CFD-型表面反应的气相PECVD反应。然而,可以理解的是,在一些实施方式中,反应物B的流率可以在单个等离子体激活阶段或在过渡阶段的整个过程中变化。例如,在包括反应物B暴露和等离子体激活的两次重复的过渡阶段,在第一等离子体激活阶段期间反应物B的流率可低于在第二等离子体激活阶段期间反应物B的流率。改变在等离子体激活阶段904B期间反应物B的流率可以提供从CFD工艺阶段902的台阶覆盖特性到PECVD工艺阶段906的沉积速率特性的平稳过渡。
在一些实施方式中,CFD工艺可包括用于选择性地去除沉积的膜的重入部分的原位蚀刻。在表5中提供了用于二氧化硅沉积工艺的示例的非限制性的参数范围,该二氧化硅沉积工艺包括用于间隙填充CFD工艺的原位蚀刻。
图11示出了包括沉积阶段1102、蚀刻阶段1104、和随后的沉积阶段1106的CFD工艺的时序图1100的一实施方式。在图11所示的实施方式中的沉积阶段1102,膜被沉积在衬底的暴露的表面上。例如,沉积阶段1102可以包括一个或多个CFD工艺沉积循环。
在图11所示的实施方式的蚀刻阶段1104,反应物A和B都被关闭,且蚀刻气体被引入到处理站。蚀刻气体的一个非限制性示例是氟化氮(NF3)。在图11所示的实施方式中,蚀刻气体在蚀刻阶段1104期间通过点燃的等离子体激活。在用于选择性地去除在非平坦衬底上的沉积的膜的重入部分的蚀刻阶段1104期间,可以调节各种工艺参数,诸如处理站压强、衬底温度、蚀刻气体的流率。在本公开的范围内,也可以采用任何合适的蚀刻工艺。其他示例的蚀刻工艺包括但不限于,反应性离子蚀刻、非等离子体气相蚀刻、固相升华、以及蚀刻物质的吸附和定向激活(例如,通过离子轰击)。
在一些实施方式中,不兼容的气相物可以在蚀刻膜之前和之后从处理站去除。例如,图11的实施方式包括惰性气体在蚀刻阶段1104期间在反应物A和B已经被关闭后且在蚀刻气体已被关闭之后的继续流动。
在蚀刻阶段1104结束时,沉积阶段1106开始,进一步填充非平坦衬底上的间隙。沉积阶段1106可以是任何合适的沉积工艺。例如,沉积阶段1106可以包括CFD工艺、CVD工艺、PECVD工艺等中的一个或多个。虽然图11的实施方式示出了单个的蚀刻阶段1104,但可以理解的是,在间隙填充工艺期间,多个原位蚀刻工艺可被间隔插入在多个任何合适类型的沉积阶段中。
图12A-C中示出了在上文所述的原位沉积和蚀刻工艺的示例的各个阶段的非平坦的衬底的示例性横截面。图12A示出了示例性非平坦衬底1200的横截面,其包括间隙1202。间隙1202被薄膜1204覆盖。薄膜1204与间隙1202几乎是共形的,但薄膜1204包括在间隙1202的顶部附近的重入部分1206。
在如图12B所示的实施方式,薄膜1204的重入部分1206已被选择性地去除且薄膜1204的上部区域1204A比下部区域1204B较薄。该重入部分的选择性地去除和/或侧壁角度调整,可通过对激活的蚀刻物类施加质量限制和/或寿命限制来实现。在一些实施方式中,在间隙1202的顶部选择性蚀刻也可以调整间隙1202的侧壁角度,以使间隙1202在顶部比在底部宽。这可以进一步降低在随后的沉积阶段的面包块效应。如图12C所示的实施方式,在随后的沉积阶段之后,间隙1202是几乎填满的,并表现出无空隙。
原位蚀刻工艺的另一个实施方式示于图15,在其中描述了铜电极的硅通孔(TSV)。一些示例的TSV具有深度为约105微米,直径为约6微米,得出深宽比为约17.5:1,并且可具有大约200摄氏度的热预算(thermalbudget)的上限。正如在图15的实施方式中所示,硅通孔2500由介电隔离层2502覆盖以将硅衬底与金属填充的通孔电隔离。示例的介电隔离层的材料包括但不限于,氧化硅、氮化硅、低-k介电材料。在一些实施方式中,上文描述的示例的蚀刻工艺可以使用如氩气等合适的溅射气体,对重入部分辅以物理溅射。
用于CFD膜的其他的示例的应用包括但不限于,用于生产线后段的互连隔离应用的保形的低k膜(例如在一些非限制性示例中k为约3.0或低于3.0),用于蚀刻停止层和间隔层应用的保形的氮化硅膜,保形的防反射层,和铜的粘附层和阻挡层。可以使用CFD制备用于生产线后段处理的许多不同成分的低k电介质。示例包括氧化硅、氧掺杂碳化物、碳掺杂氧化物、氧氮化物,等等。
在另一个示例中,在一种集成工艺的情况下,二氧化硅间隔层可以沉积在光致抗蚀剂的“芯”上方。使用光致抗蚀剂的芯,而不是另一种芯材料(如碳化硅层),可以消除在集成工艺中的图案化工序。该工艺可涉及使用通常的光刻技术图案化光致抗蚀剂,然后直接在该芯上方沉积薄层的CFD氧化物。然后可以使用定向的干法蚀刻工艺来去除在图案化的光致抗蚀剂的顶部的CFD氧化膜且在底部只沿着图案化的光致抗蚀剂的侧壁留下材料(考虑沟槽)。在这个阶段,可以使用简单的灰化以除去露出的芯,留下CFD氧化物。在曾经有单个的光致抗蚀剂线的地方现在有两个CFD-氧化线。在这种方式中,该工艺使图案密度翻倍,因此它有时被称为“双图案化”。不幸的是,使用的光致抗蚀剂的芯会限制间隔层的沉积温度低于70摄氏度,其可以低于常规CVD、PECVD、和/或ALD工艺的沉积温度。因此,在一些实施方式中,低温的CFD二氧化硅膜可以在温度低于70摄氏度沉积。可以理解的是,在本公开的涵盖范围内存在其他潜在的用于合适的CFD-生成的膜的集成工艺应用。此外,在各种实施方式中,氮化物,如上文所述的沉积的硅氮化物,可以被采用作为在半导体器件制造的各阶段的保形的扩散阻挡层和/或蚀刻停止。
虽然上述的各种CFD沉积工艺是针对沉积、处理和/或蚀刻单个的膜类型,但可以理解的是,本公开的涵盖范围内的一些CFD工艺可以包括多个膜类型的原位沉积。例如,可以原位沉积交替的膜层类型。在第一个方案中,栅极器件的双隔离层可以通过原位沉积氮化硅/氧化硅隔离层堆叠来制造。这可以减少循环时间,并提高处理站吞吐量,可以避免因潜在的膜层不兼容所形成的夹层缺陷。在第二个方案中,光刻图案化应用的抗反射层可以沉积作为具有可调光性能的SiON或无定形硅和SiOC的堆叠层。
在一些实施方式中,包含掺杂剂的源层通过保形膜沉积工艺形成。该层被称为“源”层,因为它提供了掺杂物(例如,诸如硼、磷、镓和/或砷等掺杂剂原子)的源。掺杂的CFD层用作用于在设备中掺杂下层(或上层)结构的掺杂剂的源。形成源层之后(或在源层的形成过程中),掺杂剂物质被驱动或以其它方式并入被制造的设备中的相邻的结构。在某些实施方式中,掺杂剂物质由形成保形掺杂剂源膜的过程中或之后的退火处理操作驱动。CFD的高度保形的性质允许掺杂非传统装置的结构,该结构包括其中需要掺杂三维结构的结构。CFD掺杂源层通常是由本文所述的一个或多个工艺形成的,但它包括掺入掺杂剂物质的附加处理操作。在一些实施方式中,电介质层用作其中包含有掺杂物质的基源层。
例如,掺杂的氧化硅可以被用作硼、磷、砷等的扩散源。例如,可以使用硼掺杂的硅酸盐玻璃(BSG)、磷掺杂的硅酸盐玻璃(PSG)、或硼磷掺杂的硅酸盐玻璃(BPSG)。
掺杂的CFD层可以被用来在例如诸如多栅极FinFET和三维存储器器件等三维晶体管结构中提供保形掺杂。一些三维结构的例子可以在"Tri-gate(Intel)":J.Kavalieroset al,Symp.VLSI Tech Pg50,2006和"FinFET:Yamashita et al.(IBM Alliance),VLSI2011中找到,二者其全部内容通过引用并入本文。传统的离子注入器不能轻易掺杂侧壁,尤其是在高深宽比结构中。此外,在密集阵列的i3D结构中,在注入器中对于定向离子束可以有遮蔽效应(shadowing effect),这对于倾斜的注入角度增加了严重的剂量滞留问题。除了传统的硅基微电子外,CFD掺杂的其他应用还包括基于如砷化镓(GaAs)等III-V半导体和如碲镉汞等II-VI族半导体的微电子和光电子器件、光伏器件、平板显示器、和电致变色技术。
图16示出了具有三维栅结构的晶体管,其中,源极和漏极形成在难以通过传统的离子注入技术掺杂的薄垂直结构中。然而,当n或p型掺杂的CFD氧化物薄层形成在垂直结构上时,保形掺杂完成。已经观察到由于降低了串联电阻,保形掺杂将三维器件的电流密度提高10-25%。参见Yamashita et al,VLSI2011。
CFD掺杂的氧化物作为扩散源有各种优势。首先,它们在低温条件 下提供高保形能力。因为掺杂膜可以是牺牲性的,所以在去除时,非保形的膜通常面临更多的挑战,即某些区域可能被过腐蚀。如前所述,CFD提供了高度保形的膜。此外,CFD提供了极其良好的受控的掺杂浓度。根据需要,CFD工艺可以在提供一些未掺杂的氧化物层之后接着提供单个的掺杂层。掺杂的水平可以由沉积掺杂层所使用的频率以及掺杂循环的条件严格控制。在某些实施方式中,掺杂循环通过例如使用具有显著的空间位阻的掺杂剂源来控制。
图17显示了沿x轴随着时间推进从左到右的基准CFD操作顺序。支持许多变化方案,并且该图只用于说明的目的。最初的序列中,在操作A中,气相氧化剂被引入到包含其上将沉积CFD膜的衬底的反应室。合适的氧化剂的例子包括元素氧(例如,O2或O3)、一氧化二氮(N2O)、水、如异丙醇等烷基醇、一氧化碳和二氧化碳。氧化剂通常与如氩气或氮气等惰性气体一起提供。
接着,在操作B中,将电介质前体暂时引入反应室中。选择操作B的持续时间以允许该前体以足以支持一个循环的膜生长的量吸附到衬底表面。在一些实施方式中,所述前体布满衬底表面。根据其产生介电的所需的组合物的能力选择前体。电介质组合物的示例包括硅氧化物(包括硅酸盐玻璃)、硅氮化物、硅氧氮化物和硅碳氧化物。合适的前体的例子包括烷基硅烷(SiHx(NR24-x),其中x=1-3,并且R包括烷基,如甲基、乙基、丙基和丁基的各种异构构型)和卤代硅烷(SiHxY4-x),其中x=1-3,而Y包括Cl、Br和I)。更具体的示例包括二烷基氨基硅烷和空间位阻的烷基硅烷。在一个具体示例中,BTBAS是用于制备氧化硅的前体。
在操作B的过程中,在阶段A期间,引入室的氧化剂继续流动。在某些实施方式中,它在操作A的过程中以相同的速率和相同的浓度继续流动。在操作B的结束时期,进入室的电介质前体的流被终止,并且如所描述的开始操作C。在操作C的过程中,如在操作A和B期间一样氧化剂和惰性气体继续流动,以清除在反应室中的剩余的电介质前体。
在操作C过程中在清除完成后,该前体在衬底表面上反应以形成电介质膜的一部分(参见操作D)。在各种实施方式中,等离子体被施加以驱 动所吸附的电介质前体的反应。在一些示例中,该反应是氧化反应。先流入反应室中的氧化剂中的一些与电介质前体一起可被吸附在表面上,从而为等离子体介导的表面反应提供立即可用的氧化剂。
操作A至D共同提供电介质膜沉积工艺的单个循环。应当理解,此处所描述的其他CFD实施方式也可以代替这里描述的基本循环使用。在所描绘的实施方式中,在不引入任何掺杂剂物质的情况下,执行沉积循环(从A到D)。在各种实施方式中,在引入掺杂剂物质之前,连续地重复由操作A至D表示的循环一次或更多次。这如在图17的阶段E中所示。在一些示例中,在引入掺杂剂之前,重复操作A-D至少一次,或至少两次,或至少5次。
作为一个例子,电介质以约0.5到1埃/循环的速率沉积。通过一个或多个循环(重复A-D)中的每个,氧化剂继续流入反应室。
在工艺中的某一时刻,电介质沉积的循环被引入掺杂剂前体物质(例如,乙硼烷)打断。这在图中被示为操作F。在电介质源膜中可提供的掺杂剂的例子包括化合价III和IV族元素,如硼、镓、磷、砷和其它掺杂剂。掺杂剂前体的例子,除了乙硼烷,还包括膦和其它氢化物源。也可以使用非氢化掺杂剂,如烷基前体(如三甲基镓)、卤前体(例如,氯化镓)。
在一些变化方案中,掺杂剂被沉积在下伏衬底的界面处,接着是CFD循环,在每x个数量的循环插入有掺杂剂施以脉冲(如所描述),以及任选地在顶上配有未掺杂的保护性“加盖”层可以是CFD氧化膜。参见在图18所得到的叠层的例子。
在一个具体的实施方式中,所述掺杂剂前体与载气混合提供到反应室中,但不与氧化剂或其它反应物混合,所述载气如惰性气体(如氩气)。因此,在基准例子中,在操作F过程中停止氧化剂的流。在其它实施方式中,前体与还原剂或氧化剂一起引入。在某些实施方式中,掺杂剂与载气的浓度比为介于约1:5至1:20之间。在某些实施方式中,掺杂剂沉积温度为介于约300至400℃之间。掺杂剂暴露步骤的持续时间根据目标掺杂物浓度的不同而变化。在某些实施方式中,暴露步骤在介于约2.5秒和7.5秒之间。在一个具体的例子中,1000sccm的乙硼烷在3乇的压强及约400℃下流入 10000sccm的氩气。
在某些实施方式中,所述掺杂剂前体通过非表面限定机制聚集在衬底表面上。例如,前体可以通过CVD型工艺,而不是ALD(表面吸附受限)工艺沉积。
任选地,在电介质膜的进一步处理之前,从反应室清除掺杂剂前体。另外,如在图17中所示,输送掺杂剂前体,接着是可选的激活操作G,其可以通过等离子体,温度升高等调节。在乙硼烷作为掺杂剂前体的示例中,激活操作将乙硼烷转换为元素硼。操作G完成之后,处理继续进行任选的清除(未示出)。
在一个示例中,涉及CVD乙硼烷掺杂剂,激活操作是完全基于温度分解以产生硼的。这是温度敏感的处理。在较高的温度下,可以采用相对短的暴露时间,以针对每单位厚度获得相同的硼浓度。替代地,在一些工艺(例如,那些采用三甲硼烷(TMB)的工艺)中,激活可涉及等离子体或热氧化步骤。对于一些其他的前体,采用“钉扎”步骤以固定无硼或其他掺杂剂在原位可能是合适的。这可以使用“钉扎”的等离子体来完成。
在某些实施方式中,等离子体活化涉及适于将碳结合入膜的任何频率的RF功率。在一些实施方式中,所述RF功率源可以被配置为彼此独立地控制高和低频RF功率源。例如低频RF功率可包括,但不限于,介于约200千赫和1000千赫之间的频率。例如高频RF功率可包括,但不限于,介于约10兆赫和80兆赫(例如13.56兆赫)之间的频率。同样,RF功率源供应器和匹配网络可在任何合适的功率下操作,以形成等离子体。合适的功率的示例包括但不限于对于高频等离子体介于约100瓦与3000瓦之间的功率和对于低频等离子体约100瓦与10000瓦特之间的功率(在每个晶片的基础上)。该RF功率源可以任何合适的占空比来操作。合适的占空比的例子包括,但不限于,介于约5%和90%之间的占空比。通常可接受的工艺的压强是介于约0.5-5乇之间,优选介于约2-4乇之间。对于在暴露给掺杂剂之前的(下伏衬底的)某些等离子体预处理,已发现,压强高达约10乇(或最高达约9乇)工作情况良好。
下面的表总结了可被用于各种BSG工艺等离子体参数的范围:
在所描绘的基准工艺中,如图的阶段H所示,电介质沉积和间歇性掺杂剂输送(操作A至G)的循环可被执行多次。该工艺序列重复的实际次数取决于膜所需的总厚度和每循环沉积的电介质的厚度,以及掺入到膜中的掺杂剂的量。在一些实施方式中,重复操作A-G至少两次,或至少三次,或至少5次,或至少约10次。
介电膜被完全沉积之后,其可以被用作用于附近的半导体结构的掺杂剂物质的源。这可以通过图17的操作I所描绘的将掺杂剂从沉积膜驱动到器件结构来完成。在各种实施方式中,驱动通过热介导的扩散工艺(如退火)来完成。在某些情况下,特别是那些采用超浅结的情况,可以使用激光瞬间退火处理(laser spike annealing)。
可以实现基准工艺的许多变化方案。这些变化方案中的某些方案的目的是增加可用于扩散到相邻的半导体结构的掺杂物的量。其它变化方案的目的是控制该掺杂剂从源膜输送到附近的半导体结构的速率。其它变化方案控制掺杂剂物质扩散的方向。通常情况下,理想的是有利于掺杂物朝向器件结构和远离膜的相对侧的扩散。
在某些实施方式中,控制掺杂剂被引入生长电介质膜的频率。更频繁的掺杂剂前体的输送循环导致在最终的电介质膜中掺杂剂的浓度总体更大。他们还导致整个膜中掺杂剂的分布相对均匀。当较少的掺杂剂前体输送循环被插入到沉积工艺中,与当掺杂剂输送循环更频繁的情况相比,膜中的高掺杂浓度的区域被更广泛分离。
在一个实施方式中,对于电介质沉积的每个循环,掺杂剂前体被输送到生长的介质膜一次。在另一个实施方式中,在电介质沉积的每隔一个循环中所述掺杂剂前体被输送一次。在其它实施方式中,较不频繁的掺杂剂前体输送循环被引入所述工艺。例如,在电介质沉积的每第三、第四、或第五 循环期间,掺杂剂前体可输送一次。在一些情况下,掺杂剂前体以每5-20电介质沉积循环过程中约一次的频率输送。
应当理解的是,在介电膜沉积过程中引入掺杂剂前体到生长膜的频率不必保持一致。考虑到这一点,得到的电介质膜可以具有梯度组成的掺杂剂,使得在沉积电介质膜的厚度上掺杂剂的平均浓度是不均匀的。在一个实施方式中,在介电膜的与要被掺杂的半导体器件结构的邻接的一侧的掺杂剂的浓度较大。当然,在电介质膜中的掺杂剂浓度梯度,可以根据需要通过仔细地改变在整个介电层沉积工艺过程中掺杂剂输送循环的频率来进行调整。
基准工艺的另一种变化方案涉及在掺杂剂前体输送循环的过程中调整所输送的掺杂剂前体的量。在给定的掺杂剂输送循环的过程中输送的掺杂剂前体的量通过输送到反应室的掺杂剂前体的浓度以及要被输送掺杂剂前体的衬底的暴露的持续时间来确定。
如上面所指出的,某些掺杂剂前体可以通过类CVD工艺被提供到生长膜。在这种情况下,在任何给定的循环中输送到生长膜中的掺杂剂前体的量不受到吸附或其它表面介导的现象限制。因此,在任何掺杂剂输送循环过程中提供的掺杂剂前体的量可以是相对多的和可控的。到达在任何掺杂剂输送循环过程中输送更大量的掺杂剂的程度,在电介质膜中掺杂剂的总浓度增加。这可能会抵消在整个工艺中具有相对较少频率的掺杂剂输送循环的作用。然而,应当理解的是,增加在任意给定的掺杂剂前体输送循环过程中输送的掺杂剂的量,可能会导致在膜中掺杂剂相对高的局部浓度。当然,这样的掺杂剂浓度峰值可以通过退火或其它操作被柔化,通过这些操作,掺杂剂扩散,使其浓度在电介质膜中更均匀。
在硼作为掺杂剂的情况下,在典型的硼前体输送循环中传输的硼的通量根据目标膜浓度可从约7.5ML(Mega-Langmuirs)到30ML进行变化,ML是通量/曝光的单位。
在一些实施方式中,在整个电介质膜的生长中,在各前体输送循环中输送的掺杂剂前体的量是不恒定的。因此,每循环输送的掺杂剂前体的量可以调整以在介电膜中产生所需的掺杂剂浓度梯度。例如,在相对接近于待掺杂的半导体器件特征的电介质膜中的位置发生的那些掺杂剂前体的输送循 环中提供更多量的掺杂剂前体可能是合乎期望的。所得到的浓度梯度在邻接待掺杂的器件结构的膜的区域中具有较高浓度的掺杂剂。
在一些实施方式中,掺杂剂前体以吸附限定的方式被引入到衬底表面上。在有这样的前体的情况下,经由类ALD样工艺(而不是如上所述的类CVD方式)将掺杂剂引入膜。通过吸收介导工艺附着在衬底表面的掺杂剂前体的示例包括三甲基硼烷,和其他烷基前体,如三甲基镓。通过类CVD工艺堆积在衬底表面的掺杂剂前体的例子包括乙硼烷、磷化氢和砷化氢。
在一般情况下,在电介质膜中的掺杂剂的浓度分布可以适当调整。在一个实施方式中,掺杂剂浓度在邻近待掺杂的结构的膜的边缘突增(spike)到高的水平。在一些实施方式中,在整个膜厚度所述浓度间歇地增加和减少。在一个示例中,只在下伏衬底和CFD电介质层之间的界面处提供掺杂剂(例如硼)。此掺杂层有时被称为“突增层”。在某些情况下,使掺杂剂暴露以脉冲方式进行(例如对掺杂剂前体使用CVD暴露),而不是使用单步,来提高掺入掺杂剂在晶片内的均匀性。在另一个例子中,CFD氧化物或其它电介质与掺杂剂(如掺杂BSG中的硼)穿插。参见图18和19。穿插的掺杂电介质可以被提供有或不提供有突增层。在又一示例中,未掺杂的CFD氧化物或其它电介质盖充当保护层。再次参见图18和19。
掺杂剂物质驻留的电介质膜本身可以调整以影响掺杂物通过膜本身进行的扩散。例如,可控制膜的密度和/或化学组成以产生对掺杂物扩散所需的影响。在一些方法中,整个电介质厚度具有相同的密度或组成,使得经调整的掺杂物的扩散特性在整个膜厚度是不变的。在其他的方法中,调整膜的性质,使得跨越膜的厚度的掺杂剂扩散变化。发明人已经发现,例如,等离子体氧化参数是可以改变的,以使CFD氧化物较不致密,以允许在退火过程中通过CFD氧化物的更大的掺杂剂扩散。
在某些实施方式中,定制电介质膜(或用于形成膜的工艺气体)的组成以影响其中的掺杂剂扩散。已经发现,例如,在电介质膜的沉积循环过程中输送到反应室中的氧化剂工艺气体中的氮和氧的比例影响掺杂剂物质扩散通过电介质膜的能力。例如,在电介质膜的形成过程中使用的氧化剂气体中存在的较多量的氮导致电介质膜具有对掺杂剂扩散的显著阻抗。与此相 反,存在于气体中的相对较多量的氧导致所述膜具有对掺杂剂扩散少得多的阻抗。可以通过含氮化合物(如N2O)或元素氮,N2的方式来提供工艺气体中存在的氮气。在各种实施方式中,在电介质膜的沉积循环过程中连续地流动的氧化剂含有一氧化二氮。
在某些实施方式中,电介质膜是通过在电介质膜的初始生长阶段过程中最初使用高氧含量和相对低的氮含量的氧化剂气体制成的。过后,在该膜部分地形成在待掺杂的结构上之后,改变氧化剂气体的组成,使得它相对富含氮。例如,在初始沉积循环过程中,用于电介质膜的氧化剂气体可完全含有分子氧。在以后的电介质沉积循环中,改变氧化剂气体,使得氧用一氧化二氮至少部分地取代。这假定该目标是增强朝向膜的底部的方向扩散与朝向膜的顶部的方向的扩散阻挡-假设待掺杂的设备结构位于所述电介质膜的下方。发明人发现,如果氮的浓度水平大于约1E20原子/立方厘米(例如,通过SIMS测定),那么对硼扩散的阻挡作用是显著的。与此相反,在约1E19原子/立方厘米或更低的氮浓度的情况下,可有效地消除阻挡作用。
从膜组合物本身的角度看,在膜中的氮含量可以从在膜的待掺杂的衬底结构附近的部分中相对低的水平到在位于待掺杂的结构相对的部分中相对较高的水平进行变化。
在形成电介质膜的过程中所采用的沉积温度也影响掺杂原子在膜中扩散的能力。在一般情况下,已经发现,由CFD处理在相对低的温度沉积的电介质通常允许相对高的掺杂剂的扩散速率。与相对高的掺杂剂的扩散速率相关的相对低的温度的示例可以是在约300至400℃的范围的温度,或更具体地介于约350至400℃之间的温度。当然,这些温度范围取决于电介质前体和其他沉积参数的选择。虽然它们可以采用许多前体,但它们特别适合使用BTBAS作为电介质前体。
相比之下,在相对较高的温度的电介质沉积往往会抵抗掺杂物的扩散。用BTBAS作为电介质前体,与相对低的掺杂剂的扩散速率相关联的相对高的温度是在约350℃至400℃的范围中,或更具体地介于约300至380℃之间。当然,这样的温度可被应用于其他的前体。另外,虽然事实是较高的温度通常给出能抵抗掺杂剂扩散的较致密的膜,但也可以通过其他的参数 (如等离子体氧化过程中的RF暴露时间和功率)控制扩散和/或密度。在CFD氧化物生长过程中可以采用的基准参数的示例包括(1)约200-2500瓦(对于300mm的晶片)的高频等离子体,通常没有低频等离子体,和(2)在约0.2至1.5秒的范围的等离子体暴露时间。
在某些实施方式中,采用相对低的温度以沉积相邻于待掺杂的器件结构的电介质膜,采用较高的温度以沉积介电膜的远离该结构的部分。在某些实施方式中,在整个电介质膜的沉积过程中所用的温度是变化的,并且在沉积过程中氧化剂气体中氮与氧的比例也是变化的。以这种方式,所得到的电介质膜的掺杂剂扩散性能可以变化达到跨越膜的厚度的夸张的程度。
在各种实施方式中,沉积温度是通过在CFD过程中加热和/或冷却支撑衬底的基座或卡盘来控制。合适的基座的例子在2009年5月5日提交的,美国专利申请No.12/435,890(公布的申请号US-2009-0277472)和2011年4月13日提交的美国专利申请No.13/086,010中描述,这两者其全部内容通过引用并入本文。
在某些实施方式中,在电介质膜或掺杂剂前体的沉积之前,对在待掺杂的衬底表面上的器件结构进行预处理。在一个示例中,所述预处理包括暴露于等离子体,如暴露于还原性等离子体。例如,在待掺杂的衬底特征含有硅时这种处理可能是适当的。通常硅含有少量本征氧化物,该氧化物可以作为对掺杂剂的后续扩散的屏障。在一个特定的实施方式中,衬底表面用还原性等离子体(如含氢等离子体)进行预处理,然后在电介质膜沉积的第一循环之前将表面与气相的所述掺杂剂前体接触。紧接等离子体预处理完成后,前体可被输送到反应室。在一些示例中,所述掺杂剂前体为乙硼烷。在一般情况下,在图17中所描绘的工艺可以被修改以使得在所述第一电介质沉积循环之前,掺杂剂或掺杂剂前体被输送到衬底表面。
在各种实施方式中,在暴露于掺杂剂前体之前,部分形成的电介质膜本身用等离子体或其它活化处理措施预处理。这用于通过(a)在掺杂剂前体暴露之前,提供热均匀性,(b)激活所述电介质表面(例如,通过化学和/或物理粗糙化)来增强掺杂剂前体对介质表面粘附,以提高晶片内均匀性。
在某些其它实施方式中,在膜沉积工艺的掺杂剂前体体输送和/或活化阶段过程中控制掺杂剂物质的化学状态。在一些实施方式中,所述掺杂剂前体以将掺杂剂“固定”在电介质膜中的方式处理,从而限制了掺杂剂的扩散,直到它随后通过退火等这样的操作被激活。在一个示例中,在电介质膜沉积工艺的掺杂剂输送阶段过程中某些掺杂剂通过氧化它们或它们的前体被固定。在一个具体的示例中,在氧化环境中乙硼烷被输送到反应室,以有效地将所得到的含硼物质固定在电介质膜中。可替代地,通过在惰性或还原环境中输送前体到反应室,然后暴露于氧化环境中,来固定掺杂剂,并且掺杂剂位于电介质膜上。与此相反,在没有随后的氧化的情况下用还原剂处理某些掺杂剂前体,可在电介质膜中产生更多的移动的掺杂剂。
在形成源层之后(或在形成它的过程中),掺杂剂物质被驱动或以其它方式并入被制造的器件中的相邻的结构。在某些实施方式中,在形成保形掺杂剂源膜过程中或之后掺杂剂物质通过退火处理驱动。除了常规的热退火,例如可以使用快速退火(flashannealing)、激光瞬间退火(laser spike annealing)。退火处理的时间和温度取决于各种参数,参数包括源层中的掺杂剂的浓度、数量和类型、源层基质(例如,氧化物玻璃)的组成和形态、掺杂剂物质必须行进到相邻的器件结构的距离、器件结构中的掺杂剂的所需浓度、以及该器件结构的组成和形态。在某些实施方式中,退火处理在介于约900和1100℃之间的温度下进行约2至30秒。
各种装置可被设计成沉积如这里所描述的掺杂的电介质膜。通常,该装置会包含用于在掺杂膜的沉积过程中容纳衬底的处理室。处理室会包括用于接纳工艺气体的一个或多个入口,该工艺气体包括电介质前体、氧化剂、载气或惰性气体、掺杂剂物质等。在各种实施方式中,该装置会另外包括用于产生等离子体的特征,该等离子体具有以下性能:适合于形成电介质层,将掺杂剂掺入到电介质层,处理所述电介质层以改变层的电气、光学、机械和/或化学性质,和将掺杂剂从膜驱动到衬底中。典型地,该装置会包括真空泵或用于连接到这种泵的设备。更进一步地,该装置会具有一个控制器或多个控制器,该控制器配置或设计用于控制所述装置来实现这里描述的掺杂的电介质沉积操作的顺序。该控制器可以包括用于控制该装置的各种功能 的指令,该装置包括:输送工艺气体并控制压强的阀门装置,用于产生等离子体的功率源,以及真空源。所述指令可以控制各种操作的时序和顺序。在各种实施方式中,该装置可以具有如在从加利福尼亚州圣何塞市的Novellus System获得的VectorTM系列沉积工具中所具有的特征。在本文别处描述沉积掺杂的介电膜的合适装置的其他特征。
掺杂的CFD膜性能
充当掺杂物物质的源的电介质膜将具有各种特性。在各种实施方式中,膜的厚度介于约20至200埃之间。在某些情况下,例如对于三维晶体管结构的源极-漏极延伸区的前道掺杂,膜厚度为介于约50至100埃之间。电介质膜中的掺杂剂原子(或其它掺杂物)的平均浓度取决于多种因素,包括膜的每单位表面积的掺杂剂总量,以及在膜中的掺杂剂原子的扩散系数和掺杂的应用。在某些实施方式中,在膜中的掺杂剂浓度为按重量计介于约0.01至10%之间。在进一步的实施方式中,在膜中的掺杂剂浓度为按重量计介于约0.1%至1%之间。在又一实施方式中,在膜中掺杂剂的浓度为按重量计介于约0.5%至4%之间。本文描述的技术允许掺杂剂浓度在很宽的范围内调节,该范围例如,按重量计介于约0.01至10%之间。例如,已经证明,在CFD电介质膜中,硼浓度可在按重量计介于约0.1至4.3%之间很容易地调节。在某些实施方式中,5、7、10和12纳米的CFD膜生长有按重量计介于约0.1和0.5%之间的硼。
CFD掺杂的介电膜可由其它性能表征。例如,CFD沉积膜的薄层电阻(Rs)可从约100至50000欧姆/平方变化。在某些情况下,在部分或全部掺杂物从掺杂的CFD层驱动之后获得这些值。通过从CFD膜驱动掺杂剂产生的进一步的结深度(例如通过SIMS测量的)可以视情况被调制到高至约1000埃。当然,许多前道装置需要相当浅的结深度,例如,在约5-50A的范围,这也是可以采用CFD膜实现的。实际的结深度可以由许多因素进行控制,这些因素包括例如,界面掺杂剂(例如,硼)浓度,从块体和界面进入衬底(例如,硅)的掺杂剂的迁移率,以及用于以驱动掺杂剂的退火的温度和持续时间。
CFD掺杂应用
其上形成有介电源层的衬底表面可能需要高度保形沉积。在某些示例中,介电源膜保形地覆盖具有介于约1:0.5和1:12之间(更具体地介于约1:1和1:8之间)的深宽比的特征,并且具有不大于约60纳米的(更具体地不大于约30纳米的)特征宽度。使用本文描述的类型的介电源层掺杂将在根据45纳米技术节点及超出45纳米的技术节点(包括22纳米技术节点,16纳米技术节点等)形成的装置中找到特定的应用。
可以使用CFD源层掺杂的器件结构是常规的掺杂结构,例如CMOS源极和漏极、源极-漏极延伸区域、在存储装置中的电容器电极、栅极结构等。可以以这种方式掺杂的其他结构为非平面的或三维的结构,如在栅极结构中的源极/漏极扩展区的结点,这些栅极结构如在22纳米技术节点制造的一些器件采用的一些三维栅极结构中的那些栅极结构。一些三维结构可以在"Tri-gate(Intel)":J.Kavalieros et al,Symp.VLSI Tech Pg50,2006和"FinFET:Yamashita et al.(IBM Alliance),VLSI2011中找到,其先前通过引用并入本文。
掺杂的CFD膜有各种其他应用,如提供在集成电路制造的各个阶段使用的可蚀刻层。在某些实施方式中,可蚀刻层是具有可调的湿法蚀刻速率的玻璃层,其中所述蚀刻速率通过掺杂水平可调。换句话说,选择掺杂的水平以提供预先定义的蚀刻速率。在具体实施方式中,可蚀刻层是含有如磷、硼、或其组合等掺杂剂的硅酸盐玻璃层。
CFD掺杂示例
在复杂的三维栅结构制备CFD掺硼硅酸盐玻璃(BSG)的膜并实现接近100%的阶梯覆盖性。预期用掺磷硅酸盐玻璃(PSG)有类似的结果。在随后的提供保形/均匀性的退火步骤的过程中,在掺杂剂的扩散下,硼或磷可以从这样的膜驱动到源极和漏极结的横向和纵向的区域。图20显示了用于合成CFD BSG/PSG膜的典型沉积框图。CFD氧化物的生长循环包括:(a)饱和剂量的SiO2前体(BTBAS),(b)惰性气体清除以冲洗掉残余前体物质,(c)氧化性等离子体步骤,以及(d)惰性气体清除,以除去反应副产物。这种机制保证了反应为自限性,并促进用这些膜所保持的良好的保形性。在CFD氧化物生长过程中,将硼或磷的暴露步骤周期性地插入,接着是 抽吸和清除序列,以及如果需要的话,可选的射频钉扎/固化步骤(例如暴露于等离子体)。这个沉积框重复与根据目标BSG/PSG厚度所需要的次数一样多的次数。参见图20。
虽然插入硼或磷暴露的频率调制在给定的温度下的掺杂剂扩散距离,但暴露的长度控制总的掺杂剂的剂量。这两个强大的控制参数提供多功能的合成方案,以准确地调整界面掺杂浓度。
在实验中,CFD已经证明具有在BSG膜中的优异的生长特性。CFDBSG工艺使用BTBAS作为硅源,N2O等离子体用于氧化和氩气中5%乙硼烷(B2H6)用于硼掺杂。氩气和N2O的混合物用作清除气体。获得约1埃/循环的生长速度,与未掺杂的CFD氧化物的结果一致,这显示出包含硼暴露步骤并没有不利地影响CFD生长。250埃厚的CFD BSG膜在如由SEM照片所示的不同的测试结构呈现近乎完美的保形性。这些膜的阶梯覆盖性在致密和孤立的结构上被计算为(图21)。阶梯覆盖性被定义为指特征的侧壁的膜厚度除以相同结构的顶部的膜厚度的商。表6示出了从初始研究的不同拆分以分割出硼暴露时间、插入硼的频率和生长温度对膜中的最终的平均硼浓度的作用。25X CFD Ox是指每次硼插入阶段有25次CFD掺杂氧化循环。该样品生长到约500埃,所以整个序列重复约20次(对于CFD氧化物给出1A/循环的生长率)。如在图22中给出的这些拆分的SIMS数据,表明平均硼浓度可在约0.5-3.5重量%硼的范围内调整,使定制的掺杂选项可行。
表6
标记(Label) 沉积条件
CFDS1 400℃/25x CFD Ox+5s B2H6暴露
CFDS2 400℃/25x CFD Ox+2.5s B2H6暴露
CFDS3 400℃/50x CFD Ox+5s B2H6暴露
CFDS4 350℃/25x CFD Ox+5s B2H6暴露
装置
可以理解,任何合适的处理站,可以采用上面描述的示例中的一个 或多个。例如,图13示意性地示出了CFD处理站1300的实施方式。为简单起见,CFD处理站1300被描述为具有用于维持低压环境的处理室主体1302的独立的处理站。然而,可以理解的是,多个CFD处理站1300可以被包括在共同的低压处理工具环境中。虽然图13中所描绘的实施方式示出了一个处理站,但应该理解,在一些实施方式中,多个处理站可以包含在处理工具中。例如,图14描绘了多站处理工具2400的实施例。此外,应理解,在一些实施方式中,CFD处理站1300的包括那些在下面详细讨论的参数在内的一个或多个硬件参数,可以由一个或多个计算机控制器编程(programmatically)调节。
CFD处理站1300与用于提供工艺气体到分配喷头1306的反应物输送系统1301流体连通。反应物输送系统1301包括用于混合和/或调节将传输到喷头1306的工艺气体的混合容器1304。一个或多个混合容器入口阀1320可以控制工艺气体引入到混合容器1304。
一些反应物,如BTBAS,可以以液体形式存储,然后汽化,并随后输送到处理站。例如,图13的实施方式包括汽化站点(vaporization point)1303用于汽化要被供给到混合容器1304的液体反应物。在一些实施方式中,汽化站点1303可以是经加热的蒸发器。从这些蒸发器产生的饱和的反应物蒸气可以在下游输送管中凝结。不相容的气体暴露在冷凝的反应物中会产生小颗粒。这些小颗粒可能会堵塞管道、妨碍阀门的操作、污染衬底等。解决这些问题的一些方法包括清扫和/或抽空该输送管,以去除剩余反应物。然而,清扫输送管道会增加处理站循环时间,降低处理站产量。因此,在一些实施方式中,汽化点1303的下游输送管道是伴热的(heat traced)。在一些实施方式中,混合容器1304也可以是伴热的。在一个非限制性示例中,汽化站点1303的输送管道下游具有升高的温度分布,从约100摄氏度升高到在混合容器1304的约150摄氏度。
在一些实施例中,液态反应物可以在液体喷射器中汽化。例如,液体喷射器可以以脉冲的形式将液态反应物喷射到混合容器上游的载体气流中。在一个方案中,液体喷射器可以通过从较高压强到较低的压强闪蒸液体来汽化反应物。在另一种方案中,液体喷射器可雾化液体成分散的微滴,这 些微滴随后在加热的输送管中蒸发。可以理解的是,较小的液滴比较大的液滴可蒸发得快,从而减少液体喷射和完全汽化之间的延迟。较快的汽化可以减小汽化站点1303下游的管道的长度。在一个方案中,可以直接安装液体喷射器到混合容器1304。在另一个方案中,可以直接安装液体喷射器到喷头1306。
喷头1306和基座1308与RF功率源1314和匹配网络1316电气连通以给等离子体供电。在一些实施方式中,通过控制处理站压强、气体浓度、RF源功率、RF源频率、和等离子体功率脉冲时序中的一个或多个来控制等离子体能量。例如,RF功率源1314和匹配网络1316可以用任何合适的功率操作以形成具有所需要自由基种类组合的等离子体。示例的合适的功率包括但不限于,对于300毫米的晶片,介于100W和5000W之间的功率。同样地,RF功率源1314可以提供任何合适频率的RF功率。在一些实施方式中,RF功率源1314可以被配置为控制相互独立的高频和低频RF功率源。示例的低频RF频率可包括但不限于,介于50千赫和500千赫之间的频率。示例的高频RF频率可包括但不限于,介于1.8MHz和2.45GHz之间的频率。可以理解的是,可以离散地或者连续地调节任何合适的参数以提供等离子体能量用于表面反应。在一个非限制性的示例中,相较于被连续提供功率的等离子体,可以以脉冲方式间歇性提供等离子体功率,以减少对衬底表面的离子轰击。
在一些实施方式中,等离子体可由一个或多个等离子体监视器在原位监测。在一个方案中,等离子体功率可以由一个或多个电压、电流传感器(例如,VI探针)监测。在另一个方案中,等离子体密度和/或工艺气体的浓度可以由一个或多个光发射光谱传感器(OES)测量。在一些实施方式中,根据从这种原位等离子体监测器的测量值,对一个或多个等离子体参数进行程序化(programmatic)调节。例如,OES传感器可在反馈回路中使用,用于提供对等离子体功率的程序化控制。可以理解的是,在一些实施方式中,其他监视器可以用来监测等离子体和其它工艺特征。这些监视器可以包括但不限于,红外(IR)监视器、声学监视器、和压力传感器。
在一些实施方式中,基座1308可以通过加热器1310控制温度。另 外,在一些实施例中,可以通过蝶形阀1318提供对CFD处理站1300的压强控制。如图13所示,蝶形阀1318调节由下游真空泵(未显示)提供的真空。然而,在一些实施方式中,处理站1300的压强控制还可以通过改变导入到CFD处理站1300的一种或者多种气体的流率来调节。
如上所述,多站处理工具可以包括一个或多个处理站。图14显示了示例性的多站操作工具2400的示意图,该多站操作工具2400具有内装载锁2402和外装载锁2404,这些装载锁的任一个或两者都可包括远程等离子体源。在大气压强下,机械手2406被配置为把晶片从通过吊舱(pod)2408装载的盒经由大气端口2410移入内装载锁2402。通过机械手2406把晶片放置在内装载锁2402中的基座2412上,大气端口2410关闭,且装载锁抽空。当内装载锁2402包括远程等离子体源时,晶片在导入到处理室2414之前,可暴露于装载锁中的远程等离子体处理。另外,例如,也可以在装载锁2402内加热晶片,以除去水分和吸附气体。接着,至处理室2414的室输送端口2416被打开,并且另一个机械手(未示出)把晶片放置到反应器中在反应器中所示的第一站的基座上用于处理。虽然图14中所示的实施方式包括装载锁,单可以理解的是,在一些实施方式中,可以设置使晶片直接进入到处理站中。
所描述的处理室2414包括四个处理站,在图14所示的实施方式中,编号从1到4。每个站都有加热的基座(以2418显示,用于站1),和气体管线入口。可以理解的是,在一些实施方式中,每个处理站可具有不同的用途或多个用途。例如,在一些实施方式中,处理站可以在CFD工艺模式和PECVD工艺模式之间切换。另外地或替代地,在一些实施方式中,处理室2414可以包括一个或多个配对的CFD和PECVD处理站。虽然示出的处理室2414包括四个站,但可以理解的是,根据本发明公开所述的处理室可具有任何合适数量的站。例如,在一些实施方式中,处理室可以具有五个或更多个站,而在其它实施方式中处理室可以具有三个或更少的站。
图14还描绘了在处理室2414内输送晶片的晶片处理系统2490的实施方式。在一些实施方式中,晶片处理系统2490可以在各种处理站之间和/或在处理站和装载锁之间输送晶片。可以理解的是,可以采用任何适当的晶 片处理系统。非限制性示例包括晶片转盘和晶片处理机械手。图14还描述了示例的系统控制器2450,其用于控制处理工具2400的处理条件和硬件状态。系统控制器2450可包括一个或多个存储设备2456、一个或多个大容量存储设备2454和一个或多个处理器2452。处理器2452可以包括CPU或计算机、模拟和/或数字输入/输出接头、步进电机控制器板、等等。
在一些实施方式中,系统控制器2450控制处理工具2400的所有活动。系统控制器2450执行存储在大容量存储设备2454中的、加载到存储设备2456的和在处理器2452上执行的系统控制软件2458。系统控制软件2458可包括用于控制计时、气体混合、室和/或站的压强、室和/或站的温度、晶片温度、目标功率电平、RF功率电平、衬底基座、夹盘和/或衬托器(susceptor)位置、和由处理工具2400执行的特定工艺的其他参数。系统控制软件2458可以以任何合适的方式配置。例如,可以编写各种处理工具组件的子程序或控制对象以控制处理工具组件执行各种处理工具的处理所必须的操作。可以以任何合适的计算机可读的编程语言编码系统控制软件2458。
在一些实施方式中,系统控制软件2458可包括输入/输出控制(IOC)排序指令,其用于控制上面描述的各种参数。例如,CFD工艺的各阶段可以包括用于由系统控制器2450执行的一个或多个指令。相应的CFD配方阶段可以包括用于设定CFD工艺阶段的处理条件的指令。在一些实施方式中,CFD配方阶段可以依次排列,从而使得用于CFD工艺阶段的所有指令与该处理阶段同步执行。
在一些实施方式中可以采用存储在与系统控制器2450相关联的大容量存储设备2454和/或存储设备2456上的其他计算机软件和/或程序。用于此用途的示例性程序或部分程序包括衬底定位程序、工艺气体控制程序、压强控制程序、加热器控制程序和等离子体控制程序。
衬底定位程序可以包括用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座2418且控制衬底和处理工具2400的其他部件之间的间距。
工艺气体控制程序可以包括代码,该代码用于控制气体成分和流率,且可选地用于在沉积之前使气体流入一个或者多个处理站以便稳定处理 站中的压强。压强控制程序可包括通过调节例如处理站的排放系统内的节流阀和进入处理站的气流等来控制处理站中的压强。
加热器控制程序可以包括用于控制加热单元电流的代码,该加热单元用于加热衬底。可选地,加热器控制程序可以控制热输送气体(例如氦气)到衬底的输送。
等离子体控制程序可以包括代码,其用于设置应用到一个或者多个处理站中的处理电极的RF功率水平。
在一些实施方式中,可以有与系统控制器2450相关的用户界面。该用户界面可以包括显示屏、设备和/或处理条件的图形软件显示、及用户输入装置,例如指针设备、键盘、触摸屏、麦克风,等等。
在一些实施方式中,由系统控制器2450调节的参数可涉及处理条件。非限制性示例包括工艺气体的成分和流率、温度、压强,等离子体的条件(如RF偏置功率电平)、压强、温度,等等。这些参数可以以配方的形式提供给用户,配方可利用用户界面输入。
用于监测处理的信号可以从各种处理工具传感器通过系统控制器2450的模拟和/或数字输入接头提供。用于控制处理的信号可以在处理工具2400的模拟和数字输出接头输出。可被监测的处理工具传感器的非限制性示例包括质量流量控制器、压力传感器(如压力计)、热电偶、等等。适当地程序化的反馈和控制算法可以与来自这些传感器的数据一起使用,以维持工艺条件。
系统控制器2450可提供用于实现上述的沉积方法的程序指令。该程序指令可以控制各种工艺参数,例如DC功率电平、RF偏置功率电平、压强、温度、等等。这些指令可以根据本文所描述的各种实施例,控制用于操作膜堆叠的原位沉积的参数。
本文在上面所描述的设备/方法可以与光刻图案化工具或方法结合,例如,用于半导体设备、显示器、LED、光伏板等等的制造和生产。通常,但不是必定,这样的工具/方法将和普通的制造设施一起使用或操作。膜的光刻图案化通常包括部分或所有的以下操作,每一操作用一些可能的工具启动:(1)使用旋涂或喷涂工具在工件(即,衬底)上施用光致抗蚀剂; (2)使用热板或炉或UV固化工具固化光致抗蚀剂;(3)使用晶片步进式曝光机(waferstepper)等工具将光致抗蚀剂在可见光或紫外线或X-射线下暴露;(4)使用诸如湿法工作台(wet bench)等工具,对光致抗蚀剂进行显影,以便选择性地除去抗蚀剂,从而进行图案化;(5)通过使用干法或等离子体辅助蚀刻工具,将抗蚀剂图案(resist pattern)转移到基底膜或工件上;和(6)使用诸如RF或微波等离子体抗蚀剂剥离机(microwave plasmaresist stripper)等工具,去除抗蚀剂。
应该理解的是,本文所描述的配置和/或方法,在本质上是示例性的,并且这些特定的实施例或实施例不应被认为具有限制意义,因为许多的变化是可能的。本文描述的特定的例程或方法可表示任何数量的处理策略中的一个或多个。因此,各种操作可以以所示的序列、以其它的序列,并行地或在某些情况下删减来执行。同样,可以改变上述的处理的顺序。
本公开的主题包括本文所公开的各种处理、系统和装置、以及其它特征、功能、操作、和/或特性的所有新颖和非显而易见的组合和子组合,以及任何所有等同方案。

Claims (66)

1.一种在反应室中在衬底表面上沉积膜的方法,所述方法包括:
(a)在允许第一反应物吸附到所述衬底表面的条件下将所述第一反应物引入所述反应室;
(b)在所述第一反应物被吸附在所述衬底表面上的同时,将第二反应物引入所述反应室;
(c)将所述衬底表面暴露于等离子体以驱动所述衬底表面上的所述第一和所述第二反应物之间的反应以形成所述膜的一部分;
(d)重复(a)-(c)至少一次;
(e)在允许包含掺杂剂的材料接触所述膜的暴露表面的条件下,将所述包含掺杂剂的材料引入所述反应室,而在(a)-(d)中不引入,以及
(f)将掺杂剂从所述包含掺杂剂的材料引入所述膜,其中将所述掺杂剂引入所述膜中包括包含掺杂剂的材料或不含掺杂剂的物质的等离子体暴露。
2.根据权利要求1所述的方法,其还包括:
(g)在(e)或(f)之后重复(a)-(c)。
3.根据权利要求1所述的方法,其还包括
(g)重复(a)-(e)。
4.根据权利要求1所述的方法,其中,在(a)-(c)中沉积的膜的量为介于0.5至1埃之间。
5.根据权利要求1所述的方法,其还包括从所述膜驱动所述掺杂剂到所述膜驻留的所述衬底表面的特征中。
6.根据权利要求5所述的方法,其中,从所述膜驱动所述掺杂剂包括对所述膜进行退火处理。
7.根据权利要求5所述的方法,其中,所述膜驻留在所述衬底表面的三维特征上,并且其中,从所述膜驱动所述掺杂剂使所述掺杂剂保形扩散到所述特征中。
8.根据权利要求7所述的方法,其中所述特征具有不大于40纳米的宽度。
9.根据权利要求1所述的方法,其还包括在将所述衬底表面暴露于等离子体之前从所述反应室清除所述第二反应物。
10.根据权利要求9所述的方法,其中所述清除包括使含有氧化剂的气体流入所述反应室。
11.根据权利要求1所述的方法,其中,所述第一和第二反应物以气相共存于所述反应室中,并且其中在所述反应室中所述第一和第二反应物直至在(c)中暴露于等离子体中才会明显相互反应。
12.根据权利要求1所述的方法,其中将所述掺杂剂引入所述膜,包括将所述含有掺杂剂的材料暴露在等离子体中。
13.根据权利要求1所述的方法,其中所述第一反应物是氧化剂。
14.如权利要求13所述的方法,其中所述氧化剂是一氧化二氮。
15.根据权利要求1所述的方法,其中所述第二反应物选自:烷氨基硅烷(SiHx(NR2)4-x)中,其中x=1-3,并且R包括烷基,和
卤代硅烷(SiHxY4-x),其中x=1-3,以及Y包括Cl、Br和I。
16.根据权利要求1所述的方法,其中,所述第二反应物是BTBAS。
17.根据权利要求1所述的方法,其中所述包含掺杂剂的材料选自膦、砷化氢、烷基硼、烷基镓烷、烷基膦、卤化磷、卤化砷、卤化镓、卤化硼、烷基硼、和乙硼烷。
18.根据权利要求1所述的方法,其中所述膜是电介质膜。
19.根据权利要求1所述的方法,其中所述膜总厚度为介于10-100埃之间。
20.根据权利要求1所述的方法,其中,掺杂剂在所述膜中的浓度按重量计为介于0.01%至10%之间。
21.根据权利要求1所述的方法,其还包括:
将光致抗蚀剂施加到所述衬底表面上;
将所述光致抗蚀剂暴露于光;
图案化该抗蚀剂并转印图案到所述衬底表面;和
选择性地从所述衬底表面去除所述光致抗蚀剂。
22.根据权利要求1所述的方法:
其中,在引入所述包含掺杂剂的材料的步骤(e)的过程中,所述条件使得所述包含掺杂剂的材料被吸附到所述膜的所述暴露表面上形成吸附受限层;以及
其中,引入所述掺杂剂至所述膜的步骤(f)包括使所吸附的所述包含掺杂剂的材料反应以形成包含掺杂剂源的所述膜层。
23.根据权利要求22所述的方法,其中,在步骤(f)中使所吸附的所述包含掺杂剂的材料反应包括使所述包含掺杂剂的材料暴露于等离子体。
24.根据权利要求22所述的方法,其中,步骤(e)和(f)包括原子层沉积。
25.根据权利要求22所述的方法,进一步包括:
(g)在(e)或(f)之后形成加盖层,所述加盖层是保护性扩散阻挡层。
26.一种在反应室中在衬底表面上沉积电介质膜的方法,所述方法包括:
(a)在允许氧化剂吸附到所述衬底表面上的条件下使所述氧化剂流入所述反应室;
(b)在所述氧化剂继续流入所述反应室的同时,将电介质前体引入所述反应室;
(c)将所述衬底表面暴露于等离子体以驱动在所述衬底表面上的所述电介质前体和氧化剂反应,以形成所述电介质膜的一部分;
(d)在允许包含掺杂剂的材料接触所述电介质膜的暴露表面的条件下,将所述包含掺杂剂的材料引入所述反应室,而在(a)-(c)中不引入;和
(e)造成来自所述包含掺杂剂的材料的掺杂剂结合入所述电介质膜。
27.如权利要求26所述的方法,其中,所述电介质前体是BTBAS。
28.如权利要求26所述的方法,其还包括将所述掺杂剂从所述电介质膜引入所述衬底。
29.如权利要求26所述的方法,其中,重复操作(a)-(c)。
30.根据权利要求29所述的方法,其中,当最初执行(a)时,所述氧化剂包含第一比率的氧比氮,并且其中,当重复(a)时,所述氧化剂包含第二比率的氧比氮,所述第二比率小于所述第一比率。
31.根据权利要求30所述的方法,其中,当最初执行(a)时,所述氧化剂包括元素氧,并且其中,当重复(a)时,所述氧化剂包括一氧化二氮。
32.如权利要求29所述的方法,其中,当最初执行(c)时,所述衬底在第一温度,并且其中,当重复(c)时,所述衬底在第二温度,所述第二温度高于所述第一温度。
33.如权利要求26所述的方法,其进一步包括在(a)之前使所述衬底表面与所述包含掺杂剂的材料接触。
34.根据权利要求26所述的方法:
其中,在引入所述包含掺杂剂的材料的步骤(d)的过程中,所述条件使得所述包含掺杂剂的材料被吸附到所述膜的所述暴露表面上形成吸附受限层;以及
其中,导致所述掺杂剂结合入所述电介质膜的步骤(e)包括使所吸附的所述包含掺杂剂的材料反应以形成包含掺杂剂源的所述电介质膜层。
35.根据权利要求34所述的方法,其中,在步骤(f)中使所吸附的所述包含掺杂剂的材料反应包括使所述包含掺杂剂的材料暴露于等离子体。
36.根据权利要求34所述的方法,其中,步骤(d)和(e)包括原子层沉积。
37.根据权利要求34所述的方法,进一步包括:
(f)在(d)或(e)之后形成加盖层,所述加盖层是保护性扩散阻挡层。
38.一种在反应室中在衬底表面上沉积电介质膜的方法,所述方法包括:
(a)在允许电介质前体吸附到所述衬底表面的条件下将所述电介质前体引入所述反应室;
(b)此后,在所述电介质前体保持吸附在所述衬底表面上的同时,将所述电介质前体从所述反应室清除;
(c)将所述衬底表面暴露于等离子体以驱动所述衬底表面上的所述电介质前体的反应以形成所述电介质膜的一部分;
(d)在允许掺杂剂前体接触所述电介质膜的所述一部分的条件下,将所述掺杂剂前体引入所述反应室,在(a)-(c)中不引入,并在所述电解质膜上形成吸附的掺杂剂前体;以及
(e)使所吸附的所述掺杂剂前体反应以形成包含掺杂剂源的所述电介质膜层,
其中在步骤(e)中使所吸附的所述掺杂剂前体反应包括使所述掺杂剂前体暴露于等离子体。
39.根据权利要求38所述的方法,其还包括在(a)-(c)之前和期间使氧化剂流入所述反应室。
40.根据权利要求38所述的方法:
其中,在引入所述掺杂剂前体的步骤(d)的过程中,所述条件使得所述掺杂剂前体被吸附到所述电介质膜的所述暴露表面上形成吸附受限层。
41.根据权利要求40所述的方法,其中,步骤(d)和(e)包括原子层沉积。
42.根据权利要求40所述的方法,进一步包括:
(f)在步骤(d)或(e)之后形成加盖层,所述加盖层是保护性扩散阻挡层。
43.一种用于在衬底表面上沉积掺杂膜的装置,所述装置包括:
反应室,其包括用于在所述掺杂的膜的沉积过程中容纳所述衬底的设备;
一个或多个工艺气体进口,其耦合到所述反应室;和
控制器,其设计或配置成操作所述装置以执行以下操作:
(a)在允许第一反应物吸附到所述衬底表面的条件下将所述第一反应物引入所述反应室;
(b)在所述第一反应物被吸附在所述衬底表面上的同时,将第二反应物引入所述反应室;
(c)将所述衬底表面暴露于等离子体以驱动所述衬底表面上的所述第一和所述第二反应物之间的反应以形成所述掺杂的膜的一部分;
(d)重复(a)-(c)至少一次;
(e)在允许包含掺杂剂的材料接触所述掺杂的膜的暴露表面的条件下,将所述包含掺杂剂的材料引入所述反应室,在(a)-(d)中不引入;以及
(f)将掺杂剂从所述包含掺杂剂的材料引入所述掺杂的膜,其中将所述掺杂剂引入所述掺杂的膜中包括包含掺杂剂的材料或不含掺杂剂的物质的等离子体暴露。
44.根据权利要求43所述的装置,其中,所述控制器被进一步设计或配置成操作所述装置以在(a)-(d)之前和期间使氧化剂流入所述反应室。
45.根据权利要求43所述的装置,其中,所述控制器被进一步设计或配置成操作所述装置以另外执行以下操作:
(g)在(e)或(f)之后重复(a)-(c)。
46.根据权利要求43所述的装置,其中,所述控制器被进一步设计或配置成操作所述装置以另外执行以下操作:
(g)将所述掺杂剂从所述掺杂的膜驱动到所述掺杂的膜所在的所述衬底表面的特征中。
47.根据权利要求46所述的装置,其中,在(g)中将所述掺杂剂从所述掺杂的膜驱动包括对所述掺杂的膜进行退火处理。
48.根据权利要求43所述的装置,其中,所述控制器被进一步设计或配置成操作所述装置以造成于在(c)中将所述衬底表面暴露于等离子体之前将所述第二反应物从所述反应室清除。
49.根据权利要求48所述的装置,其中,所述清除包括使含有氧化剂的气体流入所述反应室。
50.根据权利要求43所述的装置,其中,所述控制器被进一步设计或配置成操作所述装置以在(a)-(d)的一次或更多次重复之间的间隔执行(e),其中在沉积所述掺杂的膜的过程中所述间隔是变化的。
51.根据权利要求43所述的装置,其中,所述控制器被进一步设计或配置成操作所述装置使得:
于在(e)中所述包含掺杂剂的材料的所述引入过程中,所述条件使得所述包含掺杂剂的材料被吸附到所述膜的所述暴露表面上形成吸附受限层;以及
在(f)中将所述掺杂剂引入所述膜包括使所吸附的所述包含掺杂剂的材料反应以形成包含掺杂剂源的所述膜层。
52.根据权利要求51所述的装置,其中,所述控制器被进一步设计或配置成操作所述装置使得:在(f)中所吸附的所述包含掺杂剂的材料的所述反应包括使所述包含掺杂剂的材料暴露于等离子体。
53.根据权利要求51所述的装置,其中,(e)和(f)的组合包括原子层沉积序列的至少一部分。
54.根据权利要求51所述的装置,其中,所述控制器被进一步设计或配置成操作所述装置以另外执行以下操作:
(g)在(e)或(f)之后形成加盖层,所述加盖层是保护性扩散阻挡层。
55.一种用于在衬底表面上沉积电介质膜的装置,所述装置包括:
反应室,其包括用于在所述电介质膜的沉积过程中容纳所述衬底的设备;
一个或多个工艺气体进口,其耦合到所述反应室;和
控制器,其设计或配置成操作所述装置以执行以下操作:
(a)在允许氧化剂吸附到所述衬底表面的条件下将所述氧化剂引入所述反应室;
(b)在所述氧化剂继续流入所述反应室的同时,将电介质前体引入所述反应室;
(c)将所述衬底表面暴露于等离子体以驱动在所述衬底表面上的所述电介质前体和氧化剂之间的反应,以形成所述电介质膜的一部分;
(d)在允许包含掺杂剂的材料接触所述电介质膜的暴露表面的条件下,将所述包含掺杂剂的材料引入所述反应室,而在(a)-(c)中不引入;和
(e)使得来自所述包含掺杂剂的材料的掺杂剂结合入所述电介质膜。
56.根据权利要求55所述的装置,其中,所述控制器被进一步设计或配置成操作所述装置使得在(e)中所述掺杂剂结合入所述电介质膜包括驱动所述掺杂剂从所述电介质膜进入所述衬底。
57.根据权利要求55所述的装置,其中,所述控制器被进一步设计或配置成操作所述装置使得:
于在(d)中所述包含掺杂剂的材料的所述引入过程中,所述条件使得所述包含掺杂剂的材料被吸附到所述电介质膜的所述暴露表面上形成吸附受限层;以及
在(e)中将所述掺杂剂结合入所述电介质膜包括使所述包含掺杂剂的材料反应以形成包含掺杂剂源的所述电介质膜层。
58.根据权利要求57所述的装置,其中,所述控制器被进一步设计或配置成操作所述装置使得:在(e)中所述包含掺杂剂的材料的所述反应包括使所述包含掺杂剂的材料暴露于等离子体。
59.根据权利要求57所述的装置,其中,(d)和(e)的组合包括原子层沉积序列的至少一部分。
60.根据权利要求57所述的装置,其中,所述控制器被进一步设计或配置成操作所述装置以另外执行以下操作:
(f)在(d)或(e)之后形成加盖层,所述加盖层是保护性扩散阻挡层。
61.一种用于在衬底表面上沉积电介质膜的装置,所述装置包括:
反应室,其包括用于在所述电介质膜的沉积过程中容纳所述衬底的设备;
一个或多个工艺气体进口,其耦合到所述反应室;和
控制器,其设计或配置成操作所述装置以执行以下操作:
(a)在允许电介质前体吸附到所述衬底表面的条件下将所述电介质前体引入所述反应室;
(b)此后,在所述电介质前体保持吸附在所述衬底表面上的同时,将所述电介质前体从所述反应室清除;
(c)将所述衬底表面暴露于等离子体以驱动所述衬底表面上的所述电介质前体的反应以形成所述电介质膜的一部分;
(d)在允许掺杂剂前体接触所述电介质膜的所述一部分的条件下,将所述掺杂剂前体引入所述反应室,在(a)-(c)中不引入,并在所述电解质膜上形成吸附的掺杂剂前体;以及
(e)使所吸附的所述掺杂剂前体反应以形成包含掺杂剂源的所述电介质膜层,
其中在步骤(e)中使所吸附的所述掺杂剂前体反应包括使所述掺杂剂前体暴露于等离子体。
62.根据权利要求61所述的装置,其中,所述控制器被进一步设计或配置成操作所述装置以使得在(a)-(c)之前和期间使氧化剂流入所述反应室。
63.根据权利要求61所述的装置,其中,所述控制器被进一步设计或配置成操作所述装置使得:
于在(d)中所述掺杂剂前体的所述引入过程中,所述条件使得所述掺杂剂前体被吸附到所述电介质膜的所述暴露表面上并形成吸附受限层。
64.根据权利要求63所述的装置,其中,(d)和(e)的组合包括原子层沉积序列的至少一部分。
65.根据权利要求63所述的装置,其中,所述控制器被进一步设计或配置成操作所述装置以另外执行以下操作:
(f)在(d)或(e)之后形成加盖层,所述加盖层是保护性扩散阻挡层。
66.一种用于在衬底表面上沉积掺杂膜的系统,所述系统包括根据权利要求43所述的装置和步进曝光机。
CN201280046487.6A 2011-09-23 2012-08-29 等离子体活化保形电介质膜沉积的方法和装置 Active CN103890910B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710347032.2A CN107342216B (zh) 2011-09-23 2012-08-29 等离子体活化保形电介质膜沉积

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/242,084 2011-09-23
US13/242,084 US8637411B2 (en) 2010-04-15 2011-09-23 Plasma activated conformal dielectric film deposition
PCT/US2012/052769 WO2013043330A1 (en) 2011-09-23 2012-08-29 Plasma activated conformal dielectric film deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201710347032.2A Division CN107342216B (zh) 2011-09-23 2012-08-29 等离子体活化保形电介质膜沉积

Publications (2)

Publication Number Publication Date
CN103890910A CN103890910A (zh) 2014-06-25
CN103890910B true CN103890910B (zh) 2017-05-17

Family

ID=47914754

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201710347032.2A Active CN107342216B (zh) 2011-09-23 2012-08-29 等离子体活化保形电介质膜沉积
CN201280046487.6A Active CN103890910B (zh) 2011-09-23 2012-08-29 等离子体活化保形电介质膜沉积的方法和装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201710347032.2A Active CN107342216B (zh) 2011-09-23 2012-08-29 等离子体活化保形电介质膜沉积

Country Status (6)

Country Link
JP (2) JP6199292B2 (zh)
KR (2) KR102084901B1 (zh)
CN (2) CN107342216B (zh)
SG (2) SG11201400633RA (zh)
TW (3) TWI531001B (zh)
WO (1) WO2013043330A1 (zh)

Families Citing this family (328)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
CN105143503A (zh) * 2012-12-21 2015-12-09 普拉萨德·纳哈·加吉尔 陶瓷薄膜低温沉积方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9620502B2 (en) * 2013-04-10 2017-04-11 Samsung Electronics Co., Ltd. Semiconductor device including an extended impurity region
JP5998101B2 (ja) 2013-05-24 2016-09-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9606519B2 (en) * 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9685325B2 (en) * 2014-07-19 2017-06-20 Applied Materials, Inc. Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10242848B2 (en) * 2014-12-12 2019-03-26 Lam Research Corporation Carrier ring structure and chamber systems including the same
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
FI126970B (en) 2014-12-22 2017-08-31 Picosun Oy Atomic layer cultivation in which the first and second species of source materials are present simultaneously
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9828672B2 (en) * 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
KR102317440B1 (ko) * 2015-05-27 2021-10-26 주성엔지니어링(주) 반도체 소자의 제조 방법
US9406544B1 (en) * 2015-06-12 2016-08-02 Lam Research Corporation Systems and methods for eliminating seams in atomic layer deposition of silicon dioxide film in gap fill applications
WO2016205196A2 (en) * 2015-06-16 2016-12-22 Air Products And Chemicals, Inc. Halidosilane compounds and compositions and processes for depositing silicon-containing films using same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9721887B2 (en) * 2015-08-19 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd Method of forming metal interconnection
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9909214B2 (en) * 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6509095B2 (ja) * 2015-11-04 2019-05-08 東京エレクトロン株式会社 窒化膜の形成方法
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9997351B2 (en) * 2015-12-08 2018-06-12 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for filling a cavity using angled ion beam
US9627221B1 (en) * 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI722132B (zh) * 2016-03-13 2021-03-21 美商應用材料股份有限公司 用於間隔墊應用之氮化矽薄膜的選擇性沉積
JP6540571B2 (ja) * 2016-03-24 2019-07-10 豊田合成株式会社 半導体装置の製造方法及び半導体装置
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US9725302B1 (en) * 2016-08-25 2017-08-08 Applied Materials, Inc. Wafer processing equipment having exposable sensing layers
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) * 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR102241937B1 (ko) * 2016-11-25 2021-04-20 주식회사 원익아이피에스 반도체 소자의 갭필 방법
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6857522B2 (ja) * 2017-03-17 2021-04-14 株式会社日本製鋼所 成膜方法および電子装置の製造方法並びにマスク保持体
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10516100B2 (en) * 2017-06-12 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon oxynitride based encapsulation layer for magnetic tunnel junctions
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
JP6869141B2 (ja) * 2017-08-09 2021-05-12 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102470206B1 (ko) * 2017-10-13 2022-11-23 삼성디스플레이 주식회사 금속 산화막의 제조 방법 및 금속 산화막을 포함하는 표시 소자
US20190119815A1 (en) * 2017-10-24 2019-04-25 Applied Materials, Inc. Systems and processes for plasma filtering
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US20200003937A1 (en) * 2018-06-29 2020-01-02 Applied Materials, Inc. Using flowable cvd to gap fill micro/nano structures for optical components
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
CN112513320A (zh) * 2018-08-02 2021-03-16 盖列斯特科技股份有限公司 通过控制气相瞬态物种形成的薄膜沉积工艺
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
EP3844318A4 (en) * 2018-10-03 2022-06-01 Versum Materials US, LLC METHODS FOR MAKING FILMS CONTAINING SILICON AND NITROGEN
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202026452A (zh) 2018-10-04 2020-07-16 日商Adeka股份有限公司 原子層堆積法用薄膜形成用原料、薄膜形成用原料、薄膜之製造方法及化合物
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
CN113939896A (zh) 2019-06-08 2022-01-14 应用材料公司 具有自成型阻挡层的低k电介质
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
JP7259649B2 (ja) * 2019-08-30 2023-04-18 東京エレクトロン株式会社 成膜装置及び成膜方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
JP7257930B2 (ja) * 2019-10-08 2023-04-14 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220012474A (ko) 2020-07-22 2022-02-04 주식회사 원익아이피에스 박막 증착 방법 및 이를 이용한 반도체 소자의 제조방법
WO2022043824A1 (ja) * 2020-08-26 2022-03-03 株式会社半導体エネルギー研究所 金属酸化物の成膜方法、および記憶装置の作製方法
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023132258A (ja) * 2022-03-10 2023-09-22 東京エレクトロン株式会社 埋込方法及び基板処理装置

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4843472B1 (zh) * 1968-05-09 1973-12-19
JPH0293071A (ja) * 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JPH06177120A (ja) * 1992-10-27 1994-06-24 Sony Corp 層間絶縁膜の形成方法
US6156149A (en) * 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5994209A (en) * 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
JP3437832B2 (ja) * 2000-03-22 2003-08-18 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100721503B1 (ko) * 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
JP2002134497A (ja) * 2000-10-23 2002-05-10 Sony Corp 半導体装置の製造方法
JP3437830B2 (ja) * 2000-11-28 2003-08-18 東京エレクトロン株式会社 成膜方法
US7713592B2 (en) * 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US7125815B2 (en) * 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7482247B1 (en) * 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
KR100622609B1 (ko) * 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
KR100841866B1 (ko) * 2005-02-17 2008-06-27 가부시키가이샤 히다치 고쿠사이 덴키 반도체 디바이스의 제조 방법 및 기판 처리 장치
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
CN100554506C (zh) * 2005-03-09 2009-10-28 东京毅力科创株式会社 半导体处理用的成膜方法及装置
JP2007019145A (ja) * 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
WO2007043709A1 (ja) * 2005-10-14 2007-04-19 Nec Corporation 半導体装置の製造方法およびその製造装置
US7897217B2 (en) * 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
JP2007180362A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
JP4434149B2 (ja) * 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW201415551A (zh) * 2006-03-31 2014-04-16 Applied Materials Inc 用以改良介電薄膜之階梯覆蓋與圖案負載的方法
JP2007287889A (ja) * 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法
JP2007287890A (ja) * 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法、プラズマcvd装置
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20090324971A1 (en) * 2006-06-16 2009-12-31 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5258229B2 (ja) * 2006-09-28 2013-08-07 東京エレクトロン株式会社 成膜方法および成膜装置
JP2008294260A (ja) 2007-05-25 2008-12-04 Sony Corp 半導体装置とその製造方法並びに積層絶縁膜とその形成方法
US20090065896A1 (en) * 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
KR101542267B1 (ko) 2007-09-18 2015-08-06 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소 함유 막의 형성 방법
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8197915B2 (en) 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
JP2010251654A (ja) * 2009-04-20 2010-11-04 Elpida Memory Inc 成膜方法および半導体装置の製造方法
JP2011023576A (ja) * 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
KR101732187B1 (ko) * 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition

Also Published As

Publication number Publication date
SG10201607194PA (en) 2016-10-28
CN107342216B (zh) 2022-05-31
SG11201400633RA (en) 2014-08-28
TWI682459B (zh) 2020-01-11
TWI602245B (zh) 2017-10-11
TW201616576A (zh) 2016-05-01
TW201735162A (zh) 2017-10-01
KR101975071B1 (ko) 2019-05-03
KR20140079431A (ko) 2014-06-26
JP6199292B2 (ja) 2017-09-20
JP2018011067A (ja) 2018-01-18
TW201330096A (zh) 2013-07-16
TWI531001B (zh) 2016-04-21
CN103890910A (zh) 2014-06-25
WO2013043330A1 (en) 2013-03-28
JP2014532304A (ja) 2014-12-04
KR102084901B1 (ko) 2020-03-05
KR20190049906A (ko) 2019-05-09
CN107342216A (zh) 2017-11-10

Similar Documents

Publication Publication Date Title
CN103890910B (zh) 等离子体活化保形电介质膜沉积的方法和装置
CN103975419B (zh) 等离子体活化保形电介质膜沉积
CN103243310B (zh) 在衬底表面上的等离子体激活的保形膜沉积的方法
KR102145694B1 (ko) 플라즈마 활성화된 등각 막 성막을 위한 전구체들
US10043655B2 (en) Plasma activated conformal dielectric film deposition
KR101762978B1 (ko) 플라즈마-활성화 컨포멀 막 증착
US20160293398A1 (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
CN107799390A (zh) 用于半导体图案化应用的高干法蚀刻速率材料
US20230307290A1 (en) Reducing intralevel capacitance in semiconductor devices

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant