KR101762978B1 - 플라즈마-활성화 컨포멀 막 증착 - Google Patents

플라즈마-활성화 컨포멀 막 증착 Download PDF

Info

Publication number
KR101762978B1
KR101762978B1 KR1020127004925A KR20127004925A KR101762978B1 KR 101762978 B1 KR101762978 B1 KR 101762978B1 KR 1020127004925 A KR1020127004925 A KR 1020127004925A KR 20127004925 A KR20127004925 A KR 20127004925A KR 101762978 B1 KR101762978 B1 KR 101762978B1
Authority
KR
South Korea
Prior art keywords
reactant
plasma
film
reaction
substrate
Prior art date
Application number
KR1020127004925A
Other languages
English (en)
Other versions
KR20130062256A (ko
Inventor
아드리언 라보이에
샹카 스와미나탄
후 캉
라메쉬 찬드라세카란
톰 도르시
데니스 엠. 하우스만
존 헨리
토마스 주얼
밍 리
브라이언 쉬리프
안토니아 자비에르
토마스 더블유. 마운트시에르
바트 제이. 반 슈라벤디지크
이스와르 스리니바산
만디암 스리람
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20130062256A publication Critical patent/KR20130062256A/ko
Application granted granted Critical
Publication of KR101762978B1 publication Critical patent/KR101762978B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)

Abstract

기판 표면 상에 막 증착 방법은, 반응물 흡착 및 반응으로 구성되는 하나 이상의 사이클에 걸쳐 막이 성장하는, 표면 매개 반응을 포함한다. 일 형태에서, 상기 방법은, (a) 기판 표면에 제 1 반응물을 노출시킬 수 있는 조건 하에서 증기 상태의 제 1 반응물에 기판 표면을 노출시키는 단계와, (b) 상기 기판 표면 상에 제 1 반응물이 흡착될 때, 증기 상태의 제 2 반응물에 기판 표면을 노출시키는 단계와, (c) 막 형성을 위해 기판 표면에 흡착되는 제 1 반응물 및 제 2 반응물 사이의 반응을 유도하도록 플라즈마에 기판 표면을 노출시키는 단계를 포함하는 것을 특징으로 한다.

Description

플라즈마-활성화 컨포멀 막 증착 {PLASMA ACTIVATED CONFORMAL FILM DEPOSITION}
관련 출원의 상호 참조
본 출원은 2010년 4월 15일자 미국특허가출원 제61/324,710호, 2010년 8월 10일자 미국특허가출원 제61/372,367호, 2010년 9월 1일자 미국특허가출원 제61/379,081호, 2010년 11월 29일자 미국특허가출원 제61/417,807호, 및 2011년 4월 11일자 미국특허출원 제13/084,399호에 기초하여 우선권을 주장하며, 그 내용은 본 발명에 포함된다.
반도체 소자용의 다양한 박막층들이 원자층 증착(ALD: Atomic Layer Deposition) 프로세스를 이용하여 증착될 수 있다. 일부 조건 하에서, 일부 ALD 프로세스는 웨이퍼를 포화시키지 못하여, 웨이퍼 상에서 불완전한 막 증착, 막 고립화(film islanding), 및 막 두께 변화를 야기할 수 있다. 불완전한 막 증착을 취급하기 위한 일부 방식은 막 전구체로 웨이퍼 표면을 포화시키기 위해 더 긴 투여 시간을 포함할 수 있다. 그러나, 투여 연장은 막 핵생성 단계 중 가치있는 전구체를 허비할 수 있다. 처리 시간 연장의 추가적인 결과는 프로세스 툴 처리량을 감소시킬 수 있어서, 생산 라인 지원을 위해 추가적인 프로세스 툴의 설치 및 관리를 요구한다는 것이다. 더욱이, 이러한 방식에 의해 생성되는 막은 부적절한 소자 성능을 제공하는 물리적, 화학적, 또는 전기적 특성을 가질 수 있다.
여기서 개시되는 다양한 형태는 기판 표면 상에 막을 증착하는 방법에 관한 것이다. 이러한 방법은 복수 사이클의 반응물 흡착 및 반응에 걸쳐 막이 성장하는 표면 매개 반응을 포함하는 것이 일반적이다. 이러한 일 형태에서, 상기 방법은 (a) 기판 표면에 제 1 반응물을 흡착시키는 조건 하에서 증기 상태로 제 1 반응물에 기판 표면을 노출시키는 단계와, (b) 제1 반응물이 기판 표면에 흡착될 때 증기 상태로 제 2 반응물에 기판 표면을 노출시키는 단계와, (c) 막 형성을 위해 기판 표면에 흡착되는 제 1 반응물 및 제 2 반응물 사이에서 반응을 도출하기 위해 플라즈마에 기판 표면을 노출시키는 단계를 포함하는 것을 특징으로 한다. 이 방법에서, 제 1 반응물은 기판에 연속적으로 유입되고, 제 2 반응물은 기판에 간헐적으로 유입된다. 따라서, 예를 들어, 제 1 반응물은 (b) 단계 중 기판 표면에 유입되지만, 제 2 반응물은 (a) 단계 중 기판 표면에 유입되지 않는다. 일부 경우에, 제 1 반응물은 연속적으로, 그러나, 일정하지 않은 속도로 유입된다. 예를 들어, 제 1 반응물은 제 2 반응물의 간헐적 공급 중 감소된 유량(flow rate)으로 유입될 수 있다. 추가적으로, 제 2 반응물은 (b) 단계 중 일정하지 않은 유량으로 기판 표면에 유입될 수 있다.
일부 구현예에서, 방법은 (c) 단계 이전에 증기 상태로 제 2 반응물을 스윕(sweep)하기 위한 스윕 단계를 또한 포함한다. 추가적인 예에서, 스윕 단계 이후 (c) 단계 이전에, 기판 표면이 제 2 반응물에 다시 노출된다.
다른 형태에서, 방법은 (a) 반응 챔버 내에 기판을 제공하는 단계와, (b) 제 1 반응물이 기판 표면에 흡착될 수 있도록 하는 조건 하에서 상기 반응 챔버 내로 증기 상태의 제 1 반응물을 유입시키는 단계와, (c) 상기 제 1 반응물이 상기 기판 표면 상에 흡착될 때, 상기 반응 챔버 내로 증기 상태로 제 2 반응물을 유입시키는 단계와, (d) 막 형성을 위해 상기 기판 표면 상에서 상기 제 1 반응물과 제 2 반응물 사이의 반응을 유도하도록 플라즈마에 상기 기판 표면을 노출시키는 단계를 포함한다. 이 방법에서, 상기 제 2 반응물은 상기 반응 챔버로부터 상기 제 1 반응물을 스윕(sweep)하지 않으면서 유입된다.
다른 형태에서, 방법은 (a) 반응 챔버에 기판을 제공하는 단계와, (b) 제 1 반응물을 기판 표면에 흡착시키는 조건 하에서 반응 챔버 내로 증기 상태의 제 1 반응물을 유입시키는 단계와, (c) 상기 제 1 반응물이 기판 표면에 흡착될 때 상기 반응 챔버 내로 증기 상태의 제 2 반응물을 유입시키는 단계와, (d) 막 형성을 위해 기판 표면 상의 제 1 및 제 2 반응물 사이에서 반응을 유도하도록 플라즈마에 기판 표면을 노출시키는 단계와, (e) 막 형성을 위해 제 1 및 제 2 반응물 사이의 반응 이후, 상기 막의 적어도 하나의 성질을 개질하도록 상기 막을 플라즈마 처리하는 단계를 포함한다. 일례로서, 단계 (e)에서 플라즈마 처리에 의해 개질되는 증착되는 막의 성질은 내부 막 응력, 식각 내성, 밀도, 경도, 광학적 성질, 유전 상수, 탄소 함량, 또는 전기적 성질이다. 구체적 실시예에서, 단계 (e)의 플라즈마 처리는 막의 조성을 변화시킨다. 이는 예를 들어, 막으로부터 오염물을 제거함으로써 행하여질 수 있다. 반드시는 아니지만 자주 나타나는 경우로서, 플라즈마 처리 작업은 기판 표면 상의 제 1 반응물 및 제 2 반응물 사이의 반응을 유도하는데 이용되는 플라즈마 조건과는 다른 플라즈마 조건 하에 수행될 수 있다. 개시되는 형태의 플라즈마 처리는 다양한 프로세스 단계에서 수행될 수 있다. 예를 들어, 프로세스는 단계 (e) 수행 이전에 단계 (b)-(d)를 적어도 한 번 이상 반복하는 방식으로 수행될 수 있다. 추가적으로, 이 방법은 단계 (d) 이후 단계 (e) 이전에 반응 챔버를 스윕하는 단계를 포함할 수 있다.
또 다른 형태는 2개의 단계, 즉, 표면 매개 반응에 의해, 그리고, 그 후, 화학적 기상 증착에 의해, 막을 증착하는 방식을 포함한다. 이 형태는 (a) 반응 챔버 내에 기판을 제공하는 단계와, (b) 제 1 반응물을 기판 표면에 흡착시키는 조건 하에서 반응 챔버 내로 제 1 반응물을 유입시키는 단계와, (c) 제 1 반응물이 기판 표면 상에 흡착될 때 반응 챔버 내로 증기 상태의 제 2 반응물을 유입시키는 단계와, (d) 막의 일부분 형성을 위해 기판 표면 상에 제 1 반응물 및 제 2 반응물 사이의 반응을 유도하기 위해 플라즈마에 기판 표면을 노출시키는 단계와, (e) 화학적 기상 증착 프로세스(가령, 플라즈마-강화 화학적 기상 증착)에 의해 막의 일부분 바로 위에 추가 막을 증착하는 단계를 포함한다. 일반적으로, 제 2 반응물의 전달은 (d) 단계 이전에 종료되어, 기판을 플라즈마에 노출시킨다.
개시되는 2-단계 방법은 단계 (d) 이후 단계 (e) 이전에 전이 단계의 수행을 포함할 수 있다. 전이 단계 중, CVD 기체 상태 반응이 흡착된 제 1 및 제 2 반응물의 표면 반응과 동시에 이루어져서, 기판 표면 상에 추가 막을 증착하게 된다. 전이 단계는 플라즈마 에너지의 간헐적 펄스를 제공함으로써 동반될 수 있다. 소정의 실시예에서, 제 2 반응물은 단계 (c) 중 제 1 유량으로 반응 챔버에 제공되고, 전이 단계 중 제 2 유량으로 반응 챔버에 제공되며, 제 2 유량은 제 1 유량보다 작다. 반드시는 아니지만 일반적으로, 방법은 단계 (c) 수행 이전에 단계 (b)-(d)의 반복을 포함한다. 더욱이, 일부 실시예에서, 방법은 단계 (e) 이후 단계 (b)-(d)의 반복을 포함한다.
다른 형태에서, 방법은, (a) 오목한 특징부를 구비한 기판을 반응 챔버 내에 제공하는 단계와, (b) 상기 제 1 반응물을 기판 표면에 흡착시키는 조건 하에서 반응 챔버 내로 증기 상태의 제 1 반응물을 유입시키는 단계와, (c) 제 1 반응물이 기판 표면 상에 흡착될 때 반응 챔버 내로 증기 상태의 제 2 반응물을 유입시키는 단계와, (d) 막의 일부분 형성을 위해 기판 표면 상의 제 1 및 제 2 반응물 사이에서 반응을 유도하도록 플라즈마에 기판 표면을 노출시키는 단계와, (e) 오목한 특징부의 입구에서 막을 식각하는 단계와, (f) 식각된 막 상에 막의 추가 부분을 증착하는 단계를 포함한다. 소정의 실시예에서, 단계 (f)는 단계 (b)-(d)의 반복을 포함한다. 더욱이, 일부 경우에, 단계 (e)는 오목한 특징부의 입구에서 막을 선택적으로 식각하는 단계를 포함한다. 선택적인 식각은 원격 플라즈마로 생성된 라디칼로 특징부를 노출시키는 단계를 포함할 수 있다.
추가적인 형태에서, 방법은 (a) 반응 챔버 내에 기판을 제공하는 단계와, (b) 제 1 반응물을 기판 표면에 흡착시키는 조건 하에서 반응 챔버 내로 증기 상태의 제 1 반응물을 유입시키는 단계와, (c) 제 1 반응물이 기판 표면 상에 흡착될 때 반응 챔버 내로 증기 상태의 제 2 반응물을 유입시키는 단계와, (d) 막의 일부분을 형성하도록 기판 표면 상에 제 1 및 제 2 반응물 사이의 반응을 유도하도록 플라즈마에 기판 표면을 노출시키는 단계와, (e) 단계 (b)-(d)를 적어도 한번 이상 반복하는 단계와, (f) 제 3 반응물을 기판 표면에 흡착시킬 수 있는 조건 하에서 단계 (b)-(e) 중 유입되지 않은 제 3 반응물을 반응 챔버 내로 유입시키는 단계와, (g) 상기 막에 대한 도펀트를 생성하기 위해 제 3 반응물과 반응하는 단계와, (h) 단계 (b)-(d)를 반복하는 단계를 포함한다.
다른 형태는 증착 사이클 중 제 2 반응물이 펄스로 전달되는 방법을 포함한다. 이러한 방법은, (a) 기판을 반응 챔버 내에 제공하는 단계와, (b) 제1 반응물을 기판 표면에 흡착시킬 수 있는 조건 하에서 반응 챔버 내로 증기 상태의 제 1 반응물을 유입시키는 단계와, (c) 제 1 반응물이 기판 표면 상에 흡착될 때 반응 챔버 내로 증기 상태의 제 2 반응물을 유입시키는 단계와, (d) 단계 (c) 이후, 반응 챔버로부터 제 2 반응물을 스윕하는 단계와, (e) 단계 (d) 이후, 제 1 반응물이 기판 표면 상에 흡착될 때 반응 챔버 내로 증기 상태의 제 2 반응물을 유입시키는 단계와, (f) 막의 일부분을 형성하도록 기판 표면 상의 제 1 및 제 2 반응물 사이의 반응을 유도하도록 플라즈마에 기판 표면을 노출시키는 단계를 포함한다.
상술한 방버 형태는 서로 다른 여러가지 방식으로 구현될 수 있다. 예를 들어, 위 방법들에 사용되는 제 1 반응물이 "보조 반응물"일 수 있다. 보조 반응물은 예를 들어, 금속이나 반도체 원소를 함유하지 않은 반응물일 수 있다. 더욱이, 제 1 및 제 2 반응물은 증기 상태에서 서로 반응하지 않도록 선택될 수 있다. 따라서, 제 1 및 제 2 반응물은 증기 상태로 공존할 수 있고, 플라즈마에 노출될 때까지 서로 반응하지 않는다. 이는 반응물들 중 적어도 하나가 기체 상태에서 기판에 노출될 때 플라즈마가 점화되게 한다. 일반적으로, 증착 사이클에서 생성되는 막의 양은 기판 표면 상에 흡착되는 제 1 및 제 2 반응물의 양에 의해 제한된다. 일부 증착 프로세스에서, 사이클은 제 3 반응물이 기판 표면 상에 흡착될 수 있는 조건 하에서 반응 챔버 내로 제 3 반응물을 유입시키는 단계를 또한 포함한다. 공급된 플라즈마는 그 후 제 1, 2, 3 반응물 사이의 반응을 유도한다.
상기 형태에서, 증착되는 막은 저유전율(low-k) 유전층과 같은 유전 막일 수 있다. 더욱이, 막은 예를 들어, 얕은 트렌치 고립, 실리콘 관통 비아 라이너, 층간 유전체, 게이트 스페이서, 또는 금속간 유전체를 형성하는 컨포멀 구조(conformal structure)일 수 있다. 대안으로서, 막은 반사 방지층일 수 있다.
앞선 방법 형태의 설명에서, 제 1 및 제 2 반응물 사이의 반응은 플라즈마 활성화를 이용하여 수행된다. 다른 활성화 소스가 이용될 수도 있다. 예를 들어, 다양한 파장의 전자기 복사(가령, 자외선 복사 포함), 열에너지, 전자 빔이 이용될 수 있다.
여기서 개시되는 다양한 형태는 기판 표면 상에 막의 증착 장치에 관계된다. 이러한 장치는, 막이 복수 사이클의 반응물 흡착 및 반응에 걸쳐 성장하는, 표면 매개 반응을 촉진시킨다. 일 형태에서, 장치는 다음의 특징부 - (1) 반응 챔버, (2) 반응 챔버에 기체 상태 반응물을 전달하기 위한 유입 포트, (3) 반응 챔버에 플라즈마를 제공하기 위한 플라즈마 발생기, 및 (4) 컨트롤러를 포함한다. 컨트롤러는, (a) 기판 표면에 제 1 반응물을 흡착시키는 조건 하에서 반응 챔버 내로 증기 상태의 제 1 반응물을 유입시키는 단계와, (b) 제 1 반응물이 기판에 연속적으로 유입되고 제 2 반응물이 기판에 간헐적으로 유입되도록, 제 1 반응물이 기판 표면 상에 흡착될 때 반응 챔버 내로 증기 상태의 제 2 반응물을 유입시키는 단계와, (c) 막 형성을 위해 기판 표면에 흡착되는 제 1 및 제 2 반응물 사이의 반응을 유도하도록, 반응 챔버에 플라즈마를 제공하는 단계를 수행하기 위한 명령을 포함한다.
소정의 실시예에서, 반응 챔버 내로 제 1 반응물을 유입시키는 단계를 수행하기 위한 명령은, 연속적으로, 그러나 일정하지 않은 속도로 제 1 반응물을 유입시키기 위한 명령을 포함한다. 더욱이, 반응 챔버 내로 제 1 반응물을 유입시키기 위한 명령은, 제 2 반응물의 간헐적 전달 중 감속된 유량으로 제 1 반응물을 유입시키는 명령을 포함할 수 있다. 일부 실시예에서, 반응 챔버 내로 제 2 반응물을 유입시키기 위한 명령은, 일정하지 않은 유량으로 제 2 반응물을 기판 표면에 유입시키기 위한 명령을 포함한다. 더욱이, 컨트롤러는 단계 (c) 이전에 증기 상태의 제 2 반응물을 스윕하기 위한 스윕 상태를 수행하기 위한 명령을 또한 포함할 수 있다. 이러한 경우에, 스윕 단계 이후, 그러나, 단계 (c) 이전에, 제 2 반응물을 유입시키기 위한 명령을 포함할 수 있다.
다른 형태에서, 장치는 적어도 하나의 반응물의 전달 후 어떤 스윕도 실행되지 않도록 구성된다. 이 형태에서, 관련 장치는 반응 챔버, 유입 포트, 플라즈마 발생기, 및 컨트롤러를 포함하며, 상기 컨트롤러는, (a) 반응 챔버 내에 홀딩되는 기판 표면에 제 1 반응물을 흡착시킬 수 있는 조건 하에서 반응 챔버 내로 증기 상태의 제 1 반응물을 유입시키는 단계와, (b) 반응 챔버로부터 제 1 반응물을 스윕하지 않으면서 제 2 반응물이 유입되도록, 기판 표면 상에 제 1 반응물이 흡착될 때 반응 챔버 내로 증기 상태의 제 2 반응물을 유입시키는 단계와, (c) 막 형성을 위해 기판 표면에 제 1 및 제 2 반응물 사이의 반응을 유도하도록 플라즈마에 기판 표면을 노출시키는 단계를 수행하기 위한 명령을 포함한다.
또 다른 형태는 증착되는 막의 적어도 하나의 성질을 개질하도록 플라즈마 처리를 수행하기 위한 장치에 관련된다. 이러한 장치는 반응 챔버, 유입 포트, 플라즈마 발생기, 및 컨트롤러를 포함할 수 있고, 상기 컨트롤러는, (a) 기판 표면에 제 1 반응물을 흡착시킬 수 있는 조건 하에서 반응 챔버 내로 증기 상태의 제 1 반응물을 유입시키는 단계와, (b) 제 1 반응물이 기판 표면에 흡착될 때 반응 챔버 내로 증기 상태의 제 2 반응물을 유입시키는 단계와, (c) 막 형성을 위해 제 1 반응물 및 제 2 반응물 사이의 반응을 유도하도록 플라즈마에 기판 표면을 노출시키는 단계와, (d) 막 형성을 위해 제 1 반응물 및 제 2 반응물 사이의 반응 이후, 막의 적어도 하나의 성질을 개질하도록 막을 플라즈마 처리하는 단계를 수행하기 위한 명령을 포함한다.
일부 경우에, 증착되는 막을 플라즈마 처리하기 위한 명령은, 기판 표면 상의 제 1 및 제 2 반응물 사이의 반응을 유도하기 위한 명령에 명시된 플라즈마 조건과는 다른 조건 하에서 플라즈마 처리를 수행하기 위한 명령을 포함한다. 일부 예에서, 컨트롤러는 단계 (d) 수행 이전에 단계 (a)-(c)를 적어도 한번 이상 반복하기 위한 명령을 더 포함할 수 있다. 또 다른 예에서, 컨트롤러는 단계 (c) 이후 단계 (d) 이전에 반응 챔버를 스윕하기 위한 명령을 더 포함할 수 있다.
각각의 상술한 장치 실시에는 서로 다른 여러 가지 방식으로 구현될 수 있다. 예를 들어, 컨트롤러는 제 1 및 제 2 반응물의 유동 사이클과, 기판 표면 상에서 흡착되는 제 1 및 제 2 반응물 사이의 반응을 유도하기 위한 플라즈마 제공을 반복적으로 수행하기 위한 루프 명령을 추가적으로 포함할 수 있다. 추가적인 예로서, 컨트롤러는 반응 챔버에 기판을 제공하기 위한 명령을 포함할 수 있다. 또 다른 예에서, 컨트롤러는 기판 표면 상에 추가 막을 증착하기 위해 화학적 기상 증착을 수행하기 위한 명령을 포함할 수 있다. 다른 예로서, 컨트롤러는 단계 (c) 이전에, 기판 표면에 제 3 반응물을 흡착시킬 수 있는 조건 하에서 반응 챔버 내로 제 3 반응물을 유입시키기 위한 명령을 포함할 수 있다. 다양한 실시예에서, 장치는 증착되는 막을 부분적으로 식각하도록 구성된다. 이러한 일부 경우에, 컨트롤러는 (d) 오목한 특징부의 입구에서 막을 식각하는 단계와 (e) 식각되는 막 상에 추가 막을 증착하는 단계를 위한 명령을 더 포함할 수 있다.
구조적으로, 반응 챔버는 고유 기판 홀더를 각각 갖는 복수의 스테이션을 포함할 수 있다. 별도로, 장치는 유입 포트에 연결된 혼합 용기(mixing bowl)를 포함할 수 있고, 혼합 용기는 유입 포트를 통해 반응 챔버에 전달되기 전에 2개 이상의 프로세스 기체를 혼합하도록 구성된다. 더욱이, 장치는 유입 포트에 연결된 분리관을 포함할 수 있다. 분기관(split manifold)은 증착 프로세스의 제 1 부분 동안 제 1 유전막을 형성하기 위해 제 1 전구체를 제공하도록, 그리고, 증착 프로세스의 제 2 부분동안 제 2 유전막을 형성하기 위해 제 2 전구체를 제공하도록 구성된다.
장치의 플라즈마 발생 특징은 다양한 전용 구조를 포함할 수 있다. 예를 들어, 컨트롤러는 반응물 중 적어도 하나가 기체 상태에서 기판 표면에 노출되고 있을 때 플라즈마를 점화하기 위한 명령을 포함할 수 있다. 다른 예로서, 컨트롤러는 광학적 방사 분광 센서 또는 전류 전압 프로브를 이용하여 플라즈마를 모니터링하기 위한 명령을 더 포함한다. 다른 예로서, 반응 챔버 내에 플라즈마를 제공하기 위한 명령은, 플라즈마 발생기의 주파수를 부동시키기 위한 명령을 포함할 수 있다.
이러한 특징 및 그외 다른 특징들이 관련 도면을 참조하여 아래에서 상세하게 설명될 것이다.
도 1은 본 발명의 일 실시예에 따른 일례의 컨포멀 막 증착(CFD: Conformal Film Deposition)의 타이밍도를 개략적으로 도시한다.
도 2는 본 발명의 일 실시예에 따른 다른 일례의 CFD 프로세스의 타이밍도를 개략적으로 도시한다.
도 3은 본 발명의 일 실시예에 따른 다른 일례의 CFD 프로세스의 타이밍도를 개략적으로 도시한다.
도 4는 본 발명의 일 실시예에 따른 플라즈마 처리 사이클을 포함한 일례의 CFD 프로세스의 타이밍도를 개략적으로 도시한다.
도 5는 본 발명의 일 실시예에 따라 증착되는 막에 대한 습식 식각 속도비(WERR) 및 증착 온도 사이의 일례의 상관 관계를 도시한다.
도 6은 본 발명의 일 실시예에 따라 증착되는 막에 대한 습식 식각 속도 비 및 막 응력 사이의 일례의 상관 관계를 도시한다.
도 7은 본 발명의 일 실시예에 따라 증착되는 막에 대한 막 오염물 농도 및 증착 온도 사이의 일례의 상관 관계를 도시한다.
도 8은 복수의 갭을 포함하는 비-평면형 기판의 일례의 단면을 개략적으로 도시한다.
도 9는 본 발명의 일 실시예에 따라 PECVD 프로세스로 전환을 포함하는 일례의 CFD 프로세스의 타이밍도를 개략적으로 도시한다.
도 10은 키홀 보이드(keyhole void)를 포함하는 갭 충전부의 일례의 단면을 개략적으로 도시한다.
도 11은 본 발명의 일 실시예에 따른 동-위치 식각을 포함한 일례의 CFD 프로세스의 타이밍도를 개략적으로 도시한다.
도 12A는 오목한(re-entrant) 갭 충전 프로파일의 일례의 단면을 개략적으로 도시한다.
도 12B는 본 발명의 일 실시예에 따른, 동-위치 식각 프로세스 중 도 12A의 오목한 갭 충전 프로파일의 일례의 단면도를 개략적으로 도시한다.
도 12C는 본 발명의 일 실시예에 따른, 동-위치 식각 프로세스 이후 증착 프로세스 중 도 12B의 갭 충전 프로파일의 일례의 단면을 개략적으로 도시한다.
도 13은 본 발명의 일 실시예에 따른 일례의 프로세스 스테이션을 개략적으로 도시한다.
도 14는 본 발명의 일 실시예에 따른 혼합 용기 및 복수의 혼합 용기 유출 밸브를 포함하는 일례의 반응물 분배 시스템의 사시도를 도시한다.
도 15는 도 14에 도시되는 반응물 분배 시스템 실시예의 측면도를 도시한다.
도 16은 본 발명의 일 실시예에 따른 일례의 반응물 분배 시스템에 대한 일례의 타이밍도를 도시한다.
도 17은 반응물 공급 시스템에 대한 일례의 트래블(travel) 및 스윕(sweep) 시간 지연을 개략적으로 도시한다.
도 18은 본 발명의 일 실시예에 따른, 프로세스 스테이션의 마이크로볼륨에 대한 일례의 스커트 덮개를 개략적으로 도시한다.
도 19는 본 발명의 일 실시예에 따른 프로세스 스테이션에 대한 복수의 마이크로볼륨을 포함한, 일례의 샤워헤드를 개략적으로 도시한다.
도 20은 본 발명의 일 실시예에 따라 프로세스 스테이션에 포함된 복수의 마이크로볼륨의 다른 예를 개략적으로 도시한다.
도 21은 본 발명의 일 실시예에 따라 다양한 압력에서 듀얼-존 샤워헤드의 스윕 시간 및 스윕 유량 사이의 일례의 상관 관계를 개략적으로 도시한다.
도 22는 본 발명의 일 실시예에 따른 플라즈마 개시를 위한 신호 강도 및 시간 사이의 일례의 상관 관계를 개략적으로 도시한다.
도 23은 본 발명의 일 실시예에 따른, 플라즈마 개시 제어를 위한 신호 강도 및 시간 사이의 다른 일례의 상관 관계를 개략적으로 도시한다.
도 24는 본 발명의 일 실시예에 따른, 복수의 프로세스 스테이션 및 하나의 컨트롤러를 포함하는 일례의 프로세스 툴을 개략적으로 도시한다.
도 25는 본 발명의 일 실시예에 따른, 동-위치 식각을 포함한 CFD 프로세스 중 실리콘 관통 비아의 일례의 단면도를 개략적으로 도시한다.
도 26은 본 발명의 일 실시예에 따라 증착되는 갭 충전부를 포함하는 비-평면형 기판의 일례의 단면을 개략적으로 도시한다.
도 27은 본 발명의 일 실시예에 따른 PECVD로의 전환을 포함한 일례의 CFD 프로세스의 다른 타이밍도를 개략적으로 도시한다.
도 28은 본 발명의 일 실시예에 따른, PECVD 프로세스로의 동-위치 전환을 포함한, 2개의 일례의 CFD 프로세스에 의해 처리되는 비-평면형 기판의 주사 전자 현미경(SEM)를 도시하고, 일례의 증착 속도 상관 관계를 묘사하는 그래프를 또한 도시한다.
도 29는 본 발명의 일 실시예에 따른, 프로세스 스테이션에 대한 반응물 B의 공급 중단과, 플라즈마 활성화 사이의 양의 지속 시간을 갖는 스윕 단계를 포함하며, 동시적 PECVD 및 CFD 증착 프로세스를 포함하는 다른 일례의 CFD 프로세스에 대한 타이밍도를 개략적으로 도시한다.
도 30은 본 발명의 일 실시예에 따른 반응물 B의 공급 중단과 플라즈마 활성화 사이의 스윕 단계를 배제하고, 동시적 PECVD 및 CFD 증착 프로세스를 포함하는 다른 일례의 CFD 프로세스에 대한 타이밍도를 개략적으로 도시한다.
도 31은 본 발명의 일 실시예에 따른 프로세스 스테이션에 대한 반응물 B의 공급과 플라즈마 활성화 사이의 오버랩을 포함하고, 동시적 PECVD 및 CFD 증착 프로세스를 포함하는 다른 일례의 CFD 프로세스에 대한 타이밍도를 개략적으로 도시한다.
반도체 소자의 제조는 통합 제조 공정으로 하나 이상의 박막을 비-평판형 기판(non-planar substrate)에 증착하는 단계를 포함하는 것이 일반적이다. 통합 공정의 일부 형태에서, 기판 토포그래피에 컨포멀한(conform) 박막을 증착하는 것이 유용할 수 있다. 예를 들어, 실리콘 질화물 막이 상승된 게이트 스택의 상부에 증착되어, 저농도 도핑된(lightly-doped) 소스 및 드레인 영역을 뒤 이은 이온 주입 공정으로부터 보호하기 위한 스페이서 층으로 기능할 수 있다.
스페이서 층 증착 공정에서, 화학적 기상 증착(CVD) 공정을 사용해, 비-평판형 기판에 실리콘 질화물 막을 형성할 수 있고, 그 후, 상기 막은 이방성 식각되어, 스페이서 구조물을 형성할 수 있다. 그러나 게이트 스택들 간 거리가 감소할수록, CVD 기상 반응의 물질 이동 한계가 "브레드-로핑(bread-loafing)" 증착 효과를 초래할 수 있다. 이러한 효과는 게이트 스택의 상부 표면에 두껍게 증착되고, 게이트 스택의 하부 모서리에 얇게 증착되는 것을 보인다. 덧붙여, 일부 다이가, 상이한 소자 밀도의 영역을 가질 수 있기 때문에, 웨이퍼 표면을 횡단하는 물질 이동이, 다이 내 막 두께의 편차 및 웨이퍼 내 막 두께의 편차를 초래할 수 있다. 이러한 두께 편차는 일부 영역의 과도 식각(over etch)과 또 다른 영역의 식각 부족(under etch)을 야기할 수 있다. 이로 인해, 소자 성능 및/또는 다이 수율이 저하될 수 있다.
이러한 문제를 해결하기 위한 일부 방식은 원자 층 증착(ALD)을 포함한다. 막을 증착하기 위해 열 활성화 기체 상태 반응이 이용되는 CVD 공정과 달리, ALD 공정은 층 단위로 막을 증착하기 위해 표면 매개 증착 반응(surface-mediated deposition reaction)을 이용한다. 하나의 예시적 ALD 공정에서, 복수의 표면 활성 사이트를 포함하는 기판 표면이 기체 상태로 분포된 제 1 막 전구체(film precursor)(P1)에 노출된다. P1의 일부 분자가 기판 표면 위에, P1의 화학흡착 종과 물리흡착 분자를 포함하는 응축상을 형성할 수 있다. 그 후, 기체 상태 및 물리흡착 P1를 제거하여 화학흡착 종만 남도록, 반응기가 배기된다. 그 후, 제 2 막 전구체(P2)가 반응기로 도입되어, P2의 일부 분자가 기판 표면에 흡착된다. 이 시점에서, 상기 반응기는 다시 배기될 수 있으며, 결합되지 않은 P2를 제거할 수 있다. 그 후, 기판으로 제공되는 열 에너지가 P1과 P2의 흡착된 분자들 간 표면 반응을 활성화시켜, 막 층을 형성할 수 있다. 마지막으로, 반응기가 배기되어, 반응 부산물을 제거하고, 아마도, 반응하지 않은 P1 및 P2도 제거하여, ALD 사이클을 완료한다. 막 두께를 얻기 위해, 추가적인 ALD 사이클이 포함될 수 있다.
전구체 투여 단계의 노출 시간과 전구체의 부착 계수(sticking coefficient)에 따라, 한 예에서, 각각의 ALD 사이클이 0.5 내지 3 옹스트롬 두께의 막 층을 증착할 수 있다. 따라서 막을 수 나노미터 이상의 두께로 증착할 때, ALD 공정은 시간 소모적일 수 있다. 덧붙여, 컨포멀 막(conformal film)을 증착하기 위해 일부 전구체는 긴 노출 시간을 가질 수 있으며, 이는 또한 웨이퍼 처리량 시간을 감소시킬 수 있다.
또한 컨포멀 막이 평판형 기판에 증착될 수 있다. 예를 들어, 리소그래피 패터닝 적용을 위한 반사방지 층이, 교대하는 막 유형을 포함하는 평판형 스택에서 형성될 수 있다. 이러한 반사방지 층은 약 100 내지 1000옹스트롬 두께를 가질 수 있는데, 이는 ALD 공정을 CVD 공정에 비해 덜 매력적으로 만든다. 그러나 이러한 반사방지 층은, 많은 CVD 공정이 제공할 수 있는 것보다, 웨이퍼내 두께 편차에 대해 더 낮은 허용오차를 가질 수 있다. 예를 들어, 600옹스트롬 두께의 반사방지 층의 허용오차는 3옹스트롬 이하의 두께 범위일 수 있다.
따라서 본원에서, 비-평판형 기판과 평판형 기판 상에 이뤄지는 플라즈마-활성화(plasma-activated) 컨포멀 막 증착(CFD)을 위한 공정 및 기기를 제공하는 다양한 실시예가 제공된다. 이들 실시예는, 모든 CFD 공정은 아닌 일부 CFD 공정에서 사용되는 다양한 특징을 포함한다. 이들 특징의 예로는, (1) 반응 챔버로부터 하나 또는 둘 모두의 반응물을 "스윕(sweep)"하기 위해 필요한 시간을 생략하거나 단축하기, (2) 상이한 반응물들이 반응 챔버로 간헐적으로 흘러들어오는 동안 적어도 하나의 반응물의 연속적인 흐름(continuous flow)을 제공하기, (3) 반응 챔버에서 모든 반응물이 제거될 때보다는, 반응물들 중 하나가 기체 상태인 동안, 플라즈마를 점화하기, (4) 증착된 CFD 막의 속성을 개질하기 위해 상기 막을 플라즈마로 처리하기, (5) 일반적으로 동일한 반응 챔버에서, CFD에 의해 막의 첫 부분을 증착한 후, PECVD에 의해 막의 일부분을 증착하기, (6) CFD 스테이지들 사이에서 부분 증착된 막을 식각하기, 및 (7) 도펀트 전달 사이클들 사이에 막만 증착(film only deposition) 사이클을 끼워 넣음으로써, CFD 막을 도핑하기가 있다. 물론, 이 리스트는 모든 것을 망라한 것은 아니다. 본 명세서의 나머지 부분을 고려할 때, 그 밖의 다른 다양한 CFD 특징이 명백할 것이다.
CFD "사이클"의 개념은 본원의 다양한 실시예의 설명과 관련이 있다. 일반적으로 사이클은, 표면 증착 반응을 1회 수행할 때 요구되는 작업들의 최소 세트이다. 1회 사이클 결과, 기판 표면 상에 적어도 부분 막 층이 생성된다. 통상적으로, CFD 사이클은 각각의 반응물을 기판 표면으로 전달 및 흡착시키고, 그 후 이들 흡착된 반응물을 반응시켜 부분 막 층을 형성하는 데 필요한 단계들만 포함할 것이다. 물론, 사이클은 특정 보조 단계, 가령, 반응물 또는 부산물을 스윕(sweep)하는 단계, 및/또는 증착된 상태(as deposited)의 부분 막을 처리하는 단계를 포함할 수 있다. 일반적으로, 사이클은 작업들로 구성된 고유한 하나의 시퀀스를 포함한다. 예를 들면, 사이클은, (ⅰ) 반응물 A를 전달/흡착하는 동작, (ⅱ) 반응물 B를 전달/흡착하는 동작, (ⅲ) 반응 챔버 밖으로 B를 스윕하는 동작, 및 (ⅳ) A와 B의 표면 반응을 일으켜, 표면에 부분 막 층을 형성하도록 플라즈마를 인가하는 동작을 포함할 수 있다.
앞서 언급된 7가지 특징이 이하에서 더 설명될 것이다. 다음의 기재에서, 하나 이상의 반응물이 기판 표면에 흡착되고 플라즈마와의 상호작용에 의해 표면상에 막을 형성하도록 반응하는 CFD 반응을 고려한다.
특징 1 (반응물의 연속 흐름) - 종래의 ALD라면 일반적으로 반응물이 흐르지 않을 CFD 사이클의 하나 이상의 부분 동안, 반응물 A가 반응 챔버로 계속 흘러들어간다. 종래의 ALD에서, 반응물 A는 반응물이 기판 표면에 흡착되게 하려는 목적으로만 흐른다. ALD 사이클의 또 다른 단계에서, 반응물 A는 흐르지 않는다. 그러나 본원에 기재된 특정 CFD 실시예에 따르면, 반응물 A는, 자신의 흡착과 연계된 단계 동안뿐 아니라, A의 흡착이 아닌 동작을 수행하는 CFD 사이클의 단계 동안에도 흐른다. 예를 들어, 많은 실시예에서, 장치가 두 번째 반응물(여기서, 반응물 B)을 투여하는 동안 반응물 A가 반응기 내로 흐른다. 따라서 CFD 사이클의 적어도 일부분 동안, 반응물 A와 B가 기체 상태로 공존한다. 또한, 플라즈마가 기판 표면에서의 반응을 일으키도록 인가되는 동안, 반응물 A가 흐를 수 있다. 연속적으로 흐르는 반응물이 운반 기체(가령, 아르곤)와 함께 반응 챔버로 전달될 수 있다.
연속 흐름 실시예의 한 가지 이점은, 확립된 흐름이, 흐름을 끊기, 흐르게 기와 연계된 흐름의 과도 초기화 및 안정화에 의해 야기되는 지연 및 흐름 변동을 피한다는 것이다.
구체적 예를 들자면, 주 반응물(때때로 "고체 성분" 전구체라고 지칭함, 또는 이 예에서는 간단히 "반응물 B"라고 지칭함)을 이용한 컨포멀 막 증착 공정에 의해 산화물 막이 증착될 수 있다. 비스(3차-부틸아미노)실란(BTBAS)이 하나의 이러한 주 반응물이다. 이 예에서, 산화물 증착 공정은 산화제, 가령, 산소, 또는 아산화질소를 전달하는 것을 포함하며, 이러한 산화제는, 개별 노출 단계로, 주 반응물의 전달 동안, 먼저 흐르며 연속적으로 흐른다. 또한, 개별 플라즈마 노출 단계 동안 산화제는 계속 흐른다. 예를 들어, 도 1에 도시된 시퀀스를 참조하라. 비교를 위해, 종래의 ALD 공정에서, 고체 성분 전구체가 반응기로 전달될 때 산화제의 흐름이 멎을 것이다. 예를 들어, 반응물 B가 전달될 때 반응물 A의 흐름이 멎을 것이다.
일부 구체적 예에서, 연속적으로 흐르는 반응물은 "보조" 반응물이다. 본원에서 사용될 때, "보조" 반응물은, 주 반응물이 아닌 임의의 반응물이다. 앞서 제시한 바와 같이, 주 반응물은 실온에서 고체인 원소를 포함하며, 이러한 원소는 CFD에 의해 형성되는 막에 기여한다. 이러한 원소의 예로는 금속(가령, 알루미늄 및 티타튬), 반도체(가령, 실리콘 및 게르마늄), 및 비-금속 즉 메탈로이드(가령, 붕소)가 있다. 보조 반응물의 예로는, 산소, 오존, 수소, 일산화탄소, 아산화질소, 암모니아, 알킬 아민, 및 이와 유사한 것들이 있다.
연속으로 흐르는 반응물이 일정한 유량으로, 또는 제어되는 가변 유량으로 제공될 수 있다. 제어되는 가변 유량으로 제공되는 경우, 예를 들면, 주 반응물이 전달되는 노출 단계 동안 보조 반응물의 유량이 강하될 수 있다. 예를 들어, 산화물 증착에서, 산화제(가령, 산소 또는 아산화질소)가 전체 증착 시퀀스 동안 연속적으로 흐를 수 있지만, 산화제의 유량은, 주 반응물(가령, BTBAS)이 전달될 때 강하될 수 있다. 이는 투여 동안 BTBAS의 부분압을 증가시키고, 따라서 기판 표면을 포화시키는 데 필요한 노출 시간이 감소한다. 플라즈마를 점화하기 직전에, 플라즈마 노출 단계 동안 BTBAS가 존재할 가능성을 낮추도록 산화제의 흐름이 증가할 수 있다. 일부 실시예에서, 연속으로 흐르는 반응물이, 둘 이상의 증착 사이클 동안 가변 유량으로 흐른다. 예를 들어, 반응물은 제 1 CFD 사이클 동안 제 1 유량으로 흐르고, 제 2 CFD 사이클 동안 제 2 유량으로 흐를 수 있다.
복수의 반응물이 사용되고, 이들 중 하나의 흐름이 연속적일 때, CFD 사이클의 일부분 동안 이들 중 적어도 둘은 기체 상태로 공존할 것이다. 마찬가지로, 제 1 반응물의 전달 후 어떠한 정화(purge) 단계도 수행되지 않을 때, 두 반응물들이 공존할 것이다. 따라서 활성화 에너지의 인가 없이 기체 상태로 서로 눈에 띄게 반응하지 않은 반응물을 사용하는 것이 중요할 수 있다. 일반적으로, 기판 표면 상에 존재하여 플라즈마나 또 다른 적정한 비열적(nonthermal) 활성화 상태에 노출될 때까지 반응물은 반응하지 않아야 한다. 이러한 반응물을 선택하는 것은 적어도 (1) 바람직한 반응의 열역학적 선호 (기브스 자유 에너지<0)를 고려하고, (2) 바람직한 증착 온도에서 사소한 반응이 있도록 충분히 커야 할 반응을 위한 활성화 에너지를 고려한다.
특징 2 (스윕 단계를 축소 또는 생략) - 특정 실시예에서, 공정은, 종래의 ALD에서는 일반적으로 수행될 스윕 단계와 연계된 시간을 없애거나 감소시킨다. 종래의 ALD에서, 각각의 반응물이 기판 표면으로 전달 및 흡착된 후 별도의 스윕 단계가 수행된다. 종래의 ALD 스윕 단계에서 흡착 또는 반응은 거의 또는 전혀 발생하지 않는다. CFD 사이클에서, 반응물들 중 적어도 하나의 전달 후, 스윕 단계가 축소되거나 생략된다. 스윕 단계가 제거되는 공정 시퀀스의 일례가 도 1에 제시된다. 반응 챔버로부터 반응물 A를 스윕하기 위한 어떠한 스윕 단계도 수행되지 않는다. 일부 경우, CFD 사이클에서 제 1 반응물이 전달된 후 어떠한 스윕 단계도 수행되지 않지만, 마지막으로 전달되는 제 2 반응물의 전달 후 선택사항으로 스윕 단계가 수행된다.
CFD "스윕" 단계(step or phase)의 개념이 본원의 다양한 실시예에서 나타난다. 일반적으로, 스윕 단계는 상태 반응물들 중 하나를 반응 챔버로부터 제거 또는 정화하며, 통상적으로 이러한 반응물의 전달이 완료된 후에만 발생한다. 즉, 스윕 단계 동안 반응 챔버로 반응물이 더 이상 전달되지 않는다. 그러나 스윕 단계 동안 반응물은 기판 표면에 흡착된 채 유지된다. 일반적으로, 스윕은, 반응물이 기판 표면에 원하는 수준까지로 흡착된 후 챔버에서 임의의 증기 상태 반응물을 제거하는 역할을 한다. 또한 스윕 단계는, 기판 표면으로부터 약하게 흡착된 종(가령, 특정 전구체 리간드 또는 반응 부산물)을 제거할 수 있다. ALD에서 스윕 단계가 두 반응물의 기체 상태 상호작용, 또는 열, 플라즈마, 또는 그 밖의 다른 표면 반응을 위한 구동력에 의한 하나의 반응물의 상호작용을 방지하기에 필요한 것으로 여겨졌다. 일반적으로, 그리고 본원에서 다르게 특정되지 않는 한, (ⅰ) 반응 챔버를 배기함으로써, 및/또는 (ⅱ) 반응 챔버를 통해 스윕될 종을 함유하지 않는 기체를 흐르게 함으로써, 스윕 단계가 이뤄질 수 있다. (ⅱ)의 경우, 이러한 기체는, 예를 들어, 비활성 기체 또는 보조 반응물(가령, 연속으로 흐르는 보조 반응물)일 수 있다.
스윕 단계의 생략은, 그 밖의 다른 반응물의 연속적인 흐름과 함께, 또는 이러한 그 밖의 다른 반응물의 연속적인 흐름 없이, 이뤄질 수 있다. 도 1에 도시된 실시예에서, 기판 표면으로의 흡착이 완료된 후, 반응물 A는 스윕되지 않고, 계속 흐른다(도면에서 도면부호 130으로 나타남).
다양한 실시예에서, 둘 이상의 반응물이 사용된 경우, 스윕 단계가 생략되거나 축소된 반응물은 보조 반응물이다. 예를 들어, 보조 반응물은 산화제 또는 질소 공급원이고, 주 반응물은 실리콘, 붕소, 또는 게르마늄 함유 전구체이다. 물론, 주 반응물의 스윕도 축소되거나 생략될 수 있다. 일부 예에서, 보조 반응물의 전달 후 어떠한 스윕 단계도 수행되지 않지만, 선택사항으로서 주 반응물의 전달 후 스윕 단계가 수행된다.
언급된 바와 같이, 스윕 단계는 완전히 생략될 필요는 없지만, 종래의 ALD 공정에서의 스윕 단계와 비교할 때, 지속시간이 단축된다. 예를 들어, CFD 사이클 동안, 반응물(가령, 보조 반응물)의 스윕 단계가 약 0.2초 이하(가령, 약 0.001 내지 0.1초) 동안 수행될 수 있다.
특징 3 (반응물들 중 하나가 기체 상태로 존재하는 동안 플라즈마를 점화하기) - 이 특징을 이용해, 모든 반응물이 반응 챔버에서 제거되기 전에 플라즈마가 점화된다. 이는, 증기 상태 반응물이 반응 챔버에 더 이상 존재하지 않은 후에만 플라즈마 활성화 또는 그 밖의 다른 반응 구동 동작이 제공되는 종래의 ALD와 반대이다. 도 1에 도시된 CFD 사이클의 플라즈마 부분 동안 반응물 A가 연속으로 흐를 때 이 특징이 반드시 발생할 것이다. 그러나 본 발명의 실시예가 이러한 방식에 한정되지는 않는다. CFD 사이클의 플라즈마 단계 동안 하나 이상의 반응물이 흐를 수 있지만, CFD 사이클 동안 계속 흐를 필요는 없다. 덧붙여, (CFD 사이클에서 둘 이상의 반응물이 사용될 때) 플라즈마 활성화 동안 증기 상태로 존재하는 반응물은 주 반응물이거나 보조 반응물일 수 있다.
예를 들어, 시퀀스는 (ⅰ) 반응물 A를 도입시키기, (ⅱ) A를 정화하기, (ⅲ) 반응물 B를 도입시키고, B가 흐르는 동안 플라즈마를 점화하기, 및 (ⅳ) 정화하기일 수 있다. 이러한 실시예에서, 공정은 기체 상태에서 플라즈마에 의해 활성화되는 반응물 종을 사용한다. 이는 CFD가 순차적인 단계들의 시퀀스로 제약되지 않는 일반적인 예이다.
고체 성분 전구체(주 반응물)가 반응기로 공급되는 동안 활성화 플라즈마가 제공되는 경우, 스텝 커버리지(step coverage)는 덜 컨포멀해질 수 있지만, 일반적으로 증착 속도가 증가할 것이다. 그러나 플라즈마 활성화가 보조 반응물이 전달되는 동안만 발생하더라도, 반드시 그런 것은 아니다. 플라즈마가 증기 상태 보조 성분을 활성화시켜, 이를 더 반응성으로 만들고, 컨포멀 막 증착 반응에서 반응성을 증가시킬 수 있다. 특정 실시예에서, 산화물, 질화물, 또는 탄화물 등의 실리콘 함유 막을 증착할 때, 이 특징이 사용된다.
특징 4 (증착된 CFD 막의 플라즈마 처리) - 이들 실시예에서, 플라즈마는 컨포멀 막 증착 공정에서 두 가지 이상의 역할을 수행할 수 있다. 이들 역할 중 하나는 각각의 CFD 사이클 동안 막 형성 반응을 활성화하거나 구동시키는 것이다. 또 다른 역할은, 하나 이상의 CFD 사이클 후, CFD 막을 부분적으로, 또는 완전히 증착한 후 상기 막을 처리하는 것이다. 플라즈마 처리는 하나 이상의 막 속성을 개질하고자 의도된다. 일반적으로, 반드시는 아니지만, 플라즈마 처리 단계는, 막 형성 반응을 활성화하기 위해(즉, 막 형성 반응을 구동시키기 위해) 사용된 조건과 상이한 조건 하에서 실시된다. 예를 들어, 환원 또는 산화 분위기가 있을 때(가령, 수소나 산소가 있을 때), 플라즈마 처리가 수행될 수 있지만, CFD 사이클의 활성화 부분 동안 이에 해당할 필요는 없다.
CFD 공정의 매 사이클 동안, 또는 하나 걸러 하나의 사이클 동안, 또는 임의의 덜 빈번한 기준으로, 플라즈마 처리 동작이 수행될 수 있다. 처리는, 고정된 횟수의 CFD 사이클에 따라, 규칙적인 간격을 두고 수행될 수 있거나, 가변적으로(가령, CFD 사이클의 가변 간격을 두고), 또는 심지어 무작위적으로 수행될 수 있다. 통상의 예시에서, 적정 막 두께에 도달하기 위해, 수 회의 CFD 사이클 동안 막 증착이 수행되고, 그 후, 플라즈마 처리가 이용된다. 그 후, 처리가 다시 수행되기 전에 플라즈마 처리 없이 복수의 CFD 사이클 동안 막 증착이 다시 수행된다. CFD에 의해 막이 완전히 형성될 때까지, x번의 CFD 사이클, 및 이에 뒤따르는 플라즈마 처리(막 개질)로 구성된 이러한 슈퍼-시퀀스(super-sequence)가 반복될 수 있다.
특정 실시예에서, CFD 막이 증착되는 표면의 하나 이상의 속성을 개질하기 위한 CFD 사이클링이 개시되기 전에 플라즈마 처리가 수행될 수 있다. 다양한 실시예에서, 표면은 (도핑된, 또는 도핑되지 않은) 실리콘, 또는 실리콘 함유 물질로부터 만들어진다. 개질된 표면은, 추후 증착되는 CFD 막과 고품질의 계면을 더 잘 생성할 수 있다. 계면은, 가령, 결함 감소 등을 통한 우수한 접착력, 신뢰할만한 전기적 속성을 제공할 수 있다.
CFD에 앞서 이뤄지는 기판의 전처리는 어떠한 특정 플라즈마 처리로도 한정되지 않는다. 특정 실시예에서, 전처리는, 헬륨, 수소, 아르곤, 질소, 수소/질소-형성 기체, 및/또는 암모니아가 존재할 때, 수소-플라즈마, 질소-플라즈마, 질소/수소-플라즈마, 암모니아-플라즈마, 아르곤-플라즈마, 헬륨-플라즈마, 헬륨 어닐, 수소-어닐, 암모니아-어닐, 및 UV-경화로의 노출을 포함한다. 플라즈마 공정은 다양한 플라즈마 발생기(제한받지 않는 예를 들면, 마이크로파, ICP-원격, 직접, 및 기타 해당업계에 알려진 것)를 이용해 가능해질 수 있다.
전체적으로, CFD 사이클링 전에, 동안에, 그리고 후에, 처리가 발생할 수 있다. CFD 사이클링 동안 발생할 때, 처리의 빈도는 적정 증착 조건에 대해 선택될 수 있다. 일반적으로, 처리는 사이클당 1회보다 자주 발생하지 않을 것이다.
예를 들어, 약간의 탄소가 존재하는 전구체로부터 실리콘 질화물을 형성하기 위한 공정을 고려해 보자. 이러한 전구체의 예가 BTBAS를 포함한다. 전구체에 탄소가 존재하기 때문에, 증착된 상태의(as deposited) 질화물 막이 약간의 탄소 불순물을 포함하고, 이는 질화물의 전기적 속성을 저하할 수 있다. 이 문제를 해결하기 위해, 탄소 함유 전구체를 이용한 몇 번의 CFD 사이클 후, 플라즈마가 존재할 때 부분 증착된 막이 수소에 노출되어 탄소 불순물을 감소시키고 결국 제거할 수 있다.
막 표면을 개질하기 위해 사용되는 플라즈마 조건은, 막 속성 및/또는 조성에 원하는 변화를 일으키기 위해 선택될 수 있다. 원하는 개질을 위해 선택 및/또는 재단될 수 있는 플라즈마 조건 중에, 산화 조건, 환원 조건, 식각 조건, 플라즈마를 발생시키기 위해 사용되는 전력, 플라즈마를 발생시키기 위해 사용되는 주파수, 플라즈마를 발생시키기 위해 둘 이상의 주파수를 사용하는 것, 플라즈마 밀도, 플라즈마와 기판 사이의 거리 등이 있다. 플라즈마 처리에 의해 개질될 수 있는 CFD 막 속성의 예는, 내부 막 응력, 식각 내성, 밀도, 경도, 광학 속성(굴절률, 반사율, 광학적 밀도 등), 유전 상수, 탄소 함유량, 전기 속성(Vfb 스프레드 등) 등을 포함한다.
일부 실시예에서, 증착된 상태의 막의 속성을 개질하기 위해, 플라즈마 처리외의 처리가 사용된다. 이러한 처리는 전자기 복사 처리, 열 처리(가령, 어닐, 또는 고온 펄스), 등을 포함한다. 이들 처리 중 임의의 처리가 홀로, 또는 플라즈마 처리를 포함해 다른 처리와 함께, 수행될 수 있다. 임의의 이러한 처리는, 앞서 기재된 플라즈마 처리 중 임의의 것을 대체하여 사용될 수 있다. 구체적 실시예에서, 처리는 막을 자외선 복수에 노출시키는 단계를 포함한다. 이하에서 설명되겠지만, 특정 실시예에서, 상기 방법은 인 시추(in situ)로(즉, 막의 형성 동안) 또는 산화물의 후-증착(post deposition) 동안, UV-복사를 산화물 CFD 막으로 제공하는 단계를 포함한다. 이러한 처리는 결함 구조물을 감소 또는 제거하는 기능을 하고, 개선된 전기 성능을 제공한다.
특정 구체적 실시예에서, UV 처리는 플라즈마 처리와 연계될 수 있다. 이들 두 동작은 동시에, 또는 순차적으로 수행될 수 있다. 순차적 수행에서, 임의로, UV 동작이 먼저 발생한다. 동시 수행에서, 두 처리는 개별 공급원(가령, 플라즈마용 RF 전원과 UV용 램프)으로부터 제공되거나, 하나의 단일 공급원(가령, UV 복수를 부산물로 생성하는 헬륨 플라즈마)으로부터 제공될 수 있다.
특징 5 (CFD에 의한 증착과, 그 후 PECVD로의 전환) - 이러한 실시예에서, 완전한 막은, CFD에 의해 일부분, 그리고 CVD 공정(가령, PECVD)에 의해 일부분 생성된다. 일반적으로, 증착 공정의 CFD 부분이 먼저 수행되고, PECVD 부분이 나중에 수행되지만, 반드시 그런 것은 아니다. 혼합식 CFD/CVD 공정이 CVD 홀로 사용될 때 보이는 것 이상으로 스텝 커버리지를 개선할 수 있고, CFD 홀로 사용될 때 보이는 것 이상으로 증착 속도를 추가로 개선할 수 있다. 일부 경우, 기생 CVD 동작을 발생시키고, 이로써 더 높은 증착 속도, 상이한 분류의 막 등을 얻기 위해, 하나의 CFD 반응물이 흐르는 동안, 플라즈마 또는 또 다른 활성화가 적용된다.
특정 실시예에서, 둘 이상의 CFD 단계가 사용될 수 있고, 및/또는 둘 이상의 CVD 단계가 사용될 수 있다. 예를 들어, 막의 초기 부분은 CFD에 의해 증착되고, 그 후, 막의 중간 부분은 CVD에 의해 증착되며, 막의 최종 부분은 CFD에 의해 증착된다. 이러한 실시예에서, CFD에 의해 막의 후속 부분을 증착하기 전에, 플라즈마 처리 또는 식각에 의한 것처럼, 막의 CVD 부분을 개질하는 것이 바람직할 수 있다.
CFD 단계와 CVD 단계 사이에 전환 단계(transition phase)가 사용될 수 있다. 이러한 전환 단계 동안 사용되는 조건은 CFD 단계 또는 CVD 단계에서 사용되는 것과 상이하다. 일반적으로, 필수는 아니지만, 조건이, 동시에 발생하는 CFD 표면 반응과 CVD 유형 기체 상태 반응을 가능하게 한다. 전환 단계는 예를 들어 펄스화될 수 있는 플라즈마로의 노출을 포함한다. 덧붙여, 전환 단계는 하나 이상의 반응물을 낮은 유량으로, 즉, 공정의 대응하는 CFD 단계에서 사용되는 것보다 상당히 더 낮은 유량으로 전달하는 것을 포함할 수 있다.
특징 6 (CFD에 의해 증착, 식각, 및 그 후 CFD에 의해 추가 증착) - 이러한 실시예에서, 하나 이상의 사이클 동안(일반적으로, 복수의 사이클 동안) CFD 증착이 수행되고, 그 후, 가령 오목부 입구(커스프(cusp))의, 또는 그 근방의 일부 과도한 막을 제거하기 위해, 최종 막이 식각되며, 그 후, CFD 증착의 추가 사이클이 뒤따른다. 증착된 막의 다른 예시적 구조적 특징부가 이와 유사한 방식으로 식각될 수 있다. 이 공정에 대해 선택된 식각제는 식각될 물질에 따라 달라질 것이다. 일부 경우, 불소 함유 식각제(가령, NF3) 또는 수소를 이용해 식각 작업이 수행될 수 있다.
특정 실시예에서, 식각제를 생성하기 위해 원격 플라즈마가 사용된다. 일반적으로, 원격 플라즈마는 직접 플라즈마보다 더 등방성 방식으로 식각을 한다. 원격 플라즈마는 기판에 비교적 큰 라디칼 분류(fraction)를 제공한다. 이들 라디칼의 반응성은 오목부 내 수직 위치에 따라 달라질 수 있다. 특징부의 상부에, 라디칼이 더 집중되고, 결과적으로 더 높은 속도로 식각될 것이며, 반면에 오목부의 더 아래쪽 및 하부에서, 일부 라디칼은 소실되고 따라서 더 낮은 속도로 식각될 것이다. 이는 물론, 오목부 오프닝에서 너무 많이 증착되는 문제를 해결하기 위해 바람직한 반응성 프로필이다. 식각 시, 원격 플라즈마를 이용하는 추가적인 이점은 플라즈마가 비교적 부드럽고, 따라서 기판 층을 손상시킬 가능성이 낮다는 것이다. 이는, 아래 놓이는 기판 층이 산화 또는 그 밖의 다른 손상에 민감할 때 특히 유리할 수 있다.
특징 7(추가 반응제를 이용해 막 조성을 재단(tailoring)하기) - 여기서 제공되는 많은 예시들이 하나 이상의 반응물을 이용하는 CFD 공정과 관련된다. 덧붙이자면, 많은 예들이 모든 CFD 사이클에서 동일한 반응물을 이용한다. 그러나 반드시 그럴 필요는 없다. 첫째, 많은 CFD 공정이 셋 이상의 반응물을 이용할 수 있다. 예를 들면, (ⅰ) 다이보레인(diborane), 텅스텐 헥사플루오라이드, 및 수소를 반응물로서 사용하는 텅스텐의 CFD, 및 (ⅱ) 다이보레인, BTBAS, 및 산소를 반응물로서 사용하는 실리콘 산화물의 CFD가 있다. 다이보레인은 성장하는 막으로부터 제거되거나, 적절하게, 막에 혼입될 수 있다.
덧붙이자면, 일부 예시에서, 일부 CFD 사이클에서만 추가 반응물을 이용할 수 있다. 이러한 예시에서, 기본 CFD 공정 사이클은, 기저 막 조성(가령, 실리콘 산화물 또는 실리콘 탄화물)을 만들기 위한 반응물만 사용한다. 이 기본 공정은 모든, 또는 거의 모든 CFD 사이클에서 수행된다. 그러나 CFD 사이클의 일부는 변형 사이클로서 실행되고, 보통의 증착 사이클의 조건에서 벗어난다. 예를 들면, 하나 이상의 추가 반응물을 사용할 수 있다. 또한 이들 변형 사이클은 기본 CFD 공정에서 사용되는 것과 동일한 반응물을 사용할 수 있지만, 반드시 그럴 필요는 없다.
이러한 CFD 공정은 특히, 도핑된 산화물 또는 그 밖의 다른 도핑된 물질(가령, CFD 막)을 제조할 때, 유리하다. 일부 구현예에서, 도펀트 전구체는, CFD 사이클의 작은 부분에서만 "추가" 반응물로서 포함된다. 도펀트를 첨가하는 빈도는 원하는 도펀트 농도에 따라 달라진다. 예를 들면, 도펀트 전구체는 기저 물질 증착의 10번째 사이클마다 포함될 수 있다.
그 밖의 다른 많은 증착 공정과 달리, 특히, 열 활성화를 필요로 하는 공정과 달리, CFD 공정은 비교적 낮은 온도에서 실시될 수 있다. 일반적으로, CFD 온도는 약 20 내지 400℃일 것이다. 포토레지스트 코어(photoresist core) 상의 증착 등의 온도 민감성 공정의 맥락에서, 이러한 온도가 선택될 수 있다. 구체적 실시예에서, (가령, 포토레지스트 코어를 이용하는) 이중 패터닝 적용예의 경우, 약 20 내지 100℃의 온도가 사용된다. 또 다른 실시예에서, 메모리 제조 공정의 경우 약 200 내지 350℃의 온도가 사용된다.
앞서 제시한 바와 같이, CFD는 첨단 기술 노드에서 막을 증착하기에 적합하다. 따라서 예를 들어, CFD 공정은 32㎚ 노드, 22㎚ 노드, 16㎚ 노드, 11㎚ 노드, 및 그 이하의 노드에서 공정에 통합될 수 있다. 이들 노드는 수년 동안의 미소전자 기술 요건에 대한 산업 합의인 ITRS(International Technology Roadmap for Semiconductors)에 기재되어 있다. 일반적으로, 메모리 셀의 2분의1 피치를 지칭한다. 구체적 예에서, CFD 공정이 "2X" 소자(20-29㎚의 범위의 소자 특징부를 가짐) 및 그 이상의 소자에 적용된다.
본원에서 제공되는 CFD 막의 대부분의 예는 실리콘계 미소전자 소자(microelectronic device)에 관한 것이지만, 또한 막은 그 밖의 다른 영역에도 적용될 수 있다. 비-실리콘 반도체, 가령, GaAs 및 그 밖의 다른 Ⅲ-Ⅴ 반도체과 Ⅱ-Ⅵ 물질, 가령, HgCdTe를 이용하는 미소전자 또는 광전자는, 본원에서 기재된 CFD 공정을 이용하는 것으로 인해 이로울 수 있다. 태양 에너지 분야(가령, 광기전 소자), 전기변색(electrochromic) 분야, 및 그 밖의 다른 분야에서의 컨포멀 유전체 막에 대한 적용이 가능하다.
도 1은 플라즈마 활성화 CFD 공정의 하나의 일례적 실시예의 타이밍 도(100)를 개략적으로 도시한다. 2개의 완전한 CFD 사이클이 도시된다. 도시된 바와 같이, 각각은 반응물 A에 노출되는 단계(120)와, 이에 바로 뒤따르는 반응물 B에 노출되는 단계(140)와, 반응물 B의 스윕 단계(160)와, 마지막으로, 플라즈마 활성화 단계(180)를 포함한다. 플라즈마 활성화 단계(180A 및 180B) 동안 제공되는 플라즈마 에너지가 표면에 흡착되는 반응물 종 A와 B 간의 반응을 활성화시킨다. 도시된 실시예에서, 하나의 반응물(반응물 A)이 전달된 후 어떠한 스윕 단계도 수행되지 않는다. 실제로, 막 증착 공정 동안 이 반응물은 연속적으로 흐른다. 따라서 반응물 A가 기체 상태인 동안 플라즈마가 점화된다. 앞서 언급된 특징 1-3이 도 1의 예로 구현된다.
도시된 실시예에서, 반응물 기체 A 및 B가 반응하지 않고 기체 상태로 공존할 수 있다. 따라서, ALD 공정에서 기재된 공정 단계들 중 하나 이상이, 이 예시적 CFD 공정에서 단축되거나 생략될 수 있다. 예를 들어, A 노출 단계(120A 및 120B) 후 스윕 단계가 생략될 수 있다.
복수의 상이한 유형의 막들 중 임의의 막을 증착하기 위해 CFD 공정이 사용될 수 있다. 본원에서 제공되는 대부분의 예가 유전체 물질에 대한 것이지만, 기재된 CFD 공정이 사용되어, 전도성 및 반도성 물질의 막도 형성할 수 있다. 질화물과 산화물은 특징적인 유전체 물질이지만, 탄화물, 산질화물(oxynitride), 탄소-도핑된 산화물, 붕화물 등이 역시 형성될 수 있다. 산화물은 도핑되지 않은 실리케이트 유리(USG), 도핑된 실리케이트 유리를 포함해 다양한 물질을 포함한다. 도핑된 유리의 예로는 붕소 도핑된 실리케이트 유리(BSG), 인 도핑된 실리케이트 유리(PSG), 및 붕소 인 도핑된 실리케이트 유리(BPSG)를 포함한다.
일부 실시예에서, 질소-함유 반응물, 및/또는 질소-함유 반응물 블렌드 중 하나 이상과, 실리콘-함유 반응물의 반응에 의해, 실리콘 질화물 막이 형성될 수 있다. 예시적 실리콘-함유 반응물은, 비스(3차부틸아미노)실란 (SiH2(NHC(CH3)3)2 또는 BTBAS), 디클로로실란(S1H2O2), 및 클로로실란(S1H3Cl)을 포함하나, 이에 한정되지는 않는다. 예시적 질소-함유 반응물은, 암모니아, 질소, 및 3차부틸아민((CH3)3CNH2 또는 t-부틸 아민)을 포함하지만, 이에 한정되지는 않는다. 예시적 질소-함유 반응물 블렌드는 질소와 수소의 블렌드를 포함하지만, 이에 한정되지는 않는다.
다양한 막 및/또는 하드웨어 고려사항에 의해 하나 이상의 반응물의 선택이 구동될 수 있다. 예를 들어, 일부 실시예에서, 디클로로실란과, 플라즈마에 의해 활성화되는 질소의 반응으로부터 실리콘 질화물 막이 형성될 수 있다. 디클로로실란이 실리콘 질화물 표면으로 화학흡착됨으로써, 수소 염화물(HCl)을 유리시켜 실리콘-수소로 끝난 표면(silicon-hydrogen terminated surface)이 생성될 수 있다. 이러한 화학흡착 반응의 예가 반응 1에서 개략적으로 도시된다.
반응 1:
Figure 112012015286548-pct00001
반응 1에서 나타나는 고리형 중간체가, 플라스마에 의해 활성화된 질소와의 반응을 통해, 실리콘 아민으로 끝난 표면으로 변환될 수 있다.
그러나 대안적 메커니즘에 의해 디클로로실란의 일부 분자가 화학흡착될 수 있다. 예를 들어, 표면 형태(surface morphology)가 반응 1에서 나타난 고리형 중간체의 형성을 방해할 수 있다. 또 다른 화학흡착 메커니즘의 일례가 반응 2에서 개략적으로 나타난다.
반응 2:
Figure 112012015286548-pct00002
질소의 뒤 이은 플라즈마 활성화 동안, 중간체 종의 나머지 염소 원자가 유리될 수 있고, 플라즈마에 의해 활성화될 수 있다. 이는 실리콘 질화물 표면의 식각을 야기할 수 있으며, 이는 실리콘 질화물 막을 거칠고 탁하게 만들 수 있다. 덧붙여, 잔여 염소 원자가 물리적으로 및/또는 화학적으로 재흡착될 수 있으며, 이는 증착된 막을 오염시킬 가능성이 있다. 이 오염은 실리콘 질화물 막의 물리적 및/또는 전기적 속성을 바꿀 수 있다. 또한, 활성화된 염소 원자에 의해, 공정 스테이션 하드웨어 부분에 식각 손상이 초래될 수 있으며, 이는 상기 공정 스테이션의 부분의 사용 수명을 감소시킬 가능성이 있다.
따라서, 일부 실시예에서, 디클로로실란이 클로로실란으로 치환할 수 있다. 이는 막 오염, 막 손상, 및/또는 공정 스테이션 손상을 감소시킬 수 있다. 클로로실란의 화학흡착의 예가 반응 3에 개략적으로 나타난다.
반응 3:
Figure 112012015286548-pct00003
반응 3에 도시된 예는 클로로실란을 실리콘-함유 반응물로서 사용하지만, 어떠한 적합한 단일치환 할로실란도 사용될 수 있음이 알 것이다.
앞서 설명된 바와 같이, 도시된 중간체 구조가 질소 공급원과 반응하여, 실리콘 질화물의 실리콘 아민으로 끝난 표면을 형성할 수 있다. 예를 들어, 플라즈마에 의해 암모니아가 활성화되어, 다양한 암모니아 라디칼 종이 형성될 수 있다. 라디칼 종은 중간체와 반응하여, 실리콘 아민으로 끝난 표면을 형성할 수 있다.
그러나 암모니아는 반응물 전달 라인, 공정 스테이션, 및 배출관(exhaust plumbing)의 표면에 강하게 물리흡착될 수 있으며, 이로 인해 정화 및 배기 시간이 연장될 수 있다. 덧붙이자면, 암모니아가 약간의 기체 상태 실리콘-함유 반응물과 높은 반응성을 가질 수 있다. 예를 들어, 디클로로실란(SiH2Cl2)과 암모니아의 기체 상태 혼합물이 디아미노실란(SiH2(NH2)2) 같은 불안정한 종을 만들 수 있다. 이러한 종은 기체 상태에서 핵생성 소립자로 분해될 수 있다. 암모니아가 할로실란의 화학흡착 동안 생성된 수소 염화물과 반응하는 경우에도 소립자가 형성될 수 있다. 이러한 입자는 공정 스테이션에 축적될 수 있고, 여기서, 상기 입자는 기판 표면을 오염시켜 집적 소자 결함을 초래하고, 공정 스테이션 하드웨어를 오염시켜, 툴 다운 시간(tool down time) 및 세정을 야기할 가능성이 있다. 또한 소립자는 배출관에 축적될 수 있으며, 펌프 및 송풍기를 막을 수 있고, 특수 환경용 배출 스크러버(exhaust scrubber) 및/또는 저온 트랩(cold trap)이 필요해질 수 있다.
따라서, 일부 실시예에서, 치환 아민이 질소-함유 반응물로서 사용될 수 있다. 예를 들어, 알킬 치환된 아민, 가령 t-부틸 아민의 플라즈마 활성화로부터 형성된 다양한 라디칼이 공정 스테이션으로 공급될 수 있다. 치환 아민, 가령, t-부틸 아민은, 공정 하드웨어에 암모니아보다 더 낮은 점착 계수를 가질 수 있으며, 비교적 더 낮은 물리흡착 속도와 비교적 더 낮은 공정 정화 시간을 초래할 수 있다.
덧붙여, 이러한 질소-함유 반응물은 암모니아 염화물보다 비교적 더 휘발성인 할로겐화 염을 형성할 수 있다. 예를 들어, t-부틸암모늄 염화물이 암모늄 염화물보다 더 휘발성이 될 수 있다. 이는 툴 다운 시간(tool down time), 장치 결함 생성(device defect creation), 및 환경적 감면 비용을 감소시킬 수 있다.
덧붙여, 이러한 질소-함유 반응물은 다양한 분산물 반응을 통해 또 다른 아민 전구체를 형성할 수 있다. 예를 들어, t-부틸 아민과 디클로로실란의 반응이 BTBAS를 형성할 수 있다. 따라서 부산물이 실리콘 질화물을 형성하는 대안적 수단을 제공할 수 있으며, 이는 막 수율(film yield)을 증가시킬 가능성이 있다. 또 다른 예에서, 치환 아민이, 실리콘 질화물 막으로의 저온에서 열적으로 활성화되는 수단을 제공할 수 있다. 예를 들어, t-부틸 아민이 300℃ 이상의 온도에서 열적으로 분해되어, 이소부틸렌과 암모니아를 형성할 수 있다.
앞서 제공된 설명적 예가, t-부틸 아민을 이용해 실리콘 질화물 막을 형성하는 것을 기재하지만, 본 발명의 범위 내에서 임의의 적합한 치환 아민이 사용될 수 있음을 알 것이다. 일부 실시예에서, 반응물의 열역학적 특성 및/또는 반응성 특성을 기초로, 적합한 치환 아민이 선택될 수 있다. 예를 들어, 다양한 열적 증착 경로의 존재 및 선택이 상대 온도에서 이뤄질 수 있음에 따라, 반응물로부터 형성된 할로겐화 염의 상대적 휘발성이 고려될 수 있다.
덧붙이자면, 앞서 제공된 예가 실리콘 질화물 막의 증착을 기재하지만, 앞서 언급된 원리는 그 밖의 다른 막의 증착에도 적용될 수 있다. 예를 들면, 일부 실시예가, 실리콘 산화물을 증착하기 위해, 적합한 산소-함유 반응물 종(가령, 산소 플라즈마)과 함께 적합한 할로실란을 이용할 수 있다.
반응물, 산물 막, 및 막과 공정의 속성 범위의 목록(그러나 이에 국한되지는 않음)이 표 1에서 제공된다.
표 1

반응물
A
반응물 B 반응물 C 온도 (C) 압력
(torr)
Ref. index
SiO2 BTBAS O2 - 50-400 1-4 1.45-1.47
SiN SiH3Cl O2 - 50-400 1-4
SiO2 SiH (N(CH3)2)3 O2 - 50-400 1-4 1.45-1.47
SiN BTBAS NH3 - 50-400 1-4 1.80-2.05
SiN BTBAS - N2/H2 50-400 1-4 1.80-2.05
SiN BTBAS NH3 N2/H2 50-400 1-4 1.80-2.05
SiN SiH3Cl NH3 선택사항으로 N2/H2 50-400 1-4
SiN SiH3Cl t-부틸 아민 선택사항으로 N2/H2
SiN SiH2Cl2 NH3 선택사항으로 N2/H2 50-400 1-4 1.80-2.05
SiN SiH2Cl2 t-부틸 아민 선택사항으로 N2/H2
SiN SiH(CH3)-(N(CH3)2)2 NH3 선택사항으로 N2/H2 50-400 1-4 1.80-2.05
SiN SiH(CH3)( Cl2) NH3 선택사항으로 N2/H2 50-400 1-4 1.80-2.05
SiN SiHCl-(N(CH3)2)2 NH3 선택사항으로 N2/H2 50-400 1-4 1.80-2.05
SiN (Si(CH3)2NH)3 NH3 선택사항으로 N2/H2 50-400 1-4 1.80-2.05
도 1은 또한 다양한 CFD 공정 파라미터에 대한 예시적 CFD 공정 단계의 시간 진행의 하나의 실시예를 도시한다. 도 1은 2개의 예시적 증착 사이클(110A 및 110B)을 도시하지만, 희망 막 두께를 증착하기 위해 CFD 공정에 임의의 적합한 개수의 증착 사이클이 포함될 수 있음이 자명할 것이다. 예시적 CFD 공정 파라미터는 비활성 및 반응물 종에 대한 유량, 플라즈마 전력 및 주파수, 기판 온도, 및 공정 스테이션 압력을 포함하지만, 이에 한정되는 것은 아니다. BTBAS 및 산소를 이용하는, 하나의 예시적 실리콘 이산화물 증착 사이클에 대한 파라미터 범위(이에 한정되지 않음)가 표 2에 제공된다.
표 2
단계 반응물 A 노출 단계 반응물 B 노출 단계 스윕 단계 플라즈마 활성화 단계
시간 (초) 연속적 0.25-10 0.25-10 0.25-10
BTBAS (sccm) n/a 0.5-5.0 0 0
O2
(slm)
1-20 1-20 1-20 1-20
Ar (slm) 1-20 1-20 1-20 1-20
압력 (torr) 1-4 1-4 1-4 1-4
온도 (C) 50-400 50-400 50-400 50-400
HF 전력 (W) 0 0 0 50-2500
LF 전력 (W) 0 0 0 0-2500
CFD 사이클은 각각의 반응물에 대한 노출 단계를 포함한다. 이 "노출 단계" 동안, 반응물이 공정 챔버로 전달되어, 기판 표면에 반응물의 흡착을 야기할 수 있다. 일반적으로, 노출 단계의 시작 부분에서, 기판 표면은 어떠한 인지 가능한 흡착된 반응물량도 갖지 않는다. 도 1에서, 반응물 A의 노출 단계(120A 및 B)에서, 반응물 A가 제어되는 유량으로 공정 스테이션으로 공급되어, 기판의 노출된 표면을 포화시킬 수 있다. 반응물 A는 임의의 적합한 증착 반응물(가령, 주 반응물 또는 보조 반응물)일 수 있다. CFD가 실리콘 이산화물 막을 생산하는 하나의 예에서, 반응물 A는 산소일 수 있다. 도 1의 실시예에서, 반응물 A는 증착 사이클(110A 및 110B) 전체에 걸쳐 연속적으로 흐른다. 기체 상태 반응을 방지하기 위해 막 전구체 노출이 개별적으로 이뤄지는 통상의 ALD 공정과 달리, 반응물 A 및 B가 CFD 공정의 일부 실시예의 기체 상태에서 혼합되는 것이 가능하다. 앞서 언급된 바와 같이, 일부 실시예에서, 반응물 A 및 B는, 표면 반응의 플라즈마 에너지의 인가 또는 활성화 전에, 반응기에서 발생하는 조건 하에서 인지할 수 있는 수준으로 서로 반응하지 않고 기체 상태로 공존할 수 있는 것으로 선택된다. 일부 경우, (1) 반응물들 간 반응이 열역학적으로 바람직하도록(즉, 깁스의 자유 에너지<0), 그리고 (2) 상기 반응이, 바람직한 증착 온도에서 사소한 반응이 존재하도록 충분히 높은 활성화 에너지를 갖도록 반응물이 선택된다. 이러한 기준을 충족시키는 다양한 반응물 조합이 본원의 이외 다른 부분에서 식별된다. 이러한 조합은, 실온에서 고체 상태인 원소를 기여하는 주 반응물과, 그렇지 않은 보조 반응물을 포함한다. 일부 조합에서 사용되는 보조 반응물의 예로는 산소, 질소, 알킬 아민, 및 수소를 포함한다.
반응물 A를 공정 스테이션으로 연속적으로 공급함으로써, 우선 반응물 A가 턴-온되고, 그 후, 안정화되며 기판에 노출되고, 턴-오프(turn-off)되고, 최종적으로 반응기로부터 제거되는 ALD 공정에 비교할 때, 반응물 A의 유량 턴-온(flow rate turn-on) 및 안정화 시간이 감소하거나 생략될 수 있다. 도 1에서 도시된 실시예는 일정한 유량을 갖는 반응물 A의 노출 단계(120A 및 B)를 도시하지만, 반응물 A의 임의의 적합한 흐름(가령, 가변 흐름)이 본원의 범위 내에서 사용될 수 있다. 덧붙이자면, 도 1은 전체 CFD 사이클(증착 사이클(110A)) 동안, 일정한 유량을 갖는 반응물 A를 도시하지만, 반드시 그럴 필요는 없다. 예를 들어, B의 노출 단계(140A 및 140B) 동안 반응물 A의 유량은 감소될 수 있다. 이는 B의 부분압을 증가시킬 수 있고, 따라서 기판 표면에 반응물 B가 흡착되는 구동력을 증가시킬 수 있다.
일부 실시예에서, 반응물 A 노출 단계(120A)는 반응물 A에 대한 기판 표면 포화 시간을 초과하는 지속시간을 가질 수 있다. 예를 들어, 도 1의 실시예는 반응물 A 노출 단계(120A)에서 반응물 A의 후-포화(post-saturation) 노출 시간(130)을 포함한다. 선택사항으로, 반응물 A 노출 단계(120A)는 비활성 기체의 제어되는 유량을 포함한다. 예시적 비활성 기체는, 질소, 아르곤, 및 헬륨을 포함한다(그러나 이에 국한되지 않는다). 공정 스테이션의 압력 및/또는 온도 제어, 액상 전구체의 증발, 전구체 및/또는 공정 기체를 공정 스테이션으로부터 제거하기 위한 스윕 기체의 더 빠른 전달, 및/또는 공정 스테이션 배출을 보조하기 위해, 비활성 기체가 제공될 수 있다.
도 1에 도시된 실시예의 반응물 B의 노출 단계(140A)에서, 반응물 B가 제어된 유량으로 공정 스테이션으로 공급되어, 노출된 기판 표면을 포화시킬 수 있다. 하나의 예시적 실리콘 이산화물 막에서, 반응물 B는 BTBAS일 수 있다. 도 1의 실시예가 반응물 B 노출 단계(140A)가 일정한 유량을 가진 것으로 도시하지만, 반응물 B의 임의의 적합한 흐름(가령, 가변 흐름)이 본 발명의 범위 내에서 이용될 수 있다. 덧붙여, 반응물 B 노출 단계(140A)가 임의의 적합한 지속시간을 가질 수 있음이 자명할 것이다. 일부 실시예에서, 반응물 B 노출 단계(140A)가 반응물 B에 대해 기판 표면 포화 시간을 초과하는 지속시간을 가질 수 있다. 예를 들어, 도 1에 도시된 실시예가 반응물 B 노출 단계(140A)에 포함된 반응물 B의 후-포화 노출 시간(150)을 도시한다. 선택사항으로서, 반응물 B 노출 단계(140A)는, 앞서 언급된 바와 같이, 공정 스테이션의 압력 및/또는 온도 제어, 액상 전구체의 증발, 전구체의 더 빠른 전달을 보조할 수 있고 공정 스테이션 기체의 역확산(back-diffusion)을 방지할 수 있는, 적합한 비활성 기체의 제어된 흐름을 포함할 수 있다. 도 11에서 나타난 실시예에서, 비활성 기체는 반응물 B의 노출 단계(140A)를 통해 공정 스테이션으로 연속적으로 공급된다.
일부 실시예에서, 증착 반응의 플라즈마 활성화는, 열적 활성화 반응의 경우보다 더 낮은 증착 온도를 야기할 수 있으며, 이로 인해 통합 공정의 이용 가능한 열적 예산(thermal budget)의 소비가 감소될 가능성이 있다. 예를 들어, 일부 실시예에서, 플라즈마 활성화 CFD 공정은 실온에서 발생할 수 있다.
도 1에 도시된 CFD 공정 실시예는 플라즈마 활성화 공정이지만, 본원의 범위 내에서 그 밖의 다른 비열적(non-thermal) 에너지 공급원이 사용될 수 있다. 비열적 에너지 공급원의 예로는, 자외선 램프, 다운스트림 또는 원격 플라즈마 공급원, 유도-결합 플라즈마, 및 마이크로파 표면 파 플라즈마가 있지만, 이에 한정되는 것은 아니다.
덧붙이자면, 본원에서 언급되는 많은 예시에서 2개의 반응물(A 및 B)이 포함되지만, 본원의 범위 내에서 임의의 적합한 개수의 반응물이 사용될 수 있다. 일부 실시예에서, 반응물의 표면 증착 반응을 위한 플라즈마 에너지를 공급하기 위해 사용되는 단일 반응물과 비활성 기체가 사용될 수 있다. 또는, 특징 7의 맥락에서 앞서 언급된 바와 같이, 일부 실시예는 막을 증착하기 위해 셋 이상의 반응물을 이용할 수 있다.
일부 시나리오에서, 표면 흡착되는 B 종이 기판 표면에 불연속적인 섬으로서 존재할 수 있어서, 반응물 B의 표면 포화를 달성하는 것이 어려울 수 있다. 다양한 표면 조건이 기판 표면 상에서의 반응물 B의 핵생성 및 포화를 지연시킬 수 있다. 예를 들어, 반응물 A 및/또는 B의 흡착부에 해방되는 리간드가 일부 표면 활성화 사이트를 차단할 수 있어서, 반응물 B의 추가적인 흡착을 막는다. 따라서 일부 실시예에서, 반응물 B의 노출 단계(140A) 동안, 공정 스테이션으로의 반응물 B의 흐름을 변조 및/또는 반응물 B를 이산적으로 펄스화함(discretely pulsing)으로써, 반응물 B의 연속적인 흡착층이 제공될 수 있다. 이는, 일정한 흐름 시나리오에 비교할 때, 반응물 B를 보존하면서, 표면 흡착 및 탈착 공정을 위한 가외적 시간을 제공할 수 있다.
이에 추가로, 또는 이를 대신하여, 일부 실시예에서, 반응물 B의 연속하는 노출과 노출 사이에 하나 이상의 스윕 단계가 포함될 수 있다. 예를 들어, 도 2의 실시예가 증착 사이클(210)에 대한 예시적 CFD 공정 타이밍 도(200)를 개략적으로 도시한다. 반응물 B 노출 단계(240A)에서, 반응물 B는 기판 표면에 노출된다. 그 후, 스윕 단계(260A)에서, 반응물 B가 턴-오프되고, 반응물 B의 기체 상태 종이 공정 스테이션으로부터 제거된다. 하나의 시나리오에서, 기체 상태의 반응물 B가, 연속으로 흐르는 반응물 A 및/또는 비활성 기체로 대체될 수 있다. 또 다른 시나리오에서, 공정 스테이션을 배기함으로써, 기체 상태의 반응물 B가 제거될 수 있다. 기체 상태 반응물 B의 제거가 흡착/탈착 공정 평형상태(equilibrium)를 이동시킬 수 있으며, 이는 리간드를 탈착시키고, 흡착된 B의 표면 재배열을 촉진시켜, 흡착된 B의 불연속적인 섬들을 합칠 수 있다.
반응물 B의 노출 단계(240B)에서, 반응물 B가 다시 기판 표면에 노출된다. 도 2에 도시된 실시예가 반응물 B의 스윕 및 노출 사이클의 일례를 포함하지만, 교대하는 스윕 및 노출 사이클의 임의의 적합한 횟수만큼의 반복이 본 발명의 범위내에서 사용될 수 있다.
도 1의 실시예를 다시 참조하면, 일부 실시예에서, 180A에서의 플라즈마에 의한 활성화 전에, 스윕 단계(160)에서 기체 상태 반응물 B가 공정 스테이션으로부터 제거될 수 있다. CFD 사이클은, 앞서 기재된 노출 단계에 추가로, 하나 이상의 스윕 단계를 포함할 수 있다. 공정 스테이션을 스윕함으로써, 반응물 B가 플라즈마 활성화에 영향받기 쉬운 기체 상태 반응이 피해질 수 있다. 덧붙이자면, 공정 스테이션을 스윕함으로써, 다른 경우라면 남아서 막을 오염시켰을 표면 흡착된 리간드가 제거될 수 있다. 예시적 스윕 기체는 아르곤, 헬륨, 및 질소를 포함하지만, 이에 한정되지는 않는다. 도 1에 도시된 실시예에서, 스윕 단계(160A) 동안, 비활성 기체 스트림에 의해 스윕 기체가 공급된다. 일부 실시예에서, 스윕 단계(160A)는 공정 스테이션을 배기시키기 위한 하나 이상의 배기 서브단계를 포함할 수 있다. 또는, 일부 실시예에서 스윕 단계(160)는 생략될 수 있다.
스윕 단계(160A)는 임의의 적합한 지속시간을 가질 수 있다. 일부 실시예에서, 하나 이상의 스윕 기체의 유량을 증가시킴으로써, 스윕 단계(160A)의 지속시간이 감소될 수 있다. 예를 들어, 스윕 단계(160A)의 지속시간을 수정하기 위한 다양한 반응물의 열역학적 특성 및/또는 공정 스테이션 및/또는 공정 스테이션 배관의 기하학적 특성에 따라, 스윕 기체 유량이 조절될 수 있다. 하나의 한정되지 않는 예를 들면, 스윕 기체 유량을 조절함으로써, 스윕 단계의 지속시간이 최적화될 수 있다. 이는 증착 사이클 시간을 감소시킬 수 있으며, 이로 인해 기판 처리량이 향상될 수 있다.
일반적으로 CFD 사이클은, 앞서 설명된 노출 단계 및 선택사항인 스윕 단계에 추가로, "활성화 단계"를 포함한다. 활성화 단계는 기판 표면에 흡착되는 하나 이상의 반응물의 반응을 구동하도록 기능한다. 도 1에 도시된 실시예의 플라즈마 활성화 단계(180A)에서, 표면에 흡착되는 반응물 A와 B 간의 표면 반응을 활성화시키도록 플라즈마 에너지가 제공된다. 예를 들어, 플라즈마가 반응물 A의 기체 상태 분자를 간접적으로, 또는 직접적으로 활성화시켜, 반응물 A의 라디칼을 형성할 수 있다. 그 후, 이들 라디칼은 표면에 흡착된 반응물 B과 상호작용하여, 막 형성 표면 반응을 야기할 수 있다. 플라즈마 활성화 단계(180A)가 증착 사이클(110A)을 끝내며, 도 1의 실시예에서, 상기 증착 사이클(110A)에, 반응물 A 노출 단계(120B)로 시작하는 증착 사이클(110B)이 후속한다.
일부 실시예에서, 플라즈마 활성화 단계(180A)에서 점화되는 플라즈마가 기판 표면 바로 위에 형성될 수 있다. 이는 더 큰 플라즈마 밀도와, 반응물 A와 B 간에 향상된 표면 반응 속도를 제공할 수 있다. 예를 들어, 2개의 용량성-결합된 판을 이용해, 저압 기체에 RF(radio frequency) 필드를 인가함으로써, CFD 공정을 위한 플라즈마가 발생할 수 있다. 대안적 실시예에서, 원격 발생 플라즈마가 주 반응 챔버 밖에서 발생될 수 있다.
플라즈마를 형성하기 위해 임의의 적합한 기체가 사용될 수 있다. 첫 번째 예에서, 플라즈마를 형성하기 위해 비활성 기체(가령, 아르곤 또는 헬륨)가 사용될 수 있다. 두 번째 예에서, 플라즈마를 형성하기 위해 반응물 기체(가령, 산소 또는 암모니아)가 사용될 수 있다. 세 번째 예에서, 플라즈마를 형성하기 위해 스윕 기체, 가령, 질소가 사용될 수 있다. 물론, 기체의 이러한 카테고리들의 조합이 사용될 수 있다. RF 필드에 의한 판들 사이에서의 기체의 이온화가 플라즈마를 점화하여, 플라즈마 방전 영역에서 자유 전자를 만든다. 이들 전자가 RF 필드에 의해 가속되고, 기체 상태 반응물 분자와 충돌할 수 있다. 이들 전자와 반응물 분자의 충돌이 증착 공정에 참여하는 라디칼 종을 형성할 수 있다. RF 필드가 임의의 적합한 전극을 통해 연결될 수 있음이 자명할 것이다. 전극의 한정되지 않는 예는, 공정 기체 분포 샤워헤드와 기판 지지 받침대를 포함한다. RF 필드를 기체에 용량성 결합하는 것외의 다른 하나 이상의 적합한 방법에 의해, CFD 공정을 위한 플라즈마가 형성될 수 있음이 자명할 것이다.
플라즈마 활성화 단계(180A)가 임의의 적합한 지속시간을 가질 수 있다. 일부 실시예에서, 플라즈마 활성화 단계(180A)가 플라즈마에 의해 활성화되는 라디칼이 모든 노출된 기판 표면 및 흡착물과 상호작용하여, 기판 표면 상에 연속적인 막을 형성하는 시간을 초과하는 지속시간을 가질 수 있다. 예를 들어, 도 1에 나타난 실시예가 플라즈마 활성화 단계(180A)에서 플라즈마 후-포화 노출 시간(190)을 포함한다.
이하에서 더 자세히 설명될 바와 같이, 그리고 앞서 특징 4의 설명에서 제시한 바와 같이, 플라즈마 노출 시간을 연장하는 것 및/또는 복수의 플라즈마 노출 단계를 제공하는 것에 의해, 증착된 막의 벌크 및/또는 표면에 가까운 부분(near-surface portion)의 후-반응 처리가 제공될 수 있다. 하나의 시나리오에서, 플라즈마 처리에 의한 표면 오염의 증가가 반응물 A의 흡착을 위한 표면을 제조할 수 있다. 예를 들어, 실리콘-함유 반응물과 질소-함유 반응물의 반응으로부터 형성된 실리콘 질화물 막이, 후속하는 반응물의 흡착에 견디는 표면을 가질 수 있다. 플라즈마를 이용해 실리콘 질화물 표면을 처리함으로써, 후속하는 흡착 및 반응 이벤트를 촉진시키기 위한 수소 결합이 생성될 수 있다.
일부 실시예에서, 이하에서 더 상세히 설명되겠지만, 플라즈마 파라미터를 변화시킴으로써, 막 속성(가령, 막 응력, 유전 상수, 굴절률, 에칭 속도)이 조절될 수 있다. 표 3이 400도씨에서 증착되는 3가지 예시적 CFD 실리콘 이산화물 막에 대한 다양한 막 속성의 예시적 목록을 제공한다. 참조용으로, 표 3은 400도씨에서 증착되는 일례적 PECVD 실리콘 이산화물 막에 대한 막 정보도 포함한다.
표 3
SiO2 공정 Dep. rate (ang./cycle) NU
((최댓값-최솟값)/
평균)
NU (1 σ) Ref. Index 막 응력
(MPa)
유전 상수 습식 식각 속도 비
1초. 200W
O2 플라즈마
(HF만 있는 경우)
0.9 5% 2% 1.456 -165 6.6 7.87
10초. 1000W
O2 플라즈마
(HF만 있는 경우)
0.6 5% 2% 1.466 -138 3.9 1.59
10초. 1000W
O2 플라즈마
(HF/LF)
0.6 12% 5% 1.472 -264 3.9 1.55
PECVD SiO2 600 3% 1% 1.477 -238 4.2 5.28
예를 들어, 도 3은 증착 단계(310)와, 이에 뒤따르는 플라즈마 처리 단계(390)를 포함하는 CFD 공정 타이밍 도(300)의 하나의 실시예를 개략적으로 도시한다. 플라즈마 처리 단계 동안 임의의 적합한 플라즈마가 사용될 수 있음이 명백할 것이다. 첫 번째 시나리오에서, 증착 사이클에서, 활성화 동안 제 1 플라즈마 기체가 사용될 수 있고, 플라즈마 처리 단계 동안 이와 상이한 제 2 플라즈마가 사용될 수 있다. 두 번째 시나리오에서, 상이한 제 2 플라즈마가 제 1 플라즈마를 보충할 수 있다. 일례적 인-시추(in-situ) 플라즈마 처리 사이클에 대한 파라미터 범위가 표 4에 제공된다(이에 한정되지 않음).
표 4
단계 플라즈마 처리 스윕 단계 플라즈마 처리 활성화 단계
시간 (초) 0.25-10.0 0.25-10.0
Ar (sccm) 1-20 1-20
압력 (torr) 1-4 1-4
온도 (C) 50-400 50-400
HF 전력 (W) 50-2500 50-2500
LF 전력 (W) 0-2500 0-2500
도 3에 도시된 플라즈마 활성화 단계(380)에서, 기판 표면이 플라즈마에 노출되어, 막 증착 반응을 활성화시킬 수 있다. 도 3에 도시된 실시예에서 도시된 바와 같이, 공정 스테이션에 연속적으로 흐르는 반응물 A가 제공되며, 상기 반응물 A는, 플라즈마 처리 스윕 단계(390A)에서 보조 반응물(가령, 산소)과 비활성 기체일 수 있다. 공정 스테이션을 스윕함으로써, 공정 스테이션에서 휘발성 오염물질이 제거될 수 있다. 스윕 기체가 도 3에 도시되지만, 본 발명의 범위 내에서, 임의의 적합한 반응물 제거 방법이 사용될 수 있다. 플라즈마 처리 활성화 단계(390B)에서, 플라즈마가 점화되어, 새롭게 증착된 막의 벌크 및/또는 표면에 가까운 영역을 처리할 수 있다.
도 3의 실시예가 플라즈마 처리 단계를 포함하는 CFD 사이클의 일례를 포함하지만, 본 발명의 범위 내에서, 임의의 적합한 횟수만큼의 반복이 사용될 수 있다. 덧붙이자면, 보통의 증착 사이클과 사이클 사이의 간격에서(규칙적으로, 또는 그 밖의 다른 방식으로) 하나 이상의 플라즈마 처리 사이클이 삽입될 수 있다. 예를 들어, 도 4는 2개의 증착 사이클 사이에 삽입되는 플라즈마 처리 단계를 포함하는 CFD 공정 타이밍 도(400)의 하나의 실시예를 도시한다. 도 4의 실시예가 2개의 증착 사이클 사이에 삽입되는 플라즈마 처리 사이클을 포함하지만, 임의의 적합한 개수의 증착 사이클이 하나 이상의 플라즈마 처리 사이클에 선행하거나 후속할 수 있다. 예를 들어, 막 밀도를 변경하기 위해 플라즈마 처리가 사용되는 시나리오에서, 증착 사이클 10회마다 플라즈마 처리 사이클이 삽입될 수 있다. 흡착 및 반응 이벤트를 위한 표면을 제작하기 위해 플라즈마 처리가 사용되는 시나리오에서, 가령, 각각의 CFD 증착 단계 후, 플라즈마 처리 단계는 모든 CFD 사이클에 혼입될 수 있다.
증착된 막의 플라즈마 처리가 막의 하나 이상의 물리적 특성을 변경할 수 있다. 하나의 시나리오에서, 플라즈마 처리는 새롭게 증착된 막을 치밀화할 수 있다. 치밀화된 막(densified film)은 치밀화되지 않은 막(non-densified film)보다 더 내식각성(etch-resistant)을 가질 수 있다. 예를 들어, 도 5는 열적으로 성장한 실리콘 이산화물 막에 대한 예시적 CFD 공정의 실리콘 이산화물 막의 식각 속도(etch rate)의 비교(500)의 하나의 실시예를 도시한다. 도 5의 실시예의 예시적 막은, CFD 공정(502 및 504)에 의해, 50 내지 400도씨 온도에서 증착되었다. 참조용으로, 도핑되지 않은 실리케이트 유리(USG)와, 플라즈마-보강형(plasma-enhanced) CVD에 의해 증착된 실리콘 이산화물 스페이서 층에 대한 상대 식각 속도가 도 5에 나타난다. 각각의 증착 사이클에서 1초 저주파수 산소 플라즈마 활성화 단계를 포함하는 공정(502)에 의해 생산된 막은, 각각의 증착 사이클에서 10초 고주파수 산소 플라즈마 활성화 단계를 포함한 공정(504)에 의해 생산된 막에 비해, 희석된 불화수소산 습식 식각(100:1 H2O:HF)에 대해 약 2분의1의 내성을 가졌다. 따라서 플라즈마 활성화 단계의 하나 이상의 형태를 변화시키는 것, 및/또는 하나 이상의 플라즈마 처리 사이클을 포함하는 것이 증착되는 막의 식각 속도를 변화시킬 수 있다.
또 다른 시나리오에서, 막의 플라즈마 처리가 막의 응력 특성을 변화시킬 수 있다. 예를 들어, 도 6은 CFD 실리콘 이산화물 막에 대한 습식 식각 속도 비와 막 응력 간 상관관계(600)의 하나의 실시예를 도시한다. 도 6에서 도시된 실시예에서, 예를 들어, 플라즈마 노출 시간을 연장함에 따른 습식 식각 속도 비의 감소가 압축 막 응력을 증가시킬 수 있다.
또 다른 시나리오에서, 증착된 막의 플라즈마 처리가, 다른 막 구성물(가령, 예시적 실리콘 이산화물 막 내의 실리콘 및/또는 산소)에 대해, 미량 막 오염물(가령, 예시적 실리콘 이산화물 막 내의 수소, 질소 및/또는 탄소)의 경과적 차등 제거를 제공할 수 있다. 예를 들어, 도 7은 증착 온도, 플라즈마 노출 시간과, 막 오염물 농도의 상관관계(700)의 하나의 실시예를 도시한다. 도 7의 실시예에서, 50도씨에서 증착되고 10초 산소 플라즈마 활성화 단계를 갖는 CFD 실리콘 이산화물 막(704)이, 동일 온도, 그러나 1초 산소 플라즈마 활성화 단계를 갖는 CFD 실리콘 이산화물 막(702)보다 더 낮은 수소 및 탄소 농도를 보여준다. 막에서의 오염물 농도를 개질함으로써, 막의 전기적 및/또는 물리적 속성이 개질될 수 있다. 예를 들어, 탄소 및/또는 수소 함유량을 개질함으로써, 막 유전상수 및/또는 막의 식각 속도가 개질될 수 있다. 따라서 플라즈마 활성화 단계의 하나 이상의 형태를 변화시키는 것 및/또는 하나 이상의 플라즈마 처리 사이클을 포함하는 것이 막 조성을 변화시키는 한 가지 접근법을 제공할 수 있다.
앞서 언급된 플라즈마 처리가 산소 플라즈마 처리에 관한 것이지만, 본 실시예의 범위내에서, 임의의 적합한 플라즈마 처리가 사용될 수 있음이 자명할 수 있다. 예를 들어, 일부 실시예에서, 적합한 CFD 공정에서, NH3을 대신해, 치환 아민이 질소-함유 반응물로서 사용될 수 있다. 컨포멀 SiN 증착을 위해, 치환 아민(가령, t-부틸 아민 등의 알킬 아민)에 의해 NH3이 대체되는 것이 많은 이점을 제공할 수 있지만, 일부 경우, 증착된 막은 알킬 아민 반응물로부터 기원하는 탄소 잔류물(가령, t-부틸 아민 분자(NH2-(CH3)3)에 각각 포함된 3개의 메틸기로부터의 탄소 잔류물)을 포함할 수 있다. 이러한 막 내 탄소(in-film carbon)가 전기 누설을 초래할 수 있고, 일부 유전체 장벽 적용예에서 막을 쓸모없게 만들 수 있다.
따라서, 일부 실시예에서, SiN 막 증착 동안 수소 플라즈마를 점화시키는 것은 SiN 막 내 탄소 잔류물을 감소시킬 수 있으며, 이는 막의 절연 특성을 상대적으로 개선시킬 수 있다. 일부 실시예에서, 탄소 잔류물의 감소는 FTIR 스펙트럼에서 쉽게 관찰될 수 있다. 예컨대, SiN:C-H 수치가 약 10 원자%에서부터 약 1 원자%로 감소될 수 있다.
그러므로, 일부 실시예에서, 실리콘 질화물 막은 질소-함유 반응물에 포함되는 알킬 아민 또는 알킬 아민의 혼합물 및 1회 이상의 수소 플라즈마 처리를 사용하는 CFD 공정에 의해 증착될 수 있다. 어떠한 적절한 수소 플라즈마도 본 명세서의 범위를 벗어나지 않으면서 사용될 수 있음이 이해될 것이다. 따라서, 일부 실시예에서, H2와 기체 예컨대 He 또는 Ar의 혼합물, 또는 또 다른 H-함유 기체, 또는 원격 플라즈마 공급원에 의해 생성된 활성 H 원자가 증착된 막을 처리하기 위하여 사용될 수 있다. 또한, 일부 실시예에서, 처리 펄스의 수와 그 기간, 처리 플라즈마의 세기, 기판 온도, 및 처리 기체 조성 중 하나 이상을 변화시킴으로써 막의 탄소 함량을 임의의 적절한 농도로 조정할 수 있다.
전술한 수소 플라즈마 처리가 실리콘 질화물 막에 관계하는 한편, 적절한 수소 플라즈마 처리의 적용은 SiOx, GeOx, 및 SiOxNy를 비롯하는 또 다른 CFD 증착된 막의 탄소 함량을 조절하기 위하여 사용될 수 있다고 이해될 것이다.
본 명세서에 기재된 일부 실시예는 산화물 CFD 막의 자외선 처리(플라즈마 처리를 동반하거나 또는 동반하지 않음)에 관한 것이다. 이러한 처리는 산화물 내의 결함을 완화시키고 게이트 절연체의 CV 특성과 같은 전기적 특성을 개선시킬 수 있다. 이러한 처리로부터 이익이 될 수 있는 CFD 산화물을 사용하는 장치 또는 패키지 응용은 실리콘-관통 비아(thru-silicon via), 게이트 산화물을 사용하는 로직 기술(logic technology), 좁은 트렌치 격리공정(shallow trench isolation, STI), STI-포토레지스트 스트립 이후에 형성된 얇은 열 산화, P-우물 공정(P-well implant) 이전의 희생 산화물(예컨대, ~60A), 사후 "우물" 열 산화물 성장, 게이트/채널 산화물, DRAM PMD PECVD Oxide를 포함한다.
일부 경우에, 미처리된 CFD 산화물 막이 비교적 나쁜 전기적 성능을 갖는 것으로 관찰되었는데 이는 갓 증착된 막(as deposited film) 내 고정 전하 때문인 것으로 간주된다. 예를 들면, 일부 막들이 상당한 웨이퍼-내(within-wafer) Vfb 변수를 갖는 것으로 밝혀졌다. 이러한 문제점은 UV-조사를 사용하는 증착-후(post-deposition) 처리 및/또는 수소 존재하의 열적 어닐링을 사용하여 해결되었다. 이러한 공정은 (1) 산화물 대 실리콘 경계면 또는 (2) 증착된 절연체 막 내부 또는 (3) 공기 대 산화물 표면(표면 전하)에서의 고정 전하와 관련된 결함을 패시베이트(passivate)하거나 및/또는 완화시키는 것으로 여겨진다. 이러한 처리를 사용함으로써, 갓 증착된 산화물에 대한 Vfb 분포를 UV 경화 이후에 8.3V 내지 약 1.5V로 고정시켰다.
이러한 실시예들이 주로 산화물 막을 개선시키는 것에 관한 것이지만, 개시된 방법은 일반적으로 절연체, 금속, 금속 대 절연체 경계면 공학의 성장에 적용될 수 있다. 구체적인 절연체 물질은 예컨대 도핑된 실리콘 산화물을 비롯한 실리콘 산화물, 실리콘 카바이드, 실리콘 옥시카바이드, 실리콘 질화물, 실리콘 옥시질화물, 및 애쉬어블 하드 마스크(ashable hard mask) 재료를 포함한다.
절연 특성을 개선하기 위하여 적용될 수 있는 실시예의 예는 다음을 포함한다:
(A) CFD에 의해 합성된 절연체 막의 UV 경화를 이용한 증착-후 처리 및 그 후 수소-어닐링. 이러한 단순한 실시예에서, UV 처리는 고정 전하를 감소시키기 위하여 단독으로 사용될 수 있다.
(B) CFD-절연체 막 증착 이전에 He, H2 , Ar, N2 , H2/N2-성형 기체, NH3의 존재 하에 H2-플라즈마, N2-플라즈마, N2/H2-플라즈마, NH3-플라즈마, Ar-플라즈마, He-플라즈마, He 어닐링, H2-어닐링, NH3-어닐링, 및 UV 경화를 포함하는 처리를 사용한 기판의 전-처리(Pre-treatment). 플라즈마 공정은 비제한적인 예로서 마이크로웨이브, ICP-리모트, 다이렉트 등을 포함하는 다양한 플라즈마 발생기에 의해 수행될 수 있다.
(C) He, H2 , Ar, N2 , H2 /N2-성형 기체, NH3의 존재 하에 H2-플라즈마, N2-플라즈마, N2/H2-플라즈마, NH3-플라즈마, Ar-플라즈마, He-플라즈마, He 어닐링, H2-어닐링, NH3-어닐링, 및 UV 경화를 포함하는 처리를 사용하는 동시발생 처리(Concurrent treatment)(증착 동안의 경화). 플라즈마 공정은 비제한적인 예로서 마이크로웨이브, ICP-리모트, 다이렉트 및 해당 업계의 통상의 기술자에게 알려진 또 다른 것을 포함하는 다양한 플라즈마 발생기에 의해 수행될 수 있다. 비제한적으로, 리모트 플라즈마, UV 노출, 직접 플라즈마, 및 마이크로웨이브 플라즈마를 포함하는 등방성 및 방향성 공정(Isotropic and directional processing)이 적용될 수 있다. 한 예시적인 방법은 CFD 사이클의 그룹들 사이에서 막의 간헐적 처리를 포함한다. 한 그룹의 CFD 사이클은 약 1 내지 10000 사이클에서 변화할 수 있다. 전형적인 양상은 (1) 5 사이클의 CFD 산화물 성장, 후속하여 (2) 전술한 방법 중 임의 방법(예컨대, He-플라즈마, UV-처리)에 의한 하나 이상의 막 처리, 후속하여 (3) 5사이클의 CFD 산화물 성장을 포함한다. 이러한 방법은 임의의 원하는 두께의 막을 성장시키기 위해 사용될 수 있다.
(D) 전술한 플라즈마 중 임의 것에 의한 부산물로서 제공되는 UV 처리 (예컨대, 헬륨 플라즈마는 UV 조사(radiation)를 방출함).
CFD 사이클링 동안의 인 시츄(in situ) "경화"를 위한 과정의 한 예는 다음 공정을 포함한다:
(1) He-플라즈마를 사용한 UV 처리
(2) BTBAS 적용
(3) 정화(Purge)
(4) O2/Ar-RF 플라즈마 활성화
(5) 정화
(6) 단계 1-5를 반복하여 원하는 두께의 막을 산출.
일정 범위의 UV 경화 조건이 임의의 기재된 문맥에서 사용될 수 있다. 일반적으로, 받침대 온도는 경화 동안 약 250 내지 500℃로 유지될 것이다. 많은 장치 제작 애플리케이션에 대하여, 상한 온도는 450℃ 또는 심지어 400℃로 제한될 것이다. 경화 동안 사용되는 주위환경(ambient)은 불활성 또는 반응성일 수 있다. 경화 동안 존재할 수 있는 기체의 예로는 헬륨, 아르곤, 질소, 성형 기체, 및 암모니아가 있다. 이러한 기체의 유량은 약 2 내지 20,000 sccm, 바람직하게는 약 4000 내지 18,000 sccm일 수 있다. UV 램프의 전력은 예컨대 약 2-10 kW, 바람직하게는 약 3.5 내지 7 kW일 수 있다. 이러한 출처로부터 나오는 UV에 대한 노출의 적절한 기간은 약 20 내지 200 초(예컨대 약 90 초)이다. 마지막으로, 압력은 0 Torr 내지 약 40 Torr 수준에서 유지된다.
특정 실시예에서, CFD 산화물의 효과적인 처리는 다음 조건을 사용하여 수득되었다:
받침대 온도 = 400℃
주위환경 = He
압력 = 40 Torr He
유량 = 10,000 sccm
일부 실시예에서, 산화물의 열적 어닐링은 UV 경화 공정 이후에 수행된다. 한 실시예에서, 다음 조건이 어닐링에서 사용되었다:
받침대 온도(Ped T) = 400℃
주위환경 = H2 + N2
압력 = 2.5 Torr 
유량 = 750 sccm H2 ; 3000 sccm N2
증착된 막의 물리적 및 전기적 특성은 또한 또 다른 공정 파라미터, 예컨대 증착 온도의 조정에 의하여 변화될 수 있다. 예를 들면, 도 7에 도시된 실시예의 상관관계(700)는 CFD 막 증착 온도와 막 오염물 농도 사이의 예시적인 상관관계를 나타낸다. 막 증착 온도가 증가함에 따라, 막 오염물의 혼입이 감소한다. 또 다른 예에서, 도 5에 제시된 실시예는, 전술한 바와 같이, 예로서 이산화 실리콘 CFD 막의 습식 식각 속도 비율이 증착 온도가 증가함에 따라 감소함을 나타낸다. 막 물성을 조정하기 위하여 조절될 수 있는 또 다른 증착 파라미터에는 RF 전력, RF 주파수, 압력, 및 유량이 있다. 또한, 일부 실시예에서, 막 특성은 반응물 선택을 달리함으로써 변화될 수 있다. 예컨대, 이산화 실리콘 막의 수소 함량은 실리콘-함유 반응물로서 테트라 이소시아네이트 실란(TICS) 을 사용하고, 산소-함유 반응물로서 산소 및/또는 아산화질소를 사용하여 감소시킬 수 있다.
전술한 바와 같은 물리적 및/또는 전기적 막 특성의 변화는 장치 성능 및 수득률을 조절할 수 있는 기회뿐만 아니라 소자 제조 공정 통합의 양상을 수정하는 기회를 제공할 수 있다. 한 가지 비-제한적 예로서, CFD 이산화 실리콘 막의 식각 속도 특성을 조정하는 능력은 상기 막을 식각 정지법, 하드 마스크, 및 또 다른 공정 통합 애플리케이션을 위한 후보로 만들 수 있다. 따라서, 집적 반도체 소자 제조 공정 전반의 애플리케이션을 위하여 CFD-생성된 막의 다양한 실시예가 본 발명에서 제공된다.
한 양상에서, CFD 공정은 비-평면 기판상에 컨포멀 이산화 실리콘 막을 증착할 수 있다. 예를 들면, CFD 이산화 실리콘 막은 예컨대 좁은 트렌치 격리공정(STI) 구조의 트렌치 충전과 같은, 구조의 갭 충전을 위하여 사용될 수 있다. 이하에서 기재되는 다양한 실시예가 갭 충전 애플리케이션에 관한 것이지만, 이는 단지 비-제한적이며, 예시적인 애플리케이션이라는 것이 이해될 것이며, 또한 또 다른 적절한 막 재료를 사용하는 또 다른 적절한 애플리케이션이 본 발명의 범위에 포함될 수 있다고 이해될 것이다. CFD 이산화 실리콘 막을 위한 또 다른 애플리케이션은 비-제한적으로, 층간 절연막(interlayer dielectric, ILD) 애플리케이션, 금속간 절연막(intermetal dielectric, IMD) 애플리케이션, 사전-금속 절연막(pre-metal dielectric, PMD) 애플리케이션, 실리콘-관통 비아(through-silicon via, TSV)를 위한 절연 라이너 애플리케이션, 저항 RAM (ReRAM) 애플리케이션, 및/또한 DRAM 내 적층 커패시터 제조 애플리케이션을 포함한다.
도핑된 실리콘 산화물은 붕소, 인, 또는 심지어 비소 도판트을 위한 확산 소스로서 사용될 수 있다. 예를 들면, 붕소 도핑된 실리케이트 글래스(BSG), 인 도핑된 실리케이트 글래스 (PSG), 또는 심지어 붕소 인 도핑된 실리케이트 글래스(BPSG)가 사용될 수 있다. 도핑된 CFD 층은 예를 들면, 멀티-게이트 FinFET's와 같은 3-차원 트랜지스터 구조 및 3-차원 메모리 소자에서의 컨포멀 도핑을 제공하기 위하여 사용될 수 있다. 종래 이온 주입기(ion implanter)는 특히 고종횡비 구조에서 측벽을 용이하게 도핑하지 못한다. 확산 소스로서 CFD 도핑된 산화물은 다양한 장점을 가진다. 먼저, 이들은 저온에서 높은 컨포멀리티(conformality)를 제공한다. 비교하면, 저압 CVD 생성된 도핑된 TEOS (테트라에틸오쏘실리케이트)가 공지되어 있으나 이는 고온에서의 증착을 요구하며, 대기압-이하 CVD 및 PECVD 도핑된 산화물 막이 저온에서 가능하지만 이는 부적절한 컨포멀리티를 가진다. 도핑의 컨포멀리티가 중요하지만, 막의 컨포멀리티 자체도 중요한데, 왜냐하면 막은 전형적으로 희생적으로 도포되고 그 후 제거될 필요가 있기 때문이다. 비-컨포멀 막은 전형적으로 제거에서 더 많은 어려움에 직면하는데, 즉 일부 영역이 과식각될 수 있다. 또한, CFD는 매우 잘 제어된 도핑 농도를 제공한다. 전술한 바와 같이, CFD 공정은 도핑안된 산화물의 수개 층 및 후속하여 도핑의 단일층을 제공할 수 있다. 도핑 수준은 도핑된 층이 증착되는 주파수 및 도핑 사이클의 조건에 의해 엄격하게 제어될 수 있다. 특정 실시예에서, 도핑 사이클은 예를 들면 상당한 입체 장애를 갖는 도판트 공급원을 사용하여 제어된다. 종래 실리콘계 마이크로전자공학에 추가하여, CFD 도핑의 또 다른 응용분야는 GaAs와 같은 III-V족 반도체 및 HgCdTe와 같은 II-VI족 반도체에 기초하는 마이크로전자공학 및 광전자공학, 광전지공학, 평탄 디스플레이, 및 전기 변색 기술을 포함한다.
일부 갭 충전 공정은 증착 공정 사이에 진공 브레이크 및 공기 노출을 요구하는, 서로 다른 증착 기구에서 수행되는 2가지 막 증착 단계를 포함한다. 도 8은 복수의 갭(802)을 포함하는 예시적인 비-평면 기판(800)을 개략적으로 나타낸다. 도 8에 도시된 바와 같이, 갭(802)은 다양한 종횡비를 가질 수 있으며, 상기 종횡비는 각각의 갭(802)에 대하여 갭 깊이(H) 대 갭 폭(W)의 비율로서 정의될 수 있다. 예를 들면, 집적 반도체 소자의 로직 영역(logic area)은 서로 다른 로직 소자 구조에 대응하는 다양한 갭 종횡비를 가질 수 있다.
도 8에 도시된 바와 같이, 비-평면 기판(800)은 얇은 컨포멀 막(804)으로 덮힌다. 컨포멀 막(804)이 갭(802A)을 완전하게 채우는 한편, 갭(802B 및 802C)은 개방된 채로 잔류한다. 갭(802B 및 802C)을 컨포멀 막으로 폐쇄시키는 것은 연장된 공정 시간을 초래한다. 따라서, 일부 접근법에 있어서, 더 두꺼운 막이 CVD 및/또는 PECVD 방법과 같은 고속 증착 속도 공정에 의해 엑스-시츄(ex-situ)에서 증착될 수 있다. 그렇지만, 갭 충전 막의 엑스-시츄 증착은 제조 라인에서 웨이퍼 처리량을 감소시킬 수도 있다. 예를 들면, 증착 기구들 사이에서의 기판 조작 및 이동 시간은 생산 기간 동안 수 많은 기판 공정 활동을 감소시킬 수 있다. 이는 생산 라인 처리량을 축소시킬 수 있으며 생산 라인에서의 추가적인 공정 기구의 장착 및 유지를 요구할 수 있다.
또한, 갭(802C)이 기체상 증착 공정에 적합한 종횡비를 가질 수 있는 한편, 갭(802B)은 고속 증착 속도 공정에 의해 불완전한 충전을 야기할 수 있으며 키홀(keyhole) 공극을 형성하는 종횡비를 가질 수 있다. 예를 들면, 도 10은 기판(1002)에 형성된 예시적인 고종횡비 구조물(1000)을 나타낸다. 도 10에 도시된 바와 같이, 더 두꺼운 막(1006)의 증착 동안, 브레드-로핑 효과가 키홀 공극(1008)을 생성하였다. 키홀 공극은 재개방되고 후속하는 공정에서 전도성 막으로 충전될 수 있으며, 이는 소자 단락을 야기할 수 있다.
갭(802B)과 같은 고종횡비 갭을 해결하기 위한 일부 접근법은 이러한 갭의 생성을 회피하는 소자 설계 규정을 제공하는 것을 포함한다. 그렇지만, 이러한 설계 규정은 추가적인 마스킹 단계를 요구하며, 이는 소자 설계를 어렵게 만들거나, 및/또는 증가된 집적 반도체 소자 영역을 야기할 수 있으며, 이는 제조 단가를 증가시킬 수 있다. 따라서, 일부 실시예에서, CFD 공정은 CFD 공정에서 CVD 및/또는 PECVD 공정으로의 인-시츄 전이를 포함할 수 있다. 예를 들면, 도 9는 3-단계로 구분된 CFD 공정 시간 다이어그램(900)의 한 예를 나타낸다. CFD 공정 단계(902)는 예시적인 CFD 공정 사이클을 나타낸다. 명확성을 위하여, 단일 CFD 공정 사이클을 도 9에 도시된 예에 제시하였으나, 임의의 적절한 수의 CFD 공정 사이클 및 플라즈마 처리 사이클이 CFD 공정 단계(902)에 포함될 수 있음이 이해될 것이다. 전이 단계(904)가 CFD 공정 단계(902)에 후속한다. 도 9의 예에 도시된 바와 같이, 전이 단계(904)는 CFD 공정 및 PECVD 공정 둘 모두의 형태를 포함한다. 구체적으로, 반응물 B 노출 단계(904A)의 종점 이후의 공정 스테이션에 반응물 B가 제공되어서 반응물 A 및 B 둘 모두가 플라즈마 활성 단계(904B) 동안 기체 상태로 존재한다. 이는 CFD-유형 표면 반응과 동시에 일어나는 PECVD-유형 기체 상태 반응을 제공할 수 있다. 전이 단계(904)가 반응물 B 노출 단계(904A)와 플라즈마 활성 단계(904B)의 단지 한번의 반복을 포함하지만, 임의 적절한 반복이 전이 단계에 포함될 수 있음이 이해될 것이다.
일부 실시예에서, 플라즈마 발생기는 플라즈마 활성 단계(904B) 동안 플라즈마 에너지의 간헐적 펄스를 제공하기 위하여 제어될 수 있다. 예를 들면, 플라즈마는 비-제한적으로 10 Hz 내지 150 Hz의 주파수를 비롯하는, 한 가지 이상의 주파수에서 펄스될 수 있다. 이는 연속 플라즈마에 비하여 이온 충격(ion bombardment)의 방향성(directionality)을 감소시켜 스텝 커버리지(step coverage)를 강화할 수 있다. 또한, 이는 기판에 대한 이온 충격 손상을 감소시킬 수도 있다. 예를 들면, 포토레지스트 기판은 연속 플라즈마 동안 이온 충격에 의해 부식될 수 있다. 플라즈마 에너지를 펄스시키는 것은 포토레지스트 부식을 감소시킬 수 있다.
도 9에 도시된 실시예에서, 플라즈마 활성 단계(904B) 동안의 반응물 B의 유량은 반응물 B 노출 단계(904A) 동안의 반응물 B의 유량보다 적다. 따라서, 반응물 B는 플라즈마 활성 단계(904B) 동안 공정 스테이션 내로 "졸졸 흐를 수(trickle)" 있다. 이는 CFD-유형 표면 반응을 보충하는 기체-상태 PECVD 반응을 제공할 수 있다. 그렇지만, 일부 실시예에서, 반응물 B의 유량이 단일 플라즈마 활성화 단계 동안 또는 전이 단계 과정을 통하여 변할 수 있음이 이해될 것이다. 예를 들면, 반응물 B 노출과 플라즈마 활성화의 2회 반복을 포함하는 전이 단계에서, 제1 플라즈마 활성화 단계 동안의 반응물 B의 유량이 제2 플라즈마 활성화 단계 동안의 반응물 B의 유량 보다 적을 수 있다. 플라즈마 활성 단계(904B) 동안 반응물 B의 유량을 변화시키는 것은 CFD 공정 단계(902)의 스텝-커버리지 특성으로부터 PECVD 공정 단계(906)의 증착 속도 특성으로의 완만한 전이를 제공할 수 있다.
도 26은 전술한 인-시츄 전이 공정의 한 예에 따라 증착된 여러 층을 나타내는 비-평면 기판(2602)의 예시적인 횡단면(2600)을 도시한다. 컨포멀 막(2604)은 CFD 공정 단계의 한 실시예에서 증착될 수 있다. 제1 전이 막(2606) 및 제2 전이 막(2608)은 전술한 실시예와 유사한 전이 단계의 한 실시예에서 증착될 수 있다. 예를 들면, 제1 전이 막(2606)이 전이 단계 동안 반응물 B의 제1 유량, 즉 저유량으로 증착되고, 제2 전이 막(2608)이 전이 단계에서 그 이후에 반응물 B의 제2 유량, 즉 고유량으로 증착될 수 있다. 이는 전이 단계 동안 증착 속도의 증가를 제공할 수 있으며, 이는 기구의 사이클 시간을 감소시키고 기구 처리량을 증가시킬 수 있다. 도 28은 본 발명의 한 실시예에 따라, 증착 속도와 CFD 표면 반응 단계(2802)로부터 PECVD 단계(2804)로의 전이 사이의 예시적인 상관관계(2800)를 나타낸다. 도 28은 또한 각각 CFD 표면 반응 단계(2802) 및 PECVD 단계(2804)에 대응하는 예시적인 주사 전자 현미경(SEM) 사진(2806 및 2808)을 나타낸다.
그 대신에 또는 부가적으로, 일부 실시예에서, 반응물 B의 유량은 전이 단계의 플라즈마 활성화 단계 동안 일정할 수 있다. 도 27은 CFD 공정 시간 다이어그램(2700)의 한 실시예를 도시하는데, 반응물 B의 유량이 전이 단계(2704)의 플라즈마 활성화 단계(2704B) 동안 일정하다.
일부 실시예에서, 동시 발생하는 PECVD-유형 기체 상태 반응 및 CFD-유형 표면 반응이 막 증착 공정 전반에서 사용될 수 있다. 예를 들면, 실리콘-관통 비아 (TSV)를 위한 절연 라이너는, 동시 발생하는 CFD-유형 및 PECVD-유형 반응에 의해, 세미- 컨포멀 막으로 충전될 수 있다.
동실 발생하는 PECVD-유형 및 CFD-유형 반응은 플라즈마 환경에서 반응물 B가 반응물 A가 공존하면서 일어날 수 있다. 일부 실시예에서, 플라즈마 환경 내 반응물들의 공존은 반응물 B의 공급을 중단시키고 기판에 대한 반응물 B의 노출을 계속한 이후에 공정 스테이션 내에 반응물 B를 지속시킴으로써 유발될 수 있다. 예를 들면, 도 29는 공정 스테이션에 반응물 B의 공급을 중단하는 단계와 플라즈마 활성화 사이에 양성 시간 기간을 갖는 스윕(sweep) 단계를 포함하는 CFD 공정의 한 실시예에 대한 시간 다이어그램(2900)을 나타낸다. 또 다른 실시예에서, 도 30은 반응물 B의 공급을 중단하는 단계와 플라즈마 활성화 사이에 스윕 단계를 제외하는(예를 들어, 스윕 시간 = 0을 가짐) CFD 공정의 한 실시예에 대한 또 다른 시간 다이어그램(3000)을 나타낸다.
일부 실시예에서, 플라즈마 환경 내 반응물들의 공존은 공정 스테이션으로의 반응물 B의 공급 및 플라즈마 활성화의 동시발생으로부터 기인할 수 있다. 예를 들면, 도 31은 공정 스테이션으로의 반응물 B의 공급과 플라즈마 활성화 사이에 오버랩("음성" 스윕 시간으로 표현됨)을 갖는 CFD 공정의 한 실시예에 대한 시간 다이어그램(3100)을 나타낸다.
일부 실시예에서, CFD 공정은 증착된 막의 요각(re-entrant) 부분을 선택적으로 제거하기 위한 인-시츄 식각을 포함할 수 있다. 갭 충전 CFD 공정을 위한 인-신츄 식각을 포함하는 예시적인 이산화 실리콘 증착 공정에 대한 비-제한적 파라미터 범위가 표 5에 제시된다.
표 5
단계 반응물 A
노출 단계
반응물 B
노출 단계
스윕 단계 플라즈마
활성화 단계

식각 단계
시간
(sec)
연속적 0.25-10.0 0.25-10.0 0.25-10.0 0.25-10.0
BTBAS (sccm) - 0.5-2.0 0 0 0
O2
(slm)
1-20 1-20 1-20 1-20 0
NF3
(sccm)
0 0 0 0 1-15
Ar (slm) 1-20 1-20 1-20 1-20 1-20
압력
(torr)
1-4 1-4 1-4 1-4 1-4
온도 (℃) 50-400 50-400 50-400 50-400 50-400
HF 전력
(W)
0 0 0 50-2500 50-2500
LF 전력
(W)
0 0 0 0-2500 0-2500
도 11은 증착 단계(1102), 식각 단계(1104), 및 후속 증착 단계(1106)를 포함하는 CFD 공정 시간 다이어그램(1100)의 한 예를 나타낸다. 도 11에 제시된 실시예의 증착 단계(1102)에서, 기판의 노출된 표면에 막이 증착된다. 예를 들면, 증착 단계(1102)는 하나 이상의 CFD 공정 증착 사이클을 포함할 수 있다.
도 11의 실시예의 식각 단계(1104) 이후에, 반응물 A 및 B를 중지시키고 식각 기체를 공정 스테이션에 도입시킨다. 식각 기체의 한 가지 비-제한적 예는 질소 삼불화물(NF3)이다. 도 11에 도시된 실시예에서, 식각 기체는 식각 단계(1104) 동안 점화된 플라즈마에 의해 활성화된다. 공정 스테이션 압력, 기판 온도, 식각 기체 유량과 같은 다양한 공정 파라미터가, 비-평면 기판상의 증착된 막의 요각 부분을 선택적으로 제거하기 위하여 식각 단계(1104) 동안 조절될 수 있다. 임의 적절한 식각 공정이 본 발명의 범위 내에서 사용될 수 있다. 또 다른 예시적인 식각 공정은 비-제한적으로 활성 이온 식각, 비-플라즈마 기상 식각, 고체상 승화, 및 식각 화학종의 흡착 및 방향성 활성화(예컨대, 이온 충격에 의한)를 포함한다.
일부 실시예에서, 비상용성 기체 상태 화학종이 막을 식각하기 이전 및 이후에 공정 스테이션으로부터 제거될 수 있다. 예를 들면, 도 11의 실시예는 반응물 A 및 B를 중지시킨 이후에 그리고 식각 단계(1104) 동안 식각 기체를 중지시킨 이후의 불활성 기체의 연속적인 흐름을 포함한다.
식각 단계(1104) 종점에서, 증착 단계(1106)가 시작하여 비-평면 기판상의 갭을 추가로 충전한다. 증착 단계(1106)는 임의 적절한 증착 공정일 수 있다. 예를 들면, 증착 단계(1106)는 CFD 공정, CVD 공정, PECVD 공정 등 중 하나 이상을 포함할 수 있다. 도 11의 실시예가 단일 식각 단계(1104)를 도시하지만, 복수의 인-시츄 식각 공정이, 갭 충전 공정 동안 임의 적절한 유형의 여러 증착 단계 사이에 간격을 두고 삽입될 수 있음이 이해될 것이다.
도 12A-C는 전술한 인-시츄 증착 및 식각 공정의 실시예의 여러 단계에서의 비-평면 기판의 예시적인 횡단면을 도시한다. 도 12A는 갭(1202)을 포함하는 예시적인 비-평면 기판(1200)의 횡단면을 나타낸다. 갭(1202)은 박막(1204)으로 덮여 있다. 박막(1204)은 갭(1202)에 대하여 거의 컨포멀하지만, 박막(1204)은 갭(1202)의 거의 상단에 요각 부분(1206)을 포함한다.
도 12B에 도시된 실시예에서, 박막(1204)의 요각 부분(1206)은 선택적으로 제거되었으며 박막(1204)의 상단 영역(1204A)은 하단 영역(1204B)보다 더 얇다. 요각 부분의 선택적 제거 및/또는 측벽 각도 조절은 활성 식각 화학종에게 물질 전달 한계 및/또는 수명 한계를 부여함으로써 달성될 수 있다. 일부 실시예에서, 갭(1202) 상단에서의 선택적 식각이 또한 갭(1202)의 측벽 각도를 조절할 수 있고, 이에 따라 갭(1202)이 하부보다 상부에서 더 넓어질 수 있다. 이는 후속 증착 단계에서의 브레드-로핑 효과를 더욱 감소시킬 수 있다. 도 12C에 도시된 실시예에서, 후속 증착 단계 이후에, 갭(1202)이 거의 충전되어 공극을 나타내지 않는다.
인-시츄 식각 공정의 또 다른 실시예가 도 25에 도시되는데, 상기 도 25는 구리 전극에 대한 실리콘-관통 비아(TSV)(2500)를 나타낸다. 일부 예시적인 TSV는 대략 105 마이크론의 깊이 및 대략 6 마이크론의 지름을 가져서 약 17.5:1의 종횡비를 나타내며, 대략 200 ℃의 열적 예산 상한(thermal budget ceiling)을 가진다. 도 25의 실시예에 제시된 바와 같이, 실리콘-관통 비아(2500)는 실리콘 기판을 금속-충전된 비아로부터 전기적으로 분리시키기 위하여 절연성 분리 층(2502)으로 덮힌다. 예시적인 절연성 분리 층 재료는 비-제한적으로 실리콘 산화물, 실리콘 질화물, 낮은-k 절연 재료를 포함한다. 일부 실시예에서, 전술한 예시적인 식각 공정은 아르곤과 같은 적절한 스퍼터 기체를 사용하는 요각 부분의 물리적 스퍼터링에 의해 보충될 수 있다.
CFD 막에 대한 또 다른 예시적인 애플리케이션은 비-제한적으로 백-엔드-오브-라인(back-end-of-line) 상호연결 분리를 위한 컨포멀 낮은-k 막(예컨대 일부 비-제한적 예에서 약 3.0 또는 그 미만의 k) 애플리케이션, 식각 정지법 및 스페이서 층을 위한 컨포멀 실리콘 질화물 막 애플리케이션, 컨포멀 반사방지 막, 그리고 구리 부착 및 장벽 층을 포함한다. BEOL 공정을 위한 낮은-k 절연체의 많은 다른 조성물이 CFD를 사용하여 제조될 수 있다. 예로서 실리콘 산화물, 산소 도핑된 카바이드, 탄소 도핑된 산화물, 옥시질화물, 등이 있다.
또 다른 실시예에서, 한 가지 집적 공정 양상에 있어서, 이산화 실리콘 스페이서 층이 포토레지스트 "코어(core)" 상부에 증착될 수 있다. 대안적인 코어 재료(예컨대 실리콘 카바이드 층) 대신에 포토레지스트 코어를 사용하는 것은 집적 공정에서 패턴닝 단계를 제거할 수도 있다. 공정은 통상적인 리소그래피 기술을 사용하여 포토레지스트를 패턴화하는 단계, 및 후속하여 CFD 산화물의 박막을 상기 코어 상부에 직접적으로 증착하는 단계를 포함할 수 있다. 방향성 건조 식각 공정이 그 후 사용되어, 패턴화된 포토레지스트의 상단에서 CFD 산화물 막을 제거하고, 하부에서는 단지 패턴화된 포토레지스트의 측벽을 따라 물질을 잔류시킨다(트렌치를 고려하라). 이러한 단계에서, 단순한 애슁(ashing)이 사용되어 CFD 산화물에 남은 노출된 코어를 제거할 수 있다. 일단 단일 포토레지스트 라인이 존재하였다면, 현재는 2개의 CFD-산화물 라인이 존재한다. 이러한 방식으로, 공정은 패턴 밀도를 2배 증가시키며; 이는 가끔 "이중 패턴닝(double patterning)"으로 불린다. 불행하게도, 포토레지스트 코어의 사용은 스페이서 층 증착 온도를 70℃ 미만으로 제한할 수 있으며, 이는 종래 CVD, PECVD, 및/또는 ALD 공정에 대한 증착 온도보다 더 낮을 수 있다. 따라서, 일부 실시예에서, 저온 CFD 이산화 실리콘 막이 70℃ 미만의 온도에서 증착될 수 있다. 또 다른 잠재적인 집적 공정 애플리케이션이 본 발명의 범위 내의 적절한 CFD-생성된 막을 위하여 존재한다는 것이 이해될 것이다. 추가적으로, 여러 실시예에서, 전술한 바와 같이 증착된 실리콘 질화물과 같은 질화물이 반도체 소자 제조의 여러 단계에서 컨포멀 확산 장벽 층 및/또는 식각 정지법으로서 사용된다는 것이 이해될 것이다.
전술한 다양한 CFD 증착 공정이 단일 막의 증착, 처리 및/또는 식각에 유도되었으나, 본 발명의 범위 내의 일부 CFD 공정이 복수의 막의 인-시츄 증착을 포함할 수 있음이 이해될 것이다. 예를 들면, 막의 교호층(alternating layer)이 인-시츄 증착될 수 있다. 첫 번째 양상에서, 게이트 소자용 이중 스페이서가 실리콘 질화물/실리콘 산화물 스페이서 스택의 인-시츄 증착에 의해 제조될 수 있다. 이는 사이클 시간을 감소시키고 공정 스테이션 처리량을 증가시킬 수 있으며, 잠재 막 층 비상용성에 의해 형성되는 층간 결함을 회피할 수도 있다. 두 번째 양상에서, 리소그래피 패턴화 애플리케이션를 위한 반사방지 층이 조정가능한 광학 물성을 갖는 SiON 또는 비정질 실리콘 및 SiOC의 스택으로서 증착될 수 있다.
임의 적절한 공정 스테이션이 전술한 실시예의 한 가지 이상과 함께 사용될 수 있음이 이해될 것이다. 예를 들면, 도 13은 CFD 공정 스테이션(1300)의 한 실시예를 개략적으로 나타낸다. 단순성을 위하여, CFD 공정 스테이션(1300)을 저압 환경을 유지하기 위한 공정 챔버 바디(1302)를 갖는 독립형 공정 스테이션으로 도시하였다. 그렇지만, 복수의 CFD 공정 스테이션(1300)이 통상적인 저압 공정 기구 환경에 포함될 수 있음이 이해될 것이다. 도 13에 도시된 실시예가 하나의 공정 스테이션을 나타내지만, 일부 실시예에서, 복수의 공정 스테이션이 공정 기구에 포함될 수 있음이 이해될 것이다. 예를 들면, 도 24는 멀티-스테이션 공정 기구(2400)의 한 예를 도시한다. 또한, 일부 실시예에서, 이하에서 상세하게 설명되는 것을 비롯하여 CFD 공정 스테이션(1300)의 한 가지 이상의 하드웨어 파라미터가 한 가지 이상의 컴퓨터 제어기에 의해 프로그램적으로 조절될 수 있음이 이해될 것이다.
CFD 공정 스테이션(1300)은 공정 기체를 분배 샤워헤드(1306)로 운반하기 위하여 반응물 운반 시스템(1301)과 유체 소통한다. 반응물 운반 시스템(1301)은 샤워헤드(1306)로 운반하기 위하여 공정 기체를 혼합 및/또는 조절하기 위한 혼합 용기(1304)를 포함한다. 하나 이상의 혼합 용기 유입 밸브(1320)가 혼합 용기(1304)로의 공정 기체의 도입을 제어할 수 있다.
BTBAS와 같은 일부 반응물을 기화 이전에 액체 형태로 저장하고 후속하여 공정 스테이션으로 운반할 수 있다. 예를 들면, 도 13의 실시예는 혼합 용기(1304)로 공급될 액체 반응물을 기화시키기 위한 기화 지점(1303)을 포함한다. 일부 실시예에서, 기화 지점(1303)은 가열된 기화기일 수 있다. 이러한 기화기로부터 생성된 포화 반응물 증기는 하류의 운반 배관에서 응축될 수 있다. 응축된 반응물에 대한 비상용성 기체의 노출은 소규모 입자를 생성할 수 있다. 이러한 소규모 입자들은 배관을 막거나, 밸브 작동을 방해하거나, 기판을 오염시키는 등을 할 수 있다. 이러한 문제를 해결하기 위한 일부 접근법은 잔류 반응물을 제거하기 위하여 운반 배관을 스윕핑(sweeping) 및/또는 소거(evacuating)시키는 것을 포함한다. 그렇지만, 운반 배관을 스윕핑하는 것은 공정 스테이션 사이클 시간을 증가시켜서 공정 스테이션 처리량을 감소시킬 수 있다. 따라서, 일부 실시예에서, 기화 지점(1303) 하류의 운반 배관을 열추적한다. 일부 실시예에서, 혼합 용기(1304)가 또한 열추적될 수 있다. 한 비-제한적 실시예에서, 기화 지점(1303) 하류의 배관은 혼합 용기(1304)에서 약 100℃부터 약 150℃까지 연장되는 증가하는 온도 특성을 가질 수 있다.
일부 실시예에서, 반응물 액체는 액체 주입기에서 기화될 수 있다. 예를 들면, 액체 주입기는 액체 반응물의 펄스를 혼합 용기 상류의 운송 기체 스트림 내로 주입시킬 수 있다. 한 양상에서, 액체 주입기는 액체를 고압으로부터 저압으로 분출시킴으로써 반응물을 기화시킬 수 있다. 또 다른 양상에서, 액체 주입기는 추후 가열된 운반 배관 내에서 기화되는 분산된 마이크로액적(microdroplet)으로 액체를 원자화시킬 수 있다. 더 작은 액적(droplet)이 더 큰 액적보다 더 빨리 기화하여, 액체 주입과 완전한 기화 사이의 대기시간을 감소시킨다는 것이 이해될 것이다. 신속한 기화는 기화 지점(1303) 하류의 배관의 길이를 감소시킬 수 있다. 한 양상에서, 액체 주입기는 혼합 용기(1304)에 직접 탑재될 수 있다. 또 다른 양상에서, 액체 주입기는 샤워헤드(1306)에 직접 탑재될 수 있다.
일부 실시예에서, 액체 주입기를 통한 흐름은 제어된 플런저, 핀틀 밸브, 피에조 밸브 등과 같은 작동장치(actuator)에 의해 제어될 수 있다. 추가적으로, 일부 실시예에서, 액체 주입기는 액체 주입기의 작동장치와 출구 구경 사이에 공간이 거의 또는 전혀 없다. 예를 들면, 작동장치는 액체 주입기의 출구 구경을 직접적으로 밀봉한다. 이는 작동장치 하류에서 모세관 또는 또 다른 힘에 의해 잔류하는 액체의 양을 감소시킬 수 있다. 이러한 액체는 주입이 정지된 이후에도 계속하여 기화되어서, 액체 반응물 펄스가 갑작스럽게 종결되는 대신에 점차적으로 가늘어지도록 하고, 작은 입자가 비상용성 공기 기체와 상호작용하도록 하고, 및/또는 액체 반응물의 제어되지 않은 노출을 야기시킨다.
일부 실시예에서, 액체 주입기는 주입 프로파일을 생성하도록 제어될 수 있다. 예를 들면, 액체 주입기는 한번의 큰 노출량 대신에 복수의 작은 노출량을 주입시켜 노출 시간을 연장시키고, 포화 커버리지를 개선하도록 지시될 수 있다. 또한, 한 번 이상의 구성성분의 더 작은 노출량이 조절되어 한 가지 이상의 이러한 문제점을 더욱 해결할 수 있다.
임의 적절한 액체 주입기가 본 발명의 범위 내에서 사용될 수 있음이 이해될 것이다. 예를 들면, 한 양상에서, 핀틀 밸브를 포함하는 자동차 연료 주입기가 액체 주입기로서 사용될 수 있다. 자동차 연료 주입기에 의해 제공되는 예시적인 액적은 비-제한적으로 5 마이크론 내지 40 마이크론 크기의 액적일 수 있다. 예시적인 주입 펄스 폭은 비제한적으로 1 ms 내지 50 ms의 폭을 포함할 수 있다.
두 번째 양상에서, 피에조전기 펌프를 포함하는 하나 이상의 마이크로-전자기계 시스템(micro-electromechanical systems, MEMS) 주입기가 액체 주입기로서 사용될 수 있다. 예를 들면, 복수의 MEMS 주입기가 하나 이상의 샤워헤드에 적층되어 배열되어서, 복수의 액체 반응물에 대한 액체 주입 용량을 제공할 수 있다. 예시적인 MEMS 주입기 액적은 비제한적으로 10 마이크론 내지 30마이크론 크기의 액적을 포함할 수 있다. 예시적인 MEMS 주입 펄스 폭은 비제한적으로 1 ms 내지 500 ms의 폭을 포함할 수 있다.
세 번째 양상에서, 홀-효과(Hall-effect) 주입기가 액체 주입기로서 사용될 수 있다. 이는 RF 간섭에 내성이 있는 액체 주입 제어를 제공할 수 있다. 예시적인 홀-효과 주입기 액적은 비제한적으로 5 마이크론 내지 50 마이크론 크기의 액적을 포함할 수 있다. 예시적인 홀-효과 주입 펄스 폭은 비제한적으로 1 ms 내지 500ms 폭을 포함할 수 있다. 따라서, 임의 적절한 액체 주입기가 일부 실시예에서 배출 튜브, 벤처 튜브 등을로 포함하는 기화 지점에서 포함될 수 있다. 그 대신에, 일부 실시예에서 액체 주입기가 생략될 수 있다.
주입기 상류의 액체 압력은 액체의 원자화 및/또는 분출을 야기할 수 있는 임의 적절한 운반 압력으로 설정될 수 있다. 일부 실시예에서, 상류 압력은 액체 저장 저장소를 가압하기 위한 푸시 기체에 의해 제공될 수 있다. 액체 주입기 양단의 예시적인 압력 차이는 비제한적으로 약 0.3 bar 내지 5 bar의 압력 차이를 포함할 수 있다.
일부 실시예에서, 기화 지점(1303) 상류의 액체 흐름 제어기가 기화 및 공정 스테이션(1300)으로의 운반을 위한 액체의 질량 흐름을 제어하기 위하여 제공될 수 있다. 예를 들면, 액체 흐름 제어기(liquid flow controller, LFC)는 LFC 하류에 위치하는 열 질량 흐름 계량기(thermal mass flow meter, MFM)를 포함할 수 있다. 따라서 LFC의 플런저 밸브가 MFM과 전기적으로 소통하는 비례-적분-미분(proportional-integral-derivative, PID) 제어기에 의해 제공되는 피드백 제어 신호에 응답하여 조절될 수 있다. 그렇지만, 피드백 제어를 사용하여 액체 흐름을 안정화시키기 위하여 1초 또는 그 이상이 소요된다. 이는 액체 반응물을 투여하기 위한 시간을 연장시킬 수 있다. 따라서, 일부 실시예에서, LFC가 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 전환될 수 있다. 일부 실시예에서, LFC는 LFC 및 PID 제어기의 감지 튜브를 무력화시킴으로써 피드백 제어 모드에서 직접 제어 모드로 동적으로 전환될 수 있다.
직접 제어 모드에서, LFC의 플런저 밸브가 PID 제어기에 의해 제공되는 제어 신호에 독립적으로 공급된 제어 전압에 비례하여 조절될 수 있다. 다른 방법에서는, 직접 제어 모드에서의 플런저 밸브의 조절은 LFC가 액체 흐름을 규제하기 위한 제어된 오리피스로서의 기능을 하도록 할 수 있다. 일부 실시예에서, LFC는 전압과 플런저 밸브 개방 용량 사이의 비례적 상호관계를 정의하기 위한 하나 이상의 자동구경측정(autocalibration) 모드을 포함할 수 있다.
일부 실시예에서, 혼합 용기 유입 밸브(1320A)를 개방하기 이전에 플런저 밸브를 사전조정된 위치로 개방될 수 있다. 혼합 용기 액체 유입 밸브(1320A)를 개방하지마자, 기화된 액체 반응물이 즉시 혼합 용기(1304)로 흐른다. 소정의 시간 이후, LFC에 대한 제어 전압이 설정되어서 플런저 밸브를 잠가서, LFC를 통한 액체 흐름을 정지시킨다. 일부 실시예에서, 혼합 용기 유입 밸브(1320A)는 플런저 밸브의 폐쇄와 동시에 폐쇄되며, 이는 기화된 액체 반응물의 대략적인 입방-파동 노출을 제공할 수 있다.
일부 실시예에서, 혼합 용기 유출 밸브(1305)는 혼합 용기(1304)를 샤워헤드(1306)로부터 분리시키기 위하여 혼합 용기(1304)와 분배 샤워헤드(1306) 사이에 위치할 수 있다. 도 14는 4개 공정 스테이션 샤워헤드 (도시되지 않음)를 제공하기 위한 4개 혼합 용기 유출 밸브(1405) 및 혼합 용기(1404)의 실시예의 사시도를 나타낸다. 도 15는 도 14에 도시된 혼합 용기의 실시예의 일부의 측면도를 나타낸다. 도 14 및 도 15가 공정 기체를 4개 공정 스테이션에 공급하도록 구성된 혼합 용기의 한 실시예를 도시하지만, 임의 적절한 수의 혼합 용기 유출 밸브를 사용하여 임의 적절한 수의 혼합 용기로부터 나오는 공정 기체를 공급하기 위해, 임의 적절한 수의 공정 스테이션이 사용될 수 있음이 이해될 것이다. 혼합 용기(1304)를 분리시키는 것은 샤워헤드(1306)로 운반되기 이전의 여러 기체를 수집하고, 조절하고, 및/또는 혼합하기 위한 알려진 부피의 확정된 공간을 제공할 수 있다. 혼합 용기(1304)의 압력 및/또는 온도를 모니터링하는 것은 여러 공정 기체의 샤워헤드(1306)로의 물질 전달을 계산 및/또는 제어하는 기초를 제공할 수 있다. 한 가지 비-제한적 실시예에서, 많은 몰(mole)의 반응물 증기 노출량을 이상 기체 방정식를 사용하여 등온 혼합 용기로부터 얻은 압력 측정치로부터 계산할 수 있으나, 임의 적절한 상태 방정식을 또 다른 양상에서 사용할 수 있음이 이해될 것이다. 예를 들면, 특정 실시예에서, 약 1 리터 혼합 용기 내 약 400 Torr의 압력은 공정 기체의 최대 40 노출 등가량(exposure equivalent)을 하나 이상의 공정 스테이션에 분배된 50 리터 부피에 제공할 수 있다.
일부 실시예에서, 사전-결정된 만큼 노출(exposure)된 반응물을 추후 샤워헤드(1306)로 운반하기 위해 혼합 용기 충전 단계 동안 저장할 수 있다. 예를 들면, 도 16은 혼합 용기 시간 다이어그램(1600)의 실시예를 나타낸다. 도 16의 실시예에 도시된 바와 같이, 혼합 용기(1604)는 충전 단계(1606) 동안 반응물 기체 증기로 충전되며, 이는 관련된 공정 스테이션에서 CFD 공정의 스윕 및 플라즈마 활성화 단계와 동시에 일어난다. 혼합 용기 압력을 충전 단계(1606) 동안 모니터링한다. 반응물 기체의 소정의 노출량에 대응하는 혼합 용기 압력 세트 포인트에 도달하자마자, 반응물 기체 흐름을 중지시킨다. 그 후 반응물 기체를, 관련 CFD 공정의 스윕 및 플라즈마 활성화 단계의 종점과 일치하는 저장 단계(1608)의 종점까지 혼합 용기(1604) 내에 저장한다.
혼합 용기 유출 밸브(1605)를 노출 단계(1610) 시점에서 개방하여, 반응물 기체를 관련 공정 스테이션으로 공급한다. 노출 단계(1610) 종점에서, 혼합 용기 유출 밸브(1605)를 잠가서, 공정 스테이션으로의 반응물 흐름을 중지시킨다. 한 양상에서, 혼합 용기(1604)는 노출 단계(1610) 동안 반응물 기체가 완전히 비워질 수 있다. 또 다른 양상에서, 노출 단계(1610)는 혼합 용기(1604)가 완전히 비워지기 이전에 종결되어; 혼합 용기(1604) 내의 잔류 반응물 기체가 공정 스테이션 우회 라인을 통하여 제거되거나 또는 추후 공정 스테이션으로의 운반을 위하여 혼합 용기(1604)에 저장될 수 있다.
일부 반응물 기체에 대하여, 혼합 용기 유출 밸브(1305)와 샤워헤드(1306) 사이의 운반 배관의 길이와 관련된 운송 지연 시간이 존재할 수 있다. 또한, 유사한 지연 시간이 샤워헤드(1306) 상류의 운반 배관으로부터 공정 기체를 제거하는 것과 관련될 수도 있다. 예를 들면, 도 17은 예시적인 반응물 BTBAS 및 예시적인 스윕 기체 아르곤에 대한 예시적인 운송 지연 시간을 나타낸다. 혼합 용기 유출 밸브(1305)를 샤워헤드(1306) 가까이에 배치시키는 것은, 혼합 용기 유출 밸브(1305)가 샤워헤드(1306)로부터 더 멀리 배치되는 경우 발생한 것들에 비하여, 더욱 이산된 반응물 노출 및 스윕 펄스를 생성할 수 있다. 이는 CFD 공정의 반응물 및/또는 스윕(sweep) 단계를 완결하는데 소요되는 시간을 감소시킬 수 있다. 따라서, 비-제한적 실시예에서, 혼합 용기 유출 밸브(1305)는 샤워헤드(1306)의 바로 상류에 배치될 수 있다.
샤워헤드(1306)는 공정 기체를 기판(1312)에 분배시킨다. 도 13에 도시된 실시예에서, 기판(1312)은 샤워헤드(1306) 아래에 위치하며, 받침대(1308) 상부에 안착하는 것으로 도시된다. 샤워헤드(1306)가 임의 적절한 형상을 가질 수 있고, 공정 기체를 기판(1312)에 분배하기 위한 임의 적절한 수 및 배치의 포트를 가질 수 있음이 이해될 것이다.
일부 실시예에서, 마이크로볼륨(1307)이 샤워헤드(1306) 아래에 위치한다. 공정 스테이션의 전체 공간에서보다 마이크로볼륨 내에서 CFD 공정을 수행하는 것은 반응물 노출 및 스윕 시간을 감소시키며, CFD 공정 조건(예컨대, 압력, 온도 등)을 변화시키기 위한 시간을 감소시키며, 공정 기체에 대한 공정 스테이션 로봇의 노출을 제한할 수 있다. 도 21은, 서로 다른 마이크로볼륨 압력 조건에 대하여, 마이크로볼륨의 스윕 유량과 마이크로볼륨에 대한 스윕 시간 사이의 상관관계의 예를 나타낸다. 예시적인 마이크로볼륨 크기는 비제한적으로 0.1 리터 내지 2 리터의 공간을 포함한다.
일부 실시예에서, 받침대(1308)가 상승 또는 하강하여 기판(1312)을 마이크로볼륨(1307)에 노출시키거나 및/또는 마이크로볼륨(1307)의 부피를 변화시킬 수 있다. 예를 들면, 기판 전달 단계에서 받침대(1308)를 하강시켜 기판(1312)이 받침대(1308) 위에 로딩되도록 할 수 있다. CFD 공정 단계 동안, 받침대(1308)를 상승시켜 기판(1312)을 마이크로볼륨(1307) 내에 위치시킬 수 있다. 일부 실시예에서, 마이크로볼륨(1307)이 기판(1312)뿐만 아니라 받침대(1308) 일부를 완전히 포함하여 CFD 공정 동안의 큰 흐름 장애 영역을 생성할 수 있다.
선택사항으로, 공정 압력, 반응물 농도 등을 조절하기 위하여 CFD 공정을 분배하는 동안 마이크로볼륨(1307) 내에서 받침대(1308)를 상승 또는 하강시킬 수 있다. 공정 챔버 바디(1302)가 CFD 공정 동안 기저 압력을 유지하는 한 양상에서, 받침대(1308)를 하강시키는 것은 마이크로볼륨(1307)이 소거(evacuate)되도록 할 수 있다. 마이크로볼륨 대 공정 챔버 부피의 예시적인 비율은 비제한적으로 1:500 내지 1:10의 부피 비율을 포함한다. 일부 실시예에서, 받침대 높이가 적절한 컴퓨터 제어기에 의해 프로그램적으로 조절될 수 있음이 이해될 것이다.
또 다른 양상에서, 받침대(1308)의 높이를 조절하는 것은 CFD 공정에 포함되는 플라즈마 활성화 및/또는 처리 사이클 동안 플라즈마 밀도를 변화시킬 수 있다. CFD 공정 단계의 결말에서, 또 다른 기판 전달 단계 동안 받침대(1308)를 하강시켜 기판(1312)을 받침대(1308)로부터 제거할 수 있다.
본 명세서에서 전술한 예시적인 마이크로볼륨 변화가 높이-조절가능 받침대를 의미하는 것이지만, 일부 실시예에서, 샤워헤드(1306) 일부분을 받침대(1308)와 관련하여 조절하여 마이크로볼륨(1307)의 부피를 조절할 수 있음이 이해될 것이다. 또한, 받침대(1308) 및/또는 샤워헤드(1306)의 수직 위치를 본 발명의 범위 내의 임의 적절한 장치를 사용하여 변화시킬 수 있음이 이해될 것이다. 일부 실시예에서, 받침대(1308)는 기판(1312)의 방향을 회전시키기 위한 회전축을 포함할 수 있다. 일부 실시예에서, 하나 이상의 이러한 예시적인 조절이 하나 이상의 적절한 컴퓨터 제어기에 의해 프로그램적으로 수행될 수 있음이 이해될 것이다.
한 실시예에서, 마이크로볼륨(1307)이 그 상단에서 그리고 샤워헤드(1306)의 주변을 따라 부분적으로 폐쇄된다. 예를 들면, 도 18은 공정 스테이션의 마이크로볼륨에 대한 스커트(1809)의 한 예를 개략적으로 나타낸다. 도 18의 실시예에 도시된 바와 같이, 받침대(1808)가 제1 받침대 위치(1808A)로 상승하여, 받침대(1808)의 일부와 전체 기판 지지 표면(1810)을 스커트(1809)의 원형 공간(circumferential space) 내로 위치시킨다. 받침대(1808)는 또한 제2 받침대 위치(1808B)로 하강하여 여러가지 중에 하나 이상의 기판 조작 로봇(도시되지 않음)에 의한 기판 운반을 촉진시킨다. 일부 실시예에서, 스커트(1809)는 받침대 이동 동안 작은 입자 생성을 방지하기 위하여 받침대(1808) 및/또는 기판과의 밀봉을 형성하지 않을 수 있다.
도 18의 실시예에서, 스커트(1809) 및 샤워헤드(1806)는 분리된 요소로서 도시된다. 한 가지 비제한적 실시예에서, 스커트(1809)는 세라믹과 같은 비-전도성 재료로 제조되어서, 샤워헤드(1806)를 공정 스테이션의 다른 부분으로터 전기적으로 분리시킬 수 있다. 그렇지만, 일부 실시예에서, 스커트 및 샤워헤드가 일체형 요소일 수 있음이 이해될 것이다. 일부 실시예에서, 마이크로볼륨을 차별적으로 펌핑하기 위하여, 하나 이상의 개구가 스커트(1809)의 측벽 내에 포함될 수 있으며, 이러한 개구 중 하나 이상이 동적으로 제어될 수 있음이 또한 이해될 것이다.
부가적으로 또는 대안적으로, 일부 실시예에서, 복수의 마이크로볼륨이 스커트 내에 형성될 수 있다. 예를 들면, 도 19는 기판(1912) 상부의 제1 마이크로볼륨 Z-I 및 받침대(1908) 일부를 원형으로 둘러싸는 제2 마이크로볼륨 Z-II을 포함하는 스커트(1909)의 실시예를 나타낸다. 일부 실시예에서, 마이크로볼륨 Z-I 내 흐름은 일정하지 않을 수 있다. 추가적으로 또는 대안적으로, 일부 실시예에서, 마이크로볼륨 Z-II 내 압력은 일정하지 않을 수 있다. 마이크로볼륨 Z-I의 예시적인 부피는 비제한적으로 약 0.1 L 내지 2 L 범위의 부피를 포함할 수 있다. 마이크로볼륨 Z-II의 예시적인 부피는 비제한적으로 약 10 L 내지 50 L 범위의 부피를 포함할 수 있다.
일부 실시예에서, 마이크로볼륨 Z-I과 Z-II 사이의 압력 구배가 형성되어 Z-II의 압력이 Z-I의 압력을 초과한다. 이러한 압력 구배는 마이크로볼륨 Z-I로부터의 공정 기체의 도피성 방출을 방지하고, 공정 반응물을 보존하고, 공정 스테이션 내 입자-생성 과정을 방지하는 등의 역할을 한다. 일부 실시예에서, 마이크로볼륨 Z-II는 독립적인 스윕 기체 공급을 가질 수 있다. Z-II에 대한 스윕 기체의 비제한적인 예에는 질소, 아르곤, 헬륨 등이 있다.
도 19의 실시예가 스커트 내에 형성된 복수의 마이크로볼륨을 도시하지만, 일부 실시예에서, 제1 마이크로볼륨의 일부가 스커트의 일부에 의해 제2 마이크로볼륨의 일부와 분리될 수 있다. 예를 들면, 도 20은 샤워헤드(2002) 실시예의 사시도를 나타낸다. 도 20의 실시예에 도시된 바와 같이, 제1 마이크로볼륨 Z-III은 스커트(2004)에 의해 부분적으로 제2 마이크로볼륨 Z-IV으로부터 원형으로 분리된다. 마이크로볼륨 Z-IV에 대한 스윕 기체는 플레이트(2006)를 통하여 공급된다. 따라서, 공정 스테이션 내 임의 적절한 배열의 복수의 마이크로볼륨이 본 발명의 범위 내에서 사용될 수 있다.
도 13에 도시된 예로 돌아가서, 샤워헤드(1306) 및 받침대(1308)는 플라즈마에 전력을 공급하기 위하여 RF 전력원(1314) 및 매칭 네트워크(1316)과 전기적으로 소통한다. 일부 실시예에서, 플라즈마 에너지는 공정 스테이션 압력, 기체 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 시간 중 한 가지 이상을 제어함으로써 제어될 수 있다. 예를 들면, RF 전력원(1314) 및 매칭 네트워크(1316)는 임의 적절한 전력에서 작동되어 라디칼 화학종의 바람직한 조성을 갖는 플라즈마를 형성할 수 있다. 적절한 전력의 예는 비제한적으로 300 mm 웨이퍼에 대하여 100W 내지 5000 W의 전력을 포함한다. 유사하게, RF 전력원(1314)은 임의 적절한 주파수의 RF 전력을 제공한다. 일부 실시예에서, RF 전력원(1314)은 서로 독립적인 고- 및 저-주파 RF 전력을 제어하도록 구성된다. 예시적인 저-주파 RF 주파수는 비제한적으로 50 kHz 내지 500 kHz 범위의 주파수를 포함할 수 있다. 예시적인 고-주파 RF 주파수는 비제한적으로 1.8 MHz 내지 2.45 GHz의 주파수를 포함할 수 있다. 임의 적절한 파라미터가 불연속적으로 또는 연속적으로 조절되어 표면 반응을 위한 플라즈마 에너지를 제공할 수 있음이 이해될 것이다. 비제한적인 예에서, 플라즈마 전력은 연속적으로 전력공급된 플라즈마에 비하여 기판 표면에서의 이온 충격을 감소시키기 위하여 간헐적으로 펄스화될 수 있음이 이해될 것이다.
일부 실시예에서, 플라즈마를 하나 이상의 플라즈마 모니터를 통하여 인-시츄로서 모니터링할 수 있다. 한 양상에서, 플라즈마 전력을 하나 이상의 전압, 전류 감지기(예컨대 VI 탐침)에 의해 모니터링할 수 있다. 또 다른 양상에서, 플라즈마 밀도 및/또는 공정 기체 농도를 하나 이상의 광학 방출 분광학 감지기(optical emission spectroscopy sensors, OES)에 의해 측정할 수 있다. 일부 실시예에서, 한 가지 이상의 플라즈마 파라미터를 이러한 인-시츄 플라즈마 모니터로부터의 측정치에 기초하여 프로그램적으로 조절할 수 있다. 예를 들면, 플라즈마 전력의 프로그램 제어를 제공하기 위하여 OES 감지기가 피드백 루프에서 사용될 수 있다. 일부 실시예에서, 또 다른 모니터가 플라즈마 및 또 다른 공정 특성을 모니터링하기 위하여 사용될 수 있음이 이해될 것이다. 이러한 모니터는 비제한적으로 적외선(IR) 모니터, 음향 모니터, 및 압력 변환기를 포함한다.
일부 실시예에서, 플라즈마는 입력/출력 제어(IOC) 시퀀스 지시를 통하여 제어될 수 있다. 전술한 CFD 공정은 CFD 사이클 통안 여러 가지 중에 정확한 타이밍의 밸브, 플라즈마 점화, 물질 흐름 제어기(MFC)를 사용할 수 있다. 이를 가능하게 하기 위한 한 가지 방법에서, 밸브 및 또 다른 명령들은 가끔 정보의 불연속적인 패킷으로, 시간-특성 명령(time-critical command)을 위한 지시를 함유하면서, 디지털 입력-출력 제어기(IOC)로 전달된다. 이러한 명령들은 CFD 사이클의 시퀀스 전체 또는 일부의 작동을 관리할 수 있다. IOC는 패킷화된 시퀀스로 정보를 번역하고 디지털 또는 아날로그 명령 신호를 직접 적절한 하드웨어 구성요소로 전달한다. 이러한 실시는 밸브, MFC, 플라즈마 소스 등에서의 명령 실행의 지연을 감소시킨다.
IOC는 장치 내에서 여러 지점에 물리적으로 위치할 수 있는데, 예컨대 공정 모듈 내 또는 공정 모듈로부터 어느 정도 떨어져 위치하는 독립(stand-along) 전력 랙상에 위치할 수 있다. 각각의 모듈에 복수의 IOC가 존재할 수 있다(예컨대 모듈 당 3개). 시퀀스에 포함되는 실질적인 지시에 관하여, 밸브, 플라즈마 소스 등을 제어하기 위한 모든 명령들은 단일 IOC 시퀀스에 포함될 수 있다. 이에 따라 모든 장치들이 절대적 양상에서 그리고 또한 서로 상대적인 양상으로 엄격하게 제어될 수 있음이 보장된다. 그 대신에, 임의 주어진 시간에서 작동하는 복수의 IOC 시퀀스가 존재할 수 있다. 이들은 병행하여 작동하는 CFD 사이클의 서로 다른 양상을 가능하게 한다. 예를 들면,
사이클의 전구체 운반 양상과 병행하여 작동하는 사이클의 플라즈마 점화 양상을 가능하게 한다. 추가적으로, 반응기 내 여러 스테이션에서 복수의 작업이 작동되도록 한다. 예를 들면, 하나의 시퀀스가, 스테이션 1-2에서 산화물을 증착시키기 위하여 요구되는 하드웨어 성분에 위한모든 시간 제어 양상으로 상기 스테이션 1-2에 대하여 작동되며, 이와 동시에 제2 시퀀스가 스테이션 3-4에서 CFD 질화물을 증착시키기 위하여 작동될 수 있다. IOC 아키텍처 및 실시의 예는 미국 특허 7,477,948 (2006.11.6. 출원), 및 7,725,205 (2006.9.21. 출원)에서 찾을 수 있으며, 이들은 그 전체가 참고문헌으로 수록된다.
한 실시예에서, 플라즈마 공정 단계에 대한 플라즈마 조건을 설정하기 위한 지시가 CFD 공정 처방의 대응하는 플라즈마 활성화 처방 단계에 포함될 수 있다. 일부 경우에서, 공정 처방 단계는 연속적으로 배열될 수 있으며, 이에 따라 CFD 공정 단계에 대한 모든 지시가 각 공정 단계와 동시에 수행된다. 플라즈마 발생의 일부 양상은 플라즈마 공정 단계를 연장할 수도 있는 잘-특성화된 전이 및/또는 안정화 시간을 가질 수 있다. 다른 방식에서, 이러한 시간 지연은 예측가능할 수 있다. 이러한 시간 지연은 플라즈마를 타격하는 시간 및 선정된 전력 설정에서 플라즈마를 안정화시키는 시간을 포함할 수 있다. 예를 들면, 도 22는 플라즈마 점화 명령 신호(2202)와 플라즈마에 대한 전방 전력 응답(2206) 사이의 예시적인 전이 지연(2204)를 나타낸다. 따라서, 일부 실시예에서, 하나 이상의 플라즈마 파라미터를 설정하기 위한 지시는 플라즈마 공정 단계 이전의 처방 단계에 포함될 수 있다. 예를 들면, 제1 처방 단계는 불활성 및/또는 반응성 기체의 유량을 설정하기 위한 지시, 전력 세트 포인트로 플라즈마 발생기를 설정하기 위한 지시, 및 제1 처방 단계에 대한 시간 지연 지시를 포함할 수 있다. 후속하는 제2 처방 단계는 플라즈마 발생기를 활성화시키기 위한 지시 및 제2 처방 단계에 대한 시간 지연 지시를 포함할 수 있다. 제3 처방 단계는 플라즈마 발생기를 비활성화시키기 위한 지시 및 제3 처방 단계에 대한 시간 지연 지시를 포함할 수 있다. 이러한 처방 단계들은 본 발명의 범위 내에서 적절한 방식으로 더욱 세분화되거나 및/또는 반복될 수 있음이 이해될 것이다.
일부 실시예에서, 전술한 접근법은 플라즈마 공정 단계가 시작하기 이전에, 선정된 전력 설정에서 RF 발생기 제어기가 안정화되는 것을 가능하게 한다. 일부 실시예에서, 플라즈마를 활성화시키고 안정화시키기 위한 시간이 약 200 ms 내지 약 20 ms로 감소될 수 있다. 예를 들면, 도 23은 본 발명의 실시예에 따르는 예시적인 플라즈마 점화 명령 신호(2302), 플라즈마 전력 설정(2304), 및 플라즈마에 대한 전방 전력 응답(2306)을 나타낸다. 전술한 특정 실시예가 플라즈마 점화에 관한 것이지만, 일부 실시예에서, 하나 이상의 또 다른 시간 지연이 예측가능하며, 이에 따라 다양한 제어 계획 및/또는 공정 처방이 시간 지연을 보상하기 위하여 적용될 수 있음이 이해될 것이다. 예시적인 시간 지연은 비제한적으로 액체 또는 기체 유량 안정화와 관련된 시간 지연, 기판 및/또는 공정 스테이션 온도 안정화와 관련된 시간 지연, 및 공정 챔버 및/또는 혼합 용기 압력 안정화와 관련된 시간 지연을 포함한다.
통상적으로, IOC는 1 시퀀스의 이벤트를 시행하고 그 후 추가 지시를 기다린다. 전술한 일부 양상에서, IOC가 프로그램되어 1 시퀀스의 지시 이상을 반복적으로 루핑할 수 있다. 한 실시예에서, 지시의 시퀀스는 CFD 공정의 단일 사이클과 관련되는 한 세트의 작업을 정의한다. 이는 CFD 사이클의 정의된 단계를 위하여 반응 챔버 내에 플라즈마를 제공하기 위한 명령 시퀀스를 도입하는 것을 포함할 수 있다. 이벤트의 관련 IOC 시퀀스는 특정한 지연 기간을 가질 수 있는데 이 지연 기간 동안 플라즈마가 활성화되지 않으며, 그에 따라 인가된 RF 전력의 특정한 수준까지 매우 가파른 경사가 존재하며, 후속하여 특정한 시간 기간 동안 인가된 전력의 상기 수준을 유지하며, 그 후 다시 소정의 시간 기간 동안 전력을 다시 0 또는 일부 다른 소정의 수준으로 하강시킨다. 이러한 1 시퀀스의 이벤트가 IOC에 프로그램될 때, 각각의 사이클을 반송하지 않는 것이 요구된다. 한편, IOC는 이러한 지시 시퀀스를 영구 메모리 형태로 저장하고 이를 반복적으로, 사이클마다, CFD 증착 공정 과정을 통하여 재실행한다. 예를 들면, CFD의 20 사이클이 막 증착 공정 과정에서 수행될 수 있다. 플라즈마 제어된 이벤트 시퀀스가 전달되고 IOC에서 한번 설치될 수 있고, 그 후 이러한 이벤트 시퀀스를, 본 예에서 20 사이클을, 완전한 CFD 막이 증착될 때까지, 반복적으로 루핑한다.
본 발명에 따르는 IOC 제어 실시의 또 다른 양상은 CFD 공정의 과정에서 특정 전구체 또는 또 다른 공정 기체의 흐름을 반복적으로 중지 및 공급하는 능력이다. 이는 전구체 또는 또 다른 고비용 물질이 보존되도록 하는데, 이는 전구체의 연속적인 흐름 및 간헐적인 전환(divert)을 사용하는 종래 증착 공정에서 항상 있는 경우가 아니다. 물질을 보존하는 것 이외에, 반응물을 정지 및 시작하는 것에 대한 또 다른 이익이 존재할 수 있다. 예를 들면, CFD 공정을 위한 RF 플라즈마를 타격하기 이전에 웨이퍼 상부에서 과량의 전구체를 정화하는 것이 종종 필수적이다. 더욱이, 특정 실시예는 챔버 내에서 서로 만나지 않아야 하는 2가지(또는 그 이상) 전구체의 사용을 요구한다. 따라서 전형적인 흐름은 다음과 같이 진행된다: (a) 전구체 A를 유동시킴; (b) 전구체 A를 정화시킴; (c) 전구체 B를 유동시킴, (d) 전구체 B를 정화시킴, 및 (e) 단계 (a) - (b)를 필요에 따라 반복함.
한 실시예에서, IOC는 CFD 공정의 과정에서 반복적으로, 사이클마다, 루핑 방식으로, 고비용 전구체를 중지 및 공급하도록 프로그램될 수 있다. 전구체를 그 공급원으로부터 "공급(turn on)"하는 경우, 지시 시퀀스가 전구체를 그 공급원으로부터 샤워헤드로 또는 반응 챔버에 대한 또 다른 유입구로 제공하는 것과 관련된 라인 충전 지연을 지시할 수 있다. 이는 전구체 운반 작동의 개시 또는 실행 타이밍이 반응 챔버로의 전구체의 실제 요구되는 운반 이전에 일부 소정의 시간 기간에서 일어나야 함을 의미한다. 따라서, 전구체의 운반과 관련된 IOC 이벤트 시퀀스는 예를 들면 서로 다른 반응물의 정화 또는 반응기 내 플라즈마의 점화를 시작하는 것과 관련된 또 다르 IOC 이벤트 시퀀스와 병행하여 수행될 수 있다. 또 다른 실시예에서, 웨이퍼 표면상의 반응물들 사이의 반응을 촉진하기 위하여, 반응기로의 특정 반응물의 흐름이 플라즈마를 점화하기 이전에 중지된는 것이 바람직한 경우, 플라즈마를 점화하도록 프로그램된 IOC 이벤트는 반응 챔버로의 제2 반응물의 흐름의 예상된 중지 이전에 실행을 시작할 수 있다. 더욱 구체적으로, 플라즈마를 점화시키기 위한 명령의 최초 실행은 제2 반응물의 흐름의 예상된 중지보다 더 이른 시간에 일어날 수 있으며, 이러한 시간은 플라즈마 점화 명령을 수령한 이후 반응기로 운반되는 플라즈마 전력과 관련된 지연과 일치한다.
종래 증착 공정에서, 플라즈마 타격은 기간 동안 대략 수 초 또는 그 이상 동안 지속된다. 전술한 여러 실시에서, 훨씬 더 짧은 플라즈마 타격이 CFD 사이클 동안 인가된다. 이는 대략 10 ms 내지 1 초, 전형적으로 약 20 내지 80 ms, 특정 실시예에서 50 ms일 수 있다. 이러한 매우 짧은 RF 플라즈마 타격은 플라즈마의 매우 신속한 안정화를 요구한다. 이를 달성하기 위하여, 플라즈마 발생기가 구비되어 임피던스 매치가 특정 전압으로 사전 설정되는 한편, 주파수는 변화한다. 종래에는, 고-주파 플라즈마가 약 13.56 MHz의 RF 주파수에서 생성된다. 본 발명의 여러 실시예에서, 주파수는 이러한 표준 값과 다른 값으로 변화된다. 주파수 변화를 허용하는 한편 임피던스 매치를 소정의 전압에 고정시킴으로써, 플라즈마가 훨씬 빨리 안정화되며, 이는 CFD 사이클과 관련된 매우 짧은 플라즈마 타격을 사용할 때 중요할 수 있는 결과이다.
CFD 사이클에 대한 이벤트 프로그램의 또 다른 양상은 각각의 CFD 사이클을 이진 이벤트로 처리하는 에러 복구 기법(error recovery mechanism)을 포함한다. 환언하면, 임의 주어진 사이클은 발생하거나 발생하지 않는다. 부분적으로 완결된 사이클은 발생하지 않은 것(또는 그 반대)으로 처리된다. 한 실시예에서, 한 사이클 동안 오작동이 발생하면, 해당 사이클은 발생하지 않은 것으로 처리된다. 따라서, 예를 들면, 소정의 CFD 막 형성 공정이 20회 불연속 CFD 사이클을 요구하는 것으로 설계되는 경우, 그리고 이러한 20회 사이클 중 15번째 사이클에서 오작동일 발생하는 경우, 그렇지만 상기 오작동이 즉시 수리되는 경우, 부분적으로 완결된 15번째 사이클은 계산되지 않는다. 따라서, 막 형성 공정이 완결될 때까지 추가 5회 사이클이 수행된다. 여러 오작동이 발생할 수 있으며 이는 해당 분야의 통상의 기술자에게 이해될 것이다. 한 실시예로서, 전구체 또는 산화제를 반응 챔버에 운반하기 위한 물질 흐름 제어기는 10 L/초의 운반 속도로 작동하도록 설계될 수 있으나, 오작동 도안 상기 제어기는 단지 4 L/초로 작동한다.
일부 실시예에서, 받침대(1308)는 히터(1310)에 의해 온도 조절될 수 있다. 또한, 일부 실시예에서, CFD 공정 스테이션(1300)에 대한 압력 제어가 버터플라이 밸브(1318)에 의해 제공될 수 있다. 도 13의 실시예에 도시된 바와 같이, 버터플라이 밸브(1318)는 하류의 진공 펌프(도시되지 않음)에 의해 제공되는 진공을 조절한다. 그렇지만, 일부 실시예에서, 공정 스테이션(1300)의 압력 제어는 또한 CFD 공정 스테이션(1300)으로 도입되는 1종 이상의 기체의 유량을 변화시켜 조절할 수 있다.
전술한 바와 같이, 하나 이상의 공정 스테이션이 멀티-스테이션 공정 기구에 포함될 수 있다. 도 24는 인바운드 로드 락(2402)과 아웃바운드 로드 락(2404)을 갖는 멀티-스테이션 공정 기구(2400)의 실시예의 개략도를 나태며, 인바운드 로드 락(2402) 및 아웃바운드 로드 락(2404) 중 어느 하나 또는 둘 모두가 원격 플라즈마 공급원을 포함한다. 로봇(2406)은, 대기압에서 팟(2408)을 통하여 공중 포트(2410)를 경유하여 인바운드 로드 락(2402)에 로딩되도록, 카세트로부터 웨이퍼를 이동시키도록 구성된다. 웨이퍼는 로봇(2406)에 의해 인바운드 로드 락(2402) 내 받침대(2412)에 배치되고, 공중 포트(2410)가 폐쇄되고, 로드 락이 펌프 다운된다. 인바운드 로드 락(2402)이 원격 플라즈마 공급원을 가지는 경우, 웨이퍼는 공정 챔버(2414)로 도입되기 이전에 로드 락에서 원격 플라즈마 처리에 노출될 수 있다. 또한, 웨이퍼는 또한 예를 들면 습기 및 흡착된 기체를 제거하기 위하여 인바운드 로드 락(2402)에서 가열될 수 있다. 그 후, 공정 챔버(2414)에 대한 챔버 수송 포트(2416)가 개방되고, 또 다른 로봇(도시되지 않음)이 가공을 위하여 반응기 내에 제시된 제1 스테이션의 받침대 상의 반응기 내에 웨이퍼를 위치시킨다. 도 24에 도시된 실시예가 로드 락을 포함하지만, 일부 실시예에서, 웨이퍼의 공정 스테이션 내로의 직접 삽입이 제공될 수 있음이 이해될 것이다.
도시되는 공정 챔버(2414)는 도 24에 도시되는 실시예에서 4개의 프로세스 스테이션(1~4)을 포함한다. 각각의 스테이션은 가열된 받침대(스테이션(1)의 경우 2418)과, 기체 라인 유입구를 갖는다. 일부 실시예에서, 각각의 프로세스 스테이션은 서로 다른 복수의 용도를 가질 수 있다. 예를 들어, 일부 실시예에서, 프로세스 스테이션은 CFD와 PECVD 프로세스 모드 사이에서 전환가능할 수 있다. 추가적으로, 또는, 대안으로서, 일부 실시예에서, 공정 챔버(2414)는 CFD 및 PECVD 프로세스 스테이션의 하나 이상의 쌍들을 포함할 수 있다. 도시되는 공정 챔버(2414)가 4개의 스테이션을 포함하지만, 본 발명에 따른 공정 챔버는 임의의 적절한 개수의 스테이션을 가질 수 있다. 예를 들어, 일부 실시예에서, 공정 챔버는 5개 이상의 스테이션을 가질 수 있고, 다른 실시예에서, 공정 챔버는 3개 이하의 스테이션을 가질 수 있다.
도 24는 공정 챔버(2414) 내에서 웨이퍼를 전달하기 위한 웨이퍼 취급 시스템(2490)의 일 실시예를 또한 도시한다. 일부 실시예에서, 웨이퍼 취급 시스템(2490)은 다양한 프로세스 스테이션 사이에서, 및/또는, 프로세스 스테이션과 로드 락(load lock) 사이에서, 웨이퍼를 전달할 수 있다. 임의의 적절한 웨이퍼 취급 시스템이 이용될 수 있다. 비-제한적인 예는 웨이퍼 카루셀(wafer carousels) 및 웨이퍼 취급 로봇을 포함한다. 도 24는 프로세스 툴(2400)의 하드웨어 상태 및 프로세스 조건을 제어하는데 이용되는 시스템 컨트롤러(2450)의 일 실시예를 또한 도시한다. 시스템 컨트롤러(2450)는 하나 이상의 메모리 소자(2546), 하나 이상의 대량 저장 소자(2454), 및 하나 이상의 프로세서(2452)를 또한 포함할 수 있다. 프로세서(2452)는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입/출력 연결부, 스테퍼 모터 컨트롤러 보드, 등을 포함할 수 있다.
일부 실시예에서, 시스템 컨트롤러(2450)는 프로세스 툴(2400)의 모든 활동을 제어한다. 시스템 컨트롤러(2450)는, 대량 저장 소자(2454)에 저장되고 메모리 소자(2456)로 로딩되며 프로세서(2452) 상에서 실행되는 시스템 제어 소프트웨어(2458)를 실행한다. 시스템 제어 소프트웨어(2458)는 타이밍, 기체 혼합물, 챔버 및/도는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 표적 파워 레벨, RF 파워 레벨, 기판 받침대, 척 및/또는 서셉터 위치, 및 프로세스 툴(2400)에 의해 실행되는 특정 프로세스의 다른 파라미터를 제어하기 위한 명령을 포함할 수 있다. 시스템 제어 소프트웨어(2458)는 임의의 적절한 방식으로 구성될 수 있다. 예를 들어, 다양한 프로세스 툴 구성요소 서브루틴 또는 제어 객체를 기록하여, 다양한 프로세스 툴 프로세스를 수행하는데 필요한 프로세스 툴 구성요소의 작동을 제어할 수 있다. 시스템 제어 소프트웨어(2458)는 임의의 적절한 컴퓨터 판독형 프로그래밍 언어로 코딩될 수 있다.
일부 실시예에서, 시스템 제어 소프트웨어(2458)는 상술한 다양한 파라미터를 제어하기 위한 입/출력 제어(IOC) 시퀀싱 명령을 포함할 수 있다. 예를 들어, CFD 프로세스의 각각의 단계는 시스템 컨트롤러(2450)에 의한 실행을 위한 하나 이상의 명령을 포함할 수 있다. CFD 프로세스 단계에 대한 프로세스 조건들을 설정하기 위한 명령은, 대응하는 CFD 처방 단계에 포함될 수 있다. 일부 실시예에서, CFD 처방 단계들은, CFD 프로세스 단계에 대한 모든 명령들이 해당 프로세스 단계와 동시에 수행되도록, 순차적으로 배열될 수 있다.
시스템 컨트롤러(2450)와 상관된 대량 저장 소자(2454) 및/또는 메모리 소자(2456) 상에 저장되는 다른 컴퓨터 소프트웨어가 일부 실시예에서 이용될 수 있다. 이 용도로 프로그램 또는 프로그램의 섹션의 예는 기판 배치 프로그램, 프로세스 기체 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 배치 프로그램은 기판을 받침대(2418)로 로딩하고 기판과 프로세스 툴(2400)의 다른 부분 사이의 간격을 제어하는데 사용되는 프로세스 툴 구성요소에 대한 프로그램 코드를 포함할 수 있다.
프로세스 기체 제어 프로그램은 프로세스 스테이션 내 압력을 안정화시키기 위해, 기체 조성 및 유량을 제어하기 위한, 그리고, 선택적으로, 증착 이전에 하나 이상의 프로세스 스테이션 내로 기체를 유입시키기 위한, 코드를 포함할 수 있다. 압력 제어 프로그램은 프로세스 스테이션의 배기 시스템 내 스로틀 밸브, 프로세스 스테이션 내로의 기체 흐름, 등을 조절함으로써, 프로세스 스테이션 내 압력을 제어하기 위한 코드를 포함할 수 있다.
히터 제어 프로그램은 기판 가열에 사용되는 가열 유닛에 대한 전류를 제어하기 위한 코드를 포함할 수 있다. 대안으로서, 히터 제어 프로그램은 기판에 대한 열 전달 기체(가령, 헬륨)의 전달을 제어할 수 있다.
플라즈마 제어 프로그램은 하나 이상의 프로세스 스테이션에서 프로세스 전극에 인가되는 RF 파워 레벨을 설정하기 위한 코드를 포함할 수 있다.
일부 실시예에서, 시스템 컨트롤러(2450)와 상관된 사용자 인터페이스가 존재할 수 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건의 그래픽 소프트웨어 디스플레이, 및 사용자 입력 장치(가령, 포인팅 장치, 키보드, 터치스크린, 마이크로폰, 등)을 포함할 수 있다.
일부 실시예에서, 시스템 컨트롤러(2450)에 의해 조정되는 파라미터는 프로세스 조건에 관계될 수 있다. 비-제한적인 예는 프로세스 기체 조성 및 유량, 온도, 압력, 플라즈마 조건(가령, RF 바이어스 파워 레벨), 압력, 온도, 등을 포함한다. 이러한 파라미터는 사용자 인터페이스를 이용하여 입력될 수 있는 처방(recipe)의 형태로 사용자에게 제공될 수 있다.
프로세스 모니터링을 위한 신호가 다양한 프로세스 툴 센서로부터 시스템 컨트롤러(2450)의 아날로그 및/또는 디지털 입력 연결부에 의해 제공될 수 있다. 프로세스 제어를 위한 신호는 프로세스 툴(2400)의 아날로그 및 디지털 출력 연결부 상에서 출력될 수 있다. 모니터링될 수 있는 프로세스 툴 센서의 비-제한적인 예는 흐름 컨트롤러, 압력 센서(가령, 마모미터), 서모커플, 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘이 프로세스 조건 유지를 위해 이러한 센서들로부터의 데이터와 함께 사용될 수 있다.
시스템 컨트롤러(2450)는 상술한 증착 프로세스의 구현을 위한 프로그램 명령을 제공할 수 있다. 프로그램 명령은 DC 파워 레벨, RF 바이어스 파워 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터를 제어할 수 있다. 명령은 여기서 설명되는 다양한 실시예에 따라 막 스택의 동-위치 증착을 작동시키도록 파라미터를 제어할 수 있다.
여기서 설명되는 장치/프로세서는 예를 들어, 반도체 소자, 디스플레이, LED, 광기전 패널, 등의 제조 또는 제작을 위해, 리소그개픽 패턴처리 툴 또는 프로세스와 연계하여 사용될 수 있다. 반드시 그러한 것은 아니지만, 일반적으로, 이러한 툴/프로세스는 공통 제작 설비에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패턴처리는 다음의 작업 단계 중 전부 또는 일부를 일반적으로 포함하며, 각각의 작업 단계는 다수의 가능한 툴을 이용하여 구현된다 - (1) 스핀-온 또는 스프레이-온 툴을 이용하여, 워크피스, 즉, 기판에 포토레지스트를 도포하는 단계와, (2) 핫 플레이트 또는 노(furnace), 또는 자외선 경화 툴을 이용하여 포토레지스트를 경화시키는 단계와, (3) 웨이퍼 스테퍼와 같은 툴을 이용하여 가시광 또는 자외선 또는 X-선에 포토레지스트를 노광시키는 단계와, (4) 습식 벤치와 같은 툴을 이용하여 레지스트를 선택적으로 제거하여 패턴처리하도록, 레지스트를 현상하는 단계와, (5) 건식 또는 플라즈마-보조 식각 툴을 이용하여 레지스트 패턴을 아래의 막 또는 워크피스로 전사하는 단계와, (6) RF 또는 마이크로파 플라즈마 레지스트 스트리퍼와 같은 툴을 이용하여 레지스트를 제거하는 단계.
여기서 설명된 구조 및/또는 방식은 예시적인 속성을 갖고, 이러한 구체적 실시예 또는 예시가 제한적인 측면으로 간주되어서는 안되며, 이는 수많은 변형이 가능하기 때문이다. 여기서 설명되는 구체적 루틴/방법은 임의의 개수의 공정 전략 중 하나 이상을 나타낼 수 있다. 이와 같이, 설명되는 다양한 작업은 도시되는 순서로, 또는 이와 다른 순서로, 병렬로, 또는 일부 경우에 생략되어 실행될 수 있다. 마찬가지로, 상술한 프로세스들의 순서가 변경될 수 있다.
본 발명은 다양한 프로세스, 시스템 및 구조, 및 다른 특징, 기능, 작업, 및/또는 여기서 설명되는 성질, 및 그 등가물의 모든 신규하고 진보성있는 조합 및 서브조합들을 포함한다.

Claims (47)

  1. 기판 표면 상에 막을 증착하는 방법에 있어서,
    (a) 반응 챔버 내에 기판을 제공하는 단계와,
    (b) 제 1 반응물이 상기 기판 표면에 흡착될 수 있도록 하는 조건 하에서 상기 반응 챔버 내로 증기 상태의 상기 제 1 반응물을 유입시키는 단계와,
    (c) 상기 제 1 반응물이 상기 기판 표면 상에 흡착될 때, 상기 반응 챔버 내로 증기 상태로 제 2 반응물을 유입시키는 단계로서, 상기 제 2 반응물은 상기 반응 챔버로부터 상기 제 1 반응물을 먼저 스윕(sweep)하지 않으면서 유입되는, 단계와,
    (d) 상기 막 형성을 위해 상기 기판 표면 상에서 상기 제 1 반응물과 상기 제 2 반응물 사이의 반응을 유도하도록 플라즈마에 상기 기판 표면을 노출시키는 단계와,
    (e) 화학 기상 증착의 표면 반응이 아닌 기체 상태 반응을 통해 단계 (d) 에서 형성된 막 상에 직접 추가적인 막을 증착하기 위해, 상기 제1 반응물을 증기 상태로 상기 제2 반응물을 증기 상태로 동시에 상기 반응 챔버에 유입시키는 단계로서, 단계 (d)와 (e) 사이에는 상기 제1 반응물 및 상기 제2 반응물 사이의 표면 반응과 상기 화학 기상 증착의 표면 반응이 아닌 기체 상태 반응이 동시에 일어나는 전환 단계가 존재하고, 상기 전환 단계에서 상기 기판은 플라즈마에 노출되는, 상기 제1 반응물을 증기 상태로 상기 제2 반응물을 증기 상태로 동시에 상기 챔버에 유입시키는 단계를 포함하는, 기판 표면의 막 증착 방법.
  2. 제 1 항에 있어서,
    상기 반응물들 중 적어도 하나가 상기 기판에 노출되는 동안 기체 상태에 있을 때 플라즈마가 점화되는, 기판 표면의 막 증착 방법.
  3. 제 1 항에 있어서,
    상기 제 1 반응물은 보조 반응물인, 기판 표면의 막 증착 방법.
  4. 삭제
  5. 제 1 항에 있어서,
    단계 (d)에서 생성된 상기 막의 양은, 상기 기판 표면 상에 흡착된 상기 제 1 반응물 및 상기 제 2 반응물의 양에 의해 제한되는, 기판 표면의 막 증착 방법.
  6. 제 1 항에 있어서,
    상기 제 2 반응물은 단계 (c) 중 일정하지 않은 유량(flow rate)으로 상기 기판 표면에 유입되는, 기판 표면의 막 증착 방법.
  7. 제 1 항에 있어서,
    단계 (d) 이전에 증기 상태의 상기 제 2 반응물을 스윕(sweep)하는 스윕 단계를 더 포함하는, 기판 표면의 막 증착 방법.
  8. 제 7 항에 있어서,
    상기 스윕 단계 이후, 단계 (d) 이전에, 상기 제 2 반응물에 상기 기판 표면을 다시 노출시키는 단계를 더 포함하는, 기판 표면의 막 증착 방법.
  9. 제 1 항에 있어서,
    단계 (d) 이전에, 상기 기판 표면에 제 3 반응물을 흡착시키는 조건 하에서 상기 반응 챔버에 상기 제 3 반응물을 유입시키는 단계를 더 포함하며,
    단계 (d)에서 상기 플라즈마는 상기 제 1 반응물, 상기 제 2 반응물, 상기 제 3 반응물 사이의 반응을 유도하는, 기판 표면의 막 증착 방법.
  10. 제 1 항에 있어서,
    상기 막은 유전막인, 기판 표면의 막 증착 방법.
  11. 제 1 항에 있어서,
    상기 제 1 반응물 및 상기 제 2 반응물은 증기 상태로 공존하고, 상기 제 1 반응물 및 상기 제 2 반응물은 단계 (d)에서 플라즈마에 노출될 때까지 단계(a)-(c)에서 서로 반응하지 않는, 기판 표면의 막 증착 방법.
  12. 제 1 항에 있어서,
    단계 (d)에서 형성되는 상기 막은 얕은 트렌치 고립, 실리콘 관통 비아 라이너, 층간 유전체, 게이트 스페이서, 및 금속간 유전체로 구성되는 그룹 중에서 선택되는 컨포멀 구조(conformal structure)를 형성하는, 기판 표면의 막 증착 방법.
  13. 제 1 항에 있어서,
    단계 (d)에서 형성되는 상기 막은 반사 방지층인, 기판 표면의 막 증착 방법.
  14. 제 1 항에 있어서,
    단계 (d)에서 형성되는 상기 막은 저유전율(low-k) 유전층인, 기판 표면의 막 증착 방법.
  15. 삭제
  16. 제 1 항에 있어서,
    (f) 오목한 특징부의 입구에서 상기 막을 식각하는 단계와,
    (g) 상기 식각된 막 상에 상기 막의 추가적인 부분을 증착하는 단계를 더 포함하는, 기판 표면의 막 증착 방법.
  17. 제 16 항에 있어서,
    단계 (g)는 단계 (b)-(d)를 반복하는 단계를 포함하는, 기판 표면의 막 증착 방법.
  18. 제 16 항에 있어서,
    단계 (f)는 상기 오목한 특징부의 입구에서 상기 막을 선택적으로 식각하는 단계를 포함하는, 기판 표면의 막 증착 방법.
  19. 제 1 항에 있어서,
    (f) 단계 (b)-(d)를 적어도 한번 이상 반복하는 단계와,
    (g) 제 3 반응물을 상기 기판 표면에 흡착시킬 수 있는 조건 하에서 단계 (b)-(f) 중 유입되지 않은 상기 제 3 반응물을 상기 반응 챔버 내로 유입시키는 단계와,
    (h) 상기 막의 도펀트를 생성하도록 상기 제 3 반응물을 반응시키는 단계와,
    (i) 단계 (b)-(d)를 반복하는 단계를 더 포함하는, 기판 표면의 막 증착 방법.
  20. 기판 표면 상에 막을 증착하는 방법에 있어서,
    (a) 제 1 반응물을 상기 기판 표면에 흡착시키는 조건 하에서 증기 상태의 상기 제 1 반응물에 상기 기판 표면을 노출시키는 단계와,
    (b) 상기 제 1 반응물이 상기 기판 표면에 흡착되는 동안 증기 상태의 제 2 반응물에 상기 기판 표면을 노출시키는 단계와,
    (c) 상기 막 형성을 위해 상기 기판 표면에 흡착되는 상기 제 1 반응물과 상기 제 2 반응물 사이의 반응을 유도하도록 상기 기판 표면을 플라즈마에 노출시키는 단계와,
    (d) 화학 기상 증착의 표면 반응이 아닌 기체 상태 반응을 통해 단계 (c) 에서 형성된 막 상에 직접 추가적인 막을 증착하기 위해, 상기 제1 반응물을 증기 상태로 상기 제2 반응물을 증기 상태로 동시에 상기 반응 챔버에 유입시키는 단계로서, 단계 (c)와 (d) 사이에는 상기 제1 반응물 및 상기 제2 반응물 사이의 표면 반응과 상기 화학 기상 증착의 표면 반응이 아닌 기체 상태 반응이 동시에 일어나는 전환 단계가 존재하고, 상기 전환 단계에서 상기 기판은 플라즈마에 노출되는, 상기 제1 반응물을 증기 상태로 상기 제2 반응물을 증기 상태로 동시에 상기 챔버에 유입시키는 단계를 포함하며,
    상기 제 1 반응물은 상기 기판에 연속적으로 유입되고, 상기 제 2 반응물은 상기 기판에 간헐적으로 유입되는, 기판 표면의 막 증착 방법.
  21. 제 20 항에 있어서,
    상기 제 1 반응물은 단계 (b) 중 상기 기판 표면으로 유입되지만, 상기 제 2 반응물은 단계 (a) 중 상기 기판 표면으로 유입되지 않는, 기판 표면의 막 증착 방법.
  22. 제 20 항에 있어서,
    상기 제 1 반응물은 금속 또는 반도체 원소를 포함하지 않는, 기판 표면의 막 증착 방법.
  23. 제 20 항에 있어서,
    상기 제 1 반응물은 연속적으로, 그러나, 일정하지 않은 유량으로 유입되는, 기판 표면의 막 증착 방법.
  24. 제 20 항에 있어서,
    상기 제 1 반응물은 상기 제 2 반응물의 간헐적 공급 중 감소된 유량으로 유입되는, 기판 표면의 막 증착 방법.
  25. 제 20 항에 있어서,
    상기 반응물들 중 적어도 하나가 상기 기판에 노출되는 동안 기체 상태인 경우 플라즈마가 점화되는, 기판 표면의 막 증착 방법.
  26. 기판 표면 상에 막을 증착하는 방법에 있어서,
    (a) 반응 챔버 내에 기판을 제공하는 단계와,
    (b) 제 1 반응물을 기판 표면에 흡착시킬 수 있는 조건 하에 상기 반응 챔버 내로 증기 상태의 상기 제 1 반응물을 유입시키는 단계와,
    (c) 상기 제 1 반응물이 상기 기판 표면 상에 흡착되는 동안 상기 반응 챔버 내로 증기 상태의 제 2 반응물을 유입시키는 단계와,
    (d) 상기 막을 형성하기 위해 상기 기판 표면 상에서 상기 제 1 반응물과 상기 제 2 반응물 사이의 반응을 유도하기 위해 플라즈마에 상기 기판 표면을 노출시키는 단계와,
    (e) 화학 기상 증착의 표면 반응이 아닌 기체 상태 반응을 통해 단계 (d) 에서 형성된 막 상에 직접 추가적인 막을 증착하기 위해, 상기 제1 반응물을 증기 상태로 상기 제2 반응물을 증기 상태로 동시에 상기 반응 챔버에 유입시키는 단계로서, 단계 (d)와 (e) 사이에는 상기 제1 반응물 및 상기 제2 반응물 사이의 표면 반응과 상기 화학 기상 증착의 표면 반응이 아닌 기체 상태 반응이 동시에 일어나는 전환 단계가 존재하고, 상기 전환 단계에서 상기 기판은 플라즈마에 노출되는, 상기 제1 반응물을 증기 상태로 상기 제2 반응물을 증기 상태로 동시에 상기 챔버에 유입시키는 단계와,
    (f) 상기 추가적인 막 형성을 위한 상기 제 1 반응물과 상기 제 2 반응물 사이의 상기 반응 이후, 상기 추가적인 막의 적어도 하나의 성질을 개질하도록 상기 추가적인 막을 플라즈마 처리하는 단계를 포함하는, 기판 표면의 막 증착 방법.
  27. 제 26 항에 있어서,
    상기 플라즈마 처리는 상기 기판 표면 상에서 상기 제 1 반응물 및 상기 제 2 반응물 사이의 반응을 유도하기 위해 이용되는 상기 플라즈마 조건과는 다른 플라즈마 조건 하에 수행되는, 기판 표면의 막 증착 방법.
  28. 제 26 항에 있어서,
    단계 (f) 수행 이전에 적어도 한번 이상 단계 (b)-(d)를 반복하는 단계를 더 포함하는, 기판 표면의 막 증착 방법.
  29. 제 26 항에 있어서,
    단계 (f)에서 상기 플라즈마 처리에 의해 개질되는 상기 추가적인 막의 성질은, 내부 막 응력, 식각 내성, 밀도, 경도, 광학적 성질, 유전 상수, 탄소 함량, 및 전기적 성질로 구성되는 그룹으로부터 선택되는, 기판 표면의 막 증착 방법.
  30. 제 26 항에 있어서,
    단계 (f)에서의 상기 추가적인 막의 플라즈마 처리는 상기 추가적인 막의 조성을 변화시키는, 기판 표면의 막 증착 방법.
  31. 제 26 항에 있어서,
    단계 (f)에서의 상기 추가적인 막의 플라즈마 처리는 상기 추가적인 막으로부터 오염물을 제거하는, 기판 표면의 막 증착 방법.
  32. 제 26 항에 있어서,
    단계 (d) 이후, 그러나 단계 (e) 이전에, 상기 반응 챔버를 스윕하는 단계를 더 포함하는, 기판 표면의 막 증착 방법.
  33. 기판 상에 막을 증착하기 위한 장치에 있어서,
    반응 챔버와,
    상기 반응 챔버에 기체 상태 반응물을 전달하기 위한 유입 포트와,
    상기 반응 챔버에 플라즈마를 제공하기 위한 플라즈마 발생기와,
    컨트롤러를 포함하며, 상기 컨트롤러는,
    (a) 제 1 반응물을 기판 표면에 흡착시키는 조건 하에서 증기 상태의 상기 제 1 반응물을 상기 반응 챔버 내로 흘리는 단계와,
    (b) 상기 제 1 반응물이 상기 기판에 연속적으로 흐르고 제 2 반응물이 상기 기판에 간헐적으로 흐르도록, 상기 제 1 반응물이 상기 기판 표면에 흡착되는 동안 증기 상태의 상기 제 2 반응물을 상기 반응 챔버 내로 흘리는 단계와,
    (c) 막 형성을 위해 상기 기판 표면에 흡착되는 상기 제 1 반응물과 상기 제 2 반응물 사이의 반응을 유도하도록 상기 반응 챔버 내에 플라즈마를 제공하는 단계와,
    (d) 화학 기상 증착의 표면 반응이 아닌 기체 상태 반응을 통해 단계 (c) 에서 형성된 막 상에 직접 추가적인 막을 증착하기 위해, 상기 제1 반응물을 증기 상태로 상기 제2 반응물을 증기 상태로 동시에 상기 반응 챔버에 흘리는 단계로서, 단계 (c)와 (d) 사이에는 상기 제1 반응물 및 상기 제2 반응물 사이의 표면 반응과 상기 화학 기상 증착의 표면 반응이 아닌 기체 상태 반응이 동시에 일어나는 전환 단계가 존재하고, 상기 전환 단계에서 상기 기판은 플라즈마에 노출되는, 상기 제1 반응물을 증기 상태로 상기 제2 반응물을 증기 상태로 동시에 상기 챔버에 흘리는 단계를 수행하기 위한 명령들을 포함하는, 기판 상의 막 증착 장치.
  34. 기판 상에 막을 증착하기 위한 장치에 있어서,
    반응 챔버와,
    상기 반응 챔버에 기체 상태 반응물을 전달하기 위한 유입 포트와,
    상기 반응 챔버에 플라즈마를 제공하기 위한 플라즈마 발생기와,
    컨트롤러를 포함하며, 상기 컨트롤러는,
    (a) 제 1 반응물을 상기 반응 챔버 내에 홀딩되는 기판 표면에 흡착시키는 조건 하에서 증기 상태의 상기 제 1 반응물을 상기 반응 챔버 내로 유입시키는 단계와,
    (b) 상기 반응 챔버로부터 상기 제 1 반응물을 스윕시키지 않으면서 제 2 반응물이 유입되도록, 상기 제 1 반응물이 상기 기판 표면 상에 흡착되는 동안 상기 반응 챔버 내로 증기 상태의 상기 제 2 반응물을 유입시키는 단계와,
    (c) 상기 막 형성을 위해 상기 기판 표면 상에서 상기 제 1 반응물과 상기 제 2 반응물 사이의 반응을 유도하도록 상기 기판 표면을 플라즈마에 노출시키는 단계와,
    (d) 화학 기상 증착의 표면 반응이 아닌 기체 상태 반응을 통해 단계 (c) 에서 형성된 막 상에 직접 추가적인 막을 증착하기 위해, 상기 제1 반응물을 증기 상태로 상기 제2 반응물을 증기 상태로 동시에 상기 반응 챔버에 유입시키는 단계로서, 단계 (c)와 (d) 사이에는 상기 제1 반응물 및 상기 제2 반응물 사이의 표면 반응과 상기 화학 기상 증착의 표면 반응이 아닌 기체 상태 반응이 동시에 일어나는 전환 단계가 존재하고, 상기 전환 단계에서 상기 기판은 플라즈마에 노출되는, 상기 제1 반응물을 증기 상태로 상기 제2 반응물을 증기 상태로 동시에 상기 챔버에 유입시키는 단계를 수행하기 위한 명령들을 포함하는, 기판 상의 막 증착 장치.
  35. 삭제
  36. 제 34 항에 있어서,
    상기 컨트롤러는,
    (e) 상기 막을 식각하는 단계와,
    (f) 식각된 막 상에 추가적인 막을 증착하는 단계를 수행하기 위한 명령을 더 포함하는, 기판 상의 막 증착 장치.
  37. 제 34 항에 있어서,
    상기 컨트롤러는 광학적 방사 분광 센서 또는 전류 전압 프로브를 이용하여 단계 (c)에 의해 제공되는 플라즈마를 모니터링하는 단계를 수행하기 위한 명령을 더 포함하는, 기판 상의 막 증착 장치.
  38. 제 34 항에 있어서,
    상기 유입 포트에 연결되어, 상기 유입 포트를 통해 상기 반응 챔버에 전달하기 전에 2개 이상의 프로세스 기체를 혼합하도록 구성되는 혼합 용기(mixing bowl)을 더 포함하는, 기판 상의 막 증착 장치.
  39. 제 34 항에 있어서,
    상기 반응 챔버는 복수의 스테이션을 포함하고, 각각의 스테이션은 각자의 고유 기판 홀더를 포함하는, 기판 상의 막 증착 장치.
  40. 제 34 항에 있어서,
    상기 반응 챔버에 플라즈마를 제공하기 위한 단계를 수행하는 명령은, 상기 플라즈마 발생기의 주파수가 부동(float)하게 하는 단계를 수행하는 명령을 포함하는, 기판 상의 막 증착 장치.
  41. 제 34 항에 있어서,
    상기 컨트롤러는 상기 제 1 반응물 및 제 2 반응물을 흘리는 사이클과, 상기 기판 표면 상에 흡착되는 상기 제 1 반응물과 상기 제 2 반응물 사이의 반응을 유도하기 위해 플라즈마를 제공하는 사이클을 반복적으로 수행하기 위한 루프 명령을 더 포함하는, 기판 상의 막 증착 장치.
  42. 제 34 항에 따른 기판 상의 막 증착 장치; 및
    스테퍼(stepper)를 포함하는, 상기 기판 상의 막 증착 시스템.
  43. 기판 상에 막을 증착하기 위한 장치에 있어서,
    반응 챔버와,
    상기 반응 챔버에 기체 상태 반응물을 전달하기 위한 유입 포트와,
    상기 반응 챔버에 플라즈마를 제공하기 위한 플라즈마 발생기와,
    컨트롤러를 포함하며, 상기 컨트롤러는,
    (a) 제 1 반응물을 기판 표면에 흡착시키는 조건 하에서 증기 상태의 상기 제 1 반응물을 상기 반응 챔버 내로 유입시키는 단계와,
    (b) 상기 제 1 반응물이 상기 기판 표면 상에 흡착되는 동안 상기 반응 챔버 내로 증기 상태의 제 2 반응물을 유입시키는 단계와,
    (c) 막 형성을 위해 상기 기판 표면에 흡착되는 상기 제 1 반응물과 상기 제 2 반응물 사이의 반응을 유도하도록 상기 기판 표면을 플라즈마에 노출시키는 단계와,
    (d) 화학 기상 증착의 표면 반응이 아닌 기체 상태 반응을 통해 단계 (c) 에서 형성된 막 상에 직접 추가적인 막을 증착하기 위해, 상기 제1 반응물을 증기 상태로 상기 제2 반응물을 증기 상태로 동시에 상기 반응 챔버에 유입시키는 단계로서, 단계 (c)와 (d) 사이에는 상기 제1 반응물 및 상기 제2 반응물 사이의 표면 반응과 상기 화학 기상 증착의 표면 반응이 아닌 기체 상태 반응이 동시에 일어나는 전환 단계가 존재하고, 상기 전환 단계에서 상기 기판은 플라즈마에 노출되는, 상기 제1 반응물을 증기 상태로 상기 제2 반응물을 증기 상태로 동시에 상기 챔버에 유입시키는 단계와,
    (e) 상기 추가적인 막 형성을 위한 상기 제 1 반응물과 상기 제 2 반응물 사이의 상기 반응 이후, 상기 추가적인 막의 적어도 하나의 성질을 개질하기 위해 상기 추가적인 막을 플라즈마 처리하는 단계를 수행하기 위한 명령들을 포함하는, 기판 상의 막 증착 장치.
  44. 제 43 항에 있어서,
    상기 증착되는 막의 플라즈마 처리 단계를 수행하기 위한 명령은, 상기 기판 표면 상의 상기 제 1 반응물과 상기 제 2 반응물 사이의 반응을 유도하는 단계를 수행하기 위한 명령에 명시된 플라즈마 조건과는 다른 조건 하에서 상기 플라즈마 처리를 수행하는 단계를 수행하기 위한 명령을 포함하는, 기판 상의 막 증착 장치.
  45. 제 43 항에 있어서,
    상기 컨트롤러는 단계 (d) 를 수행하기 전에, 적어도 한번 이상 단계 (a)-(c)를 반복하는 단계를 수행하기 위한 명령을 더 포함하는, 기판 상의 막 증착 장치.
  46. 제 43 항에 있어서,
    상기 컨트롤러는, 단계 (c) 이후, 단계 (d) 이전에, 상기 반응 챔버를 스윕하기 위한 명령을 더 포함하는, 기판 상의 막 증착 장치.
  47. 제 1 항에 있어서,
    단계 (b) 이전에, 상기 기판에 포토레지스트를 도포하는 단계와,
    상기 포토레지스트에 광을 노광시키는 단계와,
    상기 포토레지스트를 패턴처리하여 패턴을 상기 기판에 전사하는 단계와,
    상기 기판으로부터 상기 포토레지스트를 선택적으로 제거하는 단계를 더 포함하는, 기판 표면의 막 증착 방법.
KR1020127004925A 2010-04-15 2011-04-12 플라즈마-활성화 컨포멀 막 증착 KR101762978B1 (ko)

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
US32471010P 2010-04-15 2010-04-15
US61/324,710 2010-04-15
US37236710P 2010-08-10 2010-08-10
US61/372,367 2010-08-10
US37908110P 2010-09-01 2010-09-01
US61/379,081 2010-09-01
US41780710P 2010-11-29 2010-11-29
US61/417,807 2010-11-29
US13/084,399 US8728956B2 (en) 2010-04-15 2011-04-11 Plasma activated conformal film deposition
US13/084,399 2011-04-11
PCT/US2011/032186 WO2011130326A2 (en) 2010-04-15 2011-04-12 Plasma activated conformal film deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020177020548A Division KR101826490B1 (ko) 2010-04-15 2011-04-12 플라즈마-활성화 컨포멀 막 증착

Publications (2)

Publication Number Publication Date
KR20130062256A KR20130062256A (ko) 2013-06-12
KR101762978B1 true KR101762978B1 (ko) 2017-07-28

Family

ID=44788514

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020127004925A KR101762978B1 (ko) 2010-04-15 2011-04-12 플라즈마-활성화 컨포멀 막 증착
KR1020177020548A KR101826490B1 (ko) 2010-04-15 2011-04-12 플라즈마-활성화 컨포멀 막 증착
KR1020127004928A KR20130057409A (ko) 2010-04-15 2011-04-13 개선된 질화 규소 필름 및 그 개선 방법

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020177020548A KR101826490B1 (ko) 2010-04-15 2011-04-12 플라즈마-활성화 컨포멀 막 증착
KR1020127004928A KR20130057409A (ko) 2010-04-15 2011-04-13 개선된 질화 규소 필름 및 그 개선 방법

Country Status (5)

Country Link
US (3) US8728956B2 (ko)
KR (3) KR101762978B1 (ko)
SG (3) SG184567A1 (ko)
TW (2) TWI567225B (ko)
WO (2) WO2011130326A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140059751A (ko) * 2012-11-08 2014-05-16 노벨러스 시스템즈, 인코포레이티드 민감성 기판 상에 막을 증착하는 방법
WO2021167836A1 (en) * 2020-02-19 2021-08-26 Applied Materials, Inc. Hydrogen free silicon dioxide
US11133180B2 (en) 2010-04-15 2021-09-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method

Families Citing this family (529)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8528224B2 (en) 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
CN103403843B (zh) 2011-03-04 2016-12-14 诺发系统公司 混合型陶瓷喷淋头
KR101378478B1 (ko) * 2011-03-23 2014-03-27 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130115773A1 (en) * 2011-11-04 2013-05-09 Globalfoundries Inc. Prevention of ILD Loss in Replacement Gate Technologies by Surface Treatmen
TWI627303B (zh) 2011-11-04 2018-06-21 Asm國際股份有限公司 將摻雜氧化矽沉積在反應室內的基底上的方法
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
JP6039996B2 (ja) * 2011-12-09 2016-12-07 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6239079B2 (ja) * 2011-12-09 2017-11-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6049395B2 (ja) * 2011-12-09 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9835388B2 (en) 2012-01-06 2017-12-05 Novellus Systems, Inc. Systems for uniform heat transfer including adaptive portions
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8912101B2 (en) * 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
US9194045B2 (en) 2012-04-03 2015-11-24 Novellus Systems, Inc. Continuous plasma and RF bias to regulate damage in a substrate processing system
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR101881894B1 (ko) 2012-04-06 2018-07-26 삼성디스플레이 주식회사 박막 증착 장치 및 그것을 이용한 박막 증착 방법
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8956704B2 (en) * 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US8859430B2 (en) * 2012-06-22 2014-10-14 Tokyo Electron Limited Sidewall protection of low-K material during etching and ashing
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
EP2679537A1 (en) * 2012-06-27 2014-01-01 Imec Method for producing metal lines on top of a non-flat mems topography
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US20140046475A1 (en) * 2012-08-09 2014-02-13 Applied Materials, Inc. Method and apparatus deposition process synchronization
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
JP6257071B2 (ja) * 2012-09-12 2018-01-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) * 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
WO2014097280A1 (en) * 2012-12-21 2014-06-26 Prasad Narhar Gadgil Methods of low temperature deposition of ceramic thin films
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
EP2770373A1 (en) 2013-02-20 2014-08-27 Imec Conformal anti-reflective coating
US9328422B2 (en) * 2013-03-06 2016-05-03 Corning Incorporated Crystallization and bleaching of diamond-like carbon and silicon oxynitride thin films
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
WO2014158410A1 (en) * 2013-03-13 2014-10-02 Applied Materials, Inc Acoustically-monitored semiconductor substrate processing systems and methods
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9257293B2 (en) * 2013-03-14 2016-02-09 Applied Materials, Inc. Methods of forming silicon nitride spacers
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
TW201441408A (zh) * 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US20140329027A1 (en) * 2013-05-02 2014-11-06 Applied Materials, Inc. Low temperature flowable curing for stress accommodation
CN104282614B (zh) * 2013-07-01 2017-09-01 中芯国际集成电路制造(上海)有限公司 一种形成浅沟槽隔离结构的方法
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9798317B2 (en) * 2013-07-03 2017-10-24 Tokyo Electron Limited Substrate processing method and control apparatus
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TWI649803B (zh) * 2013-09-30 2019-02-01 蘭姆研究公司 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9145607B2 (en) 2013-10-22 2015-09-29 Lam Research Corporation Tandem source activation for cyclical deposition of films
US20150125628A1 (en) * 2013-11-06 2015-05-07 Asm Ip Holding B.V. Method of depositing thin film
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US10179947B2 (en) * 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR20160089515A (ko) * 2013-12-05 2016-07-27 도쿄엘렉트론가부시키가이샤 직류 중첩 동결
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9218963B2 (en) * 2013-12-19 2015-12-22 Asm Ip Holding B.V. Cyclical deposition of germanium
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
WO2015134156A1 (en) 2014-03-06 2015-09-11 Applied Materials, Inc. Plasma foreline thermal reactor system
KR102357926B1 (ko) * 2014-03-07 2022-02-04 주성엔지니어링(주) 박막 제조 방법
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
CN105336661B (zh) * 2014-05-29 2019-01-22 中芯国际集成电路制造(北京)有限公司 半导体结构的形成方法
US9685325B2 (en) 2014-07-19 2017-06-20 Applied Materials, Inc. Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10094018B2 (en) * 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US11970772B2 (en) 2014-08-22 2024-04-30 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9624578B2 (en) * 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
US10096464B2 (en) * 2014-10-04 2018-10-09 Applied Materials, Inc. Atomic layer deposition of high density silicon dioxide
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9184060B1 (en) 2014-11-14 2015-11-10 Lam Research Corporation Plated metal hard mask for vertical NAND hole etch
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9502263B2 (en) * 2014-12-15 2016-11-22 Applied Materials, Inc. UV assisted CVD AlN film for BEOL etch stop application
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
FI126970B (en) 2014-12-22 2017-08-31 Picosun Oy Atomic layer cultivation in which the first and second species of source materials are present simultaneously
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9640385B2 (en) * 2015-02-16 2017-05-02 Applied Materials, Inc. Gate electrode material residual removal process
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11001599B2 (en) 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
KR20180002774A (ko) 2015-05-02 2018-01-08 어플라이드 머티어리얼스, 인코포레이티드 낮은 k 및 낮은 습식 에칭 레이트 유전체 박막들을 증착하기 위한 방법들
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9484202B1 (en) * 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
US9815858B2 (en) 2015-06-16 2017-11-14 Gelest Technologies, Inc. Hydridosilapyrroles, hydridosilaazapyrroles, thiasilacyclopentanes, method for preparation thereof, and reaction products therefrom
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) * 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9385318B1 (en) 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
KR20170019668A (ko) * 2015-08-12 2017-02-22 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9508547B1 (en) 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US20170051405A1 (en) * 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9837504B2 (en) * 2015-10-28 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modifying capping layer in semiconductor structure
US9620356B1 (en) * 2015-10-29 2017-04-11 Applied Materials, Inc. Process of selective epitaxial growth for void free gap fill
JP6509095B2 (ja) * 2015-11-04 2019-05-08 東京エレクトロン株式会社 窒化膜の形成方法
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10388515B2 (en) 2015-11-16 2019-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Treatment to control deposition rate
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9997351B2 (en) * 2015-12-08 2018-06-12 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for filling a cavity using angled ion beam
US20170178899A1 (en) 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
CN106920744B (zh) * 2015-12-25 2019-12-13 北京大学 一种室温环境中激励硅中非金属原子扩散的方法
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10115601B2 (en) * 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US9768070B1 (en) * 2016-05-20 2017-09-19 Samsung Electronics Co., Ltd. Method for manufacturing semiconductor device
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
KR102207764B1 (ko) * 2016-06-28 2021-01-26 어플라이드 머티어리얼스, 인코포레이티드 3d nand 메모리 디바이스들을 위한 cvd 기반 산화물-금속 다중 구조물
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
WO2018016871A1 (ko) * 2016-07-22 2018-01-25 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9837270B1 (en) * 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6728087B2 (ja) * 2017-02-22 2020-07-22 東京エレクトロン株式会社 成膜方法及び成膜装置
US9911595B1 (en) * 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
FR3064283B1 (fr) * 2017-03-22 2022-04-29 Kobus Sas Procede et dispositif reacteur pour la realisation de couches minces mettant en œuvre une succession d'etapes de depots, et applications de ce procede
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10483102B2 (en) * 2017-04-07 2019-11-19 Applied Materials, Inc. Surface modification to improve amorphous silicon gapfill
US9984869B1 (en) * 2017-04-17 2018-05-29 Asm Ip Holding B.V. Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas
DE102017206612A1 (de) * 2017-04-19 2018-10-25 Centrotherm Photovoltaics Ag Verfahren und Vorrichtung zum Ausbilden einer Schicht auf einem Halbleitersubstrat sowie Halbleitersubstrat
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10752989B2 (en) 2017-07-26 2020-08-25 Moxtek, Inc. Methods of applying silane coatings
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
JP6873007B2 (ja) * 2017-08-09 2021-05-19 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
JP6913569B2 (ja) * 2017-08-25 2021-08-04 東京エレクトロン株式会社 被処理体を処理する方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US11462630B2 (en) * 2017-09-03 2022-10-04 Applied Materials, Inc. Conformal halogen doping in 3D structures using conformal dopant film deposition
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102470206B1 (ko) 2017-10-13 2022-11-23 삼성디스플레이 주식회사 금속 산화막의 제조 방법 및 금속 산화막을 포함하는 표시 소자
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10510852B2 (en) 2017-11-28 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k feature formation processes and structures formed thereby
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP2021506126A (ja) 2017-12-07 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation チャンバ調整における耐酸化保護層
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10541309B2 (en) * 2017-12-25 2020-01-21 United Microelectronics Corp Semiconductor structure and method for fabricating the same
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11087961B2 (en) 2018-03-02 2021-08-10 Lam Research Corporation Quartz component with protective coating
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US10651083B2 (en) * 2018-03-05 2020-05-12 International Business Machines Corporation Graded interconnect cap
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
CN108277476B (zh) * 2018-03-14 2024-01-16 深圳市志橙半导体材料有限公司 一种利用热cvd法的碳化硅沉积处理设备
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN111937122A (zh) 2018-03-30 2020-11-13 朗姆研究公司 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP7085929B2 (ja) 2018-07-13 2022-06-17 東京エレクトロン株式会社 成膜方法
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7003011B2 (ja) * 2018-07-27 2022-01-20 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US20200075325A1 (en) * 2018-08-29 2020-03-05 Asm Ip Holding B.V. Film forming method
CN110896116B (zh) * 2018-09-10 2023-01-17 浙江清华柔性电子技术研究院 晶体硅太阳能电池扩散层及其制备方法、电池、组件
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR20210047966A (ko) * 2018-09-24 2021-04-30 버슘머트리얼즈 유에스, 엘엘씨 실리콘 및 질소 함유 막의 제조 방법
US10896821B2 (en) 2018-09-28 2021-01-19 Lam Research Corporation Asymmetric wafer bow compensation by physical vapor deposition
US10903070B2 (en) 2018-09-28 2021-01-26 Lam Research Corporation Asymmetric wafer bow compensation by chemical vapor deposition
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102617145B1 (ko) 2018-10-02 2023-12-27 삼성전자주식회사 가변 저항 메모리 장치
WO2020072625A1 (en) * 2018-10-03 2020-04-09 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10971357B2 (en) 2018-10-04 2021-04-06 Applied Materials, Inc. Thin film treatment process
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11276579B2 (en) * 2018-11-14 2022-03-15 Hitachi High-Tech Corporation Substrate processing method and plasma processing apparatus
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7090568B2 (ja) 2019-01-30 2022-06-24 東京エレクトロン株式会社 成膜方法
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2022525460A (ja) * 2019-03-20 2022-05-16 アプライド マテリアルズ インコーポレイテッド 熱酸化品質が高い厚い酸化膜を低温で成長させる方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
WO2020236303A1 (en) * 2019-05-23 2020-11-26 Applied Materials, Inc. In-situ atomic layer deposition process
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
US20220238325A1 (en) * 2019-06-07 2022-07-28 Lam Research Corporation In-situ control of film properties during atomic layer deposition
SG11202112688QA (en) 2019-06-08 2021-12-30 Applied Materials Inc Low deposition rates for flowable pecvd
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
JP7339032B2 (ja) * 2019-06-28 2023-09-05 東京エレクトロン株式会社 基板処理方法および基板処理装置
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
JP7259649B2 (ja) * 2019-08-30 2023-04-18 東京エレクトロン株式会社 成膜装置及び成膜方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2021050308A1 (en) * 2019-09-12 2021-03-18 Applied Materials, Inc. Repulsion mesh and deposition methods
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
US11482413B2 (en) 2019-10-08 2022-10-25 Eugenus, Inc. Conformal and smooth titanium nitride layers and methods of forming the same
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11532475B2 (en) * 2019-12-24 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition process for forming semiconductor device and system
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210094462A (ko) * 2020-01-20 2021-07-29 에이에스엠 아이피 홀딩 비.브이. 전처리를 사용하여 실리콘 질화물 층을 증착하는 방법, 상기 방법을 사용하여 형성된 구조체, 및 상기 방법을 수행하기 위한 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
KR102317442B1 (ko) * 2020-01-20 2021-10-26 주성엔지니어링(주) 기판처리방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11817313B2 (en) 2020-02-05 2023-11-14 Applied Materials, Inc. Methods for pressure ramped plasma purge
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR102437091B1 (ko) * 2020-08-14 2022-08-26 한국기계연구원 플라즈마 화학기상증착 공정의 실시간 제어 방법 및 플라즈마 화학기상증착용 반응 챔버
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11979171B2 (en) 2020-10-13 2024-05-07 Microchip Technology Incorporated Reduced complexity encoders and related systems, methods, and devices
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20230125798A (ko) * 2020-12-10 2023-08-29 유제누스 인크. 등각성의 평활한 티타늄 나이트라이드 층 및 이를 형성시키는방법
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11551926B2 (en) 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090075490A1 (en) * 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US20100025824A1 (en) * 2008-07-29 2010-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for Reducing Integrated Circuit Corner Peeling

Family Cites Families (211)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
WO2000047404A1 (en) 1999-02-12 2000-08-17 Gelest, Inc. Chemical vapor deposition of tungsten nitride
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
KR100721503B1 (ko) * 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
AU2003220088A1 (en) 2002-03-08 2003-09-22 Sundew Technologies, Llc Ald method and apparatus
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100472777B1 (ko) * 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
WO2004032196A2 (en) 2002-10-03 2004-04-15 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
KR100546852B1 (ko) * 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
EP1616043B1 (en) 2003-04-23 2020-09-23 Eugenus Inc. Transient enhanced atomic layer deposition
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
US7482247B1 (en) * 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) * 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7109129B1 (en) * 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) * 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20110198756A1 (en) 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
WO2007118026A2 (en) * 2006-03-31 2007-10-18 Applied Materials, Inc. Step coverage and pattern loading for dielectric films
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8366953B2 (en) 2006-09-19 2013-02-05 Tokyo Electron Limited Plasma cleaning method and plasma CVD method
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
DE112008000368T5 (de) 2007-02-12 2009-12-24 Lotus Applied Technology, LLC, Beaverton Herstellung von Verbundmaterialien unter Verwendung von Atomschichtabscheidung
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
KR20090057665A (ko) * 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
CN102197459A (zh) 2008-10-27 2011-09-21 应用材料股份有限公司 三元化合物的气相沉积方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
TWI579916B (zh) 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
JP2013515376A (ja) 2009-12-22 2013-05-02 アプライド マテリアルズ インコーポレイテッド 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
WO2012057889A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
JP6199292B2 (ja) 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090075490A1 (en) * 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US20100025824A1 (en) * 2008-07-29 2010-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for Reducing Integrated Circuit Corner Peeling

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11133180B2 (en) 2010-04-15 2021-09-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
KR20140059751A (ko) * 2012-11-08 2014-05-16 노벨러스 시스템즈, 인코포레이티드 민감성 기판 상에 막을 증착하는 방법
KR102183336B1 (ko) 2012-11-08 2020-11-27 노벨러스 시스템즈, 인코포레이티드 민감성 기판 상에 막을 증착하는 방법
WO2021167836A1 (en) * 2020-02-19 2021-08-26 Applied Materials, Inc. Hydrogen free silicon dioxide
US11732352B2 (en) 2020-02-19 2023-08-22 Applied Materials, Inc. Hydrogen free silicon dioxide

Also Published As

Publication number Publication date
KR20130057409A (ko) 2013-05-31
WO2011130397A2 (en) 2011-10-20
US20110256726A1 (en) 2011-10-20
SG10201502936RA (en) 2015-06-29
TW201207148A (en) 2012-02-16
US8728956B2 (en) 2014-05-20
KR101826490B1 (ko) 2018-03-22
US9230800B2 (en) 2016-01-05
WO2011130397A3 (en) 2012-04-19
TWI567225B (zh) 2017-01-21
TW201144475A (en) 2011-12-16
SG184566A1 (en) 2012-11-29
KR20170089040A (ko) 2017-08-02
US20140209562A1 (en) 2014-07-31
SG184567A1 (en) 2012-11-29
WO2011130326A2 (en) 2011-10-20
WO2011130326A3 (en) 2011-12-15
KR20130062256A (ko) 2013-06-12
US20110256734A1 (en) 2011-10-20

Similar Documents

Publication Publication Date Title
KR102145694B1 (ko) 플라즈마 활성화된 등각 막 성막을 위한 전구체들
KR101762978B1 (ko) 플라즈마-활성화 컨포멀 막 증착
US10043655B2 (en) Plasma activated conformal dielectric film deposition
KR102012532B1 (ko) 플라즈마 활성화된 컨포멀 유전체 막 증착
CN107342216B (zh) 等离子体活化保形电介质膜沉积

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant