KR20130057409A - 개선된 질화 규소 필름 및 그 개선 방법 - Google Patents

개선된 질화 규소 필름 및 그 개선 방법 Download PDF

Info

Publication number
KR20130057409A
KR20130057409A KR1020127004928A KR20127004928A KR20130057409A KR 20130057409 A KR20130057409 A KR 20130057409A KR 1020127004928 A KR1020127004928 A KR 1020127004928A KR 20127004928 A KR20127004928 A KR 20127004928A KR 20130057409 A KR20130057409 A KR 20130057409A
Authority
KR
South Korea
Prior art keywords
substrate
silicon
containing reactant
reactant
plasma
Prior art date
Application number
KR1020127004928A
Other languages
English (en)
Inventor
데니스 엠. 하우스만
존 헨리
만디암 스리람
바트 제이. 반 슈라벤디지크
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20130057409A publication Critical patent/KR20130057409A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate

Abstract

기판에 SiN 재료를 만드는 방법, 특히 반도체 기판에 SiN박막 필름을 만드는 방법이 설명된다. 이 같은 방법에 의해 만들어진 개선된 SiN 필름이 포함된다.

Description

개선된 질화 규소 필름 및 그 개선 방법{IMPROVED SILICON NITRIDE FILMS AND METHODS}
본 발명은 2012.4.15. 미국 가출원 제 61/324,710호, 2012.8.10. 미국 가출원 제 61/372,367호, 2010.9.1. 미국 가출원 제 61/379,081호, 2010.11.29. 미국 가출원 제 61/417,807호, 그리고 2011.4.11. 미국 출원 제 13/084,305호의 35 USC §119(e) 에 따른 우선권이익을 향유하며, 참고로 본 출원에서 인용된다.
본 발명은 기판에서의 SiN 재료 형성에 대한 것이며, 특히 반도체 기판상에 SiN 필름의 형성에 대한 것이다.
질화 규소(SiN) 박막 필름은 고유한 물리적, 화학적 및 기계적 특성을 가지며 따라서 다양한 응용, 특히 가령 확산 배리어, 게이트 절연체, 사이드월 스페이서, 인캡슐레이션 층, 트렌지스터 내 스트레인 필름(strained films) 등에서의 반도체 소자에서 사용된다. SiN 필름에서의 한 가지 문제는 가령 라인의 프론트 엔드(FEOL) 응용에서 필름을 형성하도록 사용된 상대적으로 높은 온도이다. SiN 필름은 디클로로실란 그리고 암모니아를 사용하여 750℃이상에서 반응기에서 화학적 증기 증착(CVD)에 의해 전형적으로 증착된다. 그러나 SiN 필름은 후기 단계 반도체 생산 공정에서 사용되며, 소자 크기가 계속해서 줄어들기 때문에, SiN 필름이 가령 600℃미만과 같은 낮은 온도에서 형성되어야 하는 요구가 증가되고 있다.
SiN 필름 증착에서 또다른 문제는 반응 챔버에서 아민 염의 발생 및/또는 축적이다. 이들 염들은 가령 염화 수소 및 아민 반응물 결합과 같은 아민 반응물과 산 부산물의 반응에 의해 형성된다. 이는 염의 양을 줄이고, 따라서 가령 반응기를 세척하고 필름 품질을 개선하기 위해 필요한 작업 중단 시간을 줄여서, 형성된 염의 양을 줄이고 따라서 공정을 개선시키는 방법을 갖는 데 유용할 것이다.
SiN 필름에서의 또다른 문제는, 일정 경우, 상기 SiN 필름을 형성하기 위해 사용된 반응물 탄소 함량으로 인해 필름 내 원하지 않은 탄소 함량이 발생하는 것이다. 이 같은 탄소 함량이 제거되는 한 가지 방법은 600℃ 이상의 높은 온도로 서서히 담금질하는 것이며, 따라서 상기 설명한 특징들이 위험을 받게된다. 물론 탄소 함량이 바람직한 경우가 있으며, 필름의 탄소 함량을 더욱 정밀하게 정할 수 있다면 도움이 될 것이다.
SiN 필름을 증착하는 한 가지 유용한 방법은 원자 층 증착(ALD)이며, 가령 플라즈마 향상 ALD(PEALD)와 같은 변형 방법이다. ALD 공정에서, 상기 반응 챔버는 모든 반응물이 기판 표면으로 흡착되기 위해 삽입된 후 정화된다. 하나 또는 둘 이상의 정화가 필요하지 않은 공정을 갖는 것이 도움이 되며, 시간 내 처리할 수 있는 작업량이 증가될 것이다.
개선된 SiN 필름 그리고 이들을 만드는 방법을 개선할 필요가 있다.
기판에 SiN 재료를 만드는 방법이 설명되며, 특히 반도체 기판에 SiN 박막 필름을 만드는 방법이 설명된다. 이 같은 방법에 의해 만들어진 개선된 SiN 필름이 또한 포함된다.
한 실시 예에서, (a) 반응 챔버 내에 기판을 제공하고; (b) 상기 기판을 질소 포함 반응물 기체 상 흐름에 기판을 계속적으로 노출하며, 이때 상기 질소 포함 반응물이 상기 기판의 표면으로 흡착되고; (c) 상기 기판을 규소 포함 반응물의 기체 상 흐름으로 주기적으로 노출하고, 이때 상기 규소 포함 반응물이 상기 기판의 표면으로 흡착되며; 그리고 (d) 상기 규소 포함 반응물의 기체 상 흐름이 중단된 때 상기 반응 챔버 내 플라즈마를 주기적으로 점화시킴을 포함하는, 기판에 질화 규소 재료를 형성시키는 방법이 제공된다.
이 같은 실시 예에서, 프라즈마는 상기 반응 챔버에서 흐르는 질소 포함 반응물로부터 플라즈마를 형성하도록 점화된다. 한 실시 예에서, 캐리어 가스가 상기 반응 챔버를 통해 계속적으로 흐르며, 따라서 상기 플라즈마는 아르곤 또는 질소 이온 및/또는 라디칼과 같은 상기 캐리어 가스의 성분을 포함할 수 있다. 일반적으로, 상기 반응 챔버 내 규소 포함 반응물 농도는 플라즈마를 스트라이킹 하기 상당히 전에 감소하도록 된다. 비록 한 실시 예에서, 정화가 상기 플라즈마를 스트라이킹 하기 전에 수행되기는 하지만, 질소 포함 반응물, 그리고 존재한다면 캐리어 가스의 흐름은 진공 정화 단계를 필요로 하지 않고 상기 챔버로부터의 과도한 규소 포함 반응물(기판 표면에서 흡착되지 않은)을 정화한다.
일정 실시 예에서, 만들어진 SiN 필름은 바람직하지 않은 탄소 함량을 갖는다. 이 같은 인-필름(in-film) 탄소는 결국 전기 누출을 만들게 되며 일정 유전체 배리어 응용에서는 그 같은 필름을 사용할 수 없도록 한다. 본원 명세서에서 설명된 방법은 2% 미만 탄소를 갖는 SiN 필름을 만들며, 한 실시 예에서는 1% 미만 탄소, 그리고 또 다른 한 실시 예에서는 0.5% 미만 탄소를 갖는 SiN 필름을 만든다. 일정 실시 예에서, 탄소 잔류물의 감소는 FTIR 스펙트럼에서 쉽게 관찰된다.
한 실시 예에서, 기판에 질화 규소 재료를 형성하는 방법은 (a) 기판에 질화 규소필름을 형성시키고: (i) 반응 챔버 내에 기판을 제공하고; (ii) 상기 기판을 기체 상(vapor phase) 규소 포함 반응물에 노출하여, 상기 규소 포함 반응물이 상기 기판의 표면으로 흡착되도록 하고; (iii) 상기 기판을 기체 상 질소 포함 반응물에 노출하여, 질소 포함 반응물이 상기 기판의 표면으로 흡착되도록 하며; (iv) 상기 질소 포함 반응물이 기체 상 내에 존재하는 동안 플라즈마를 점화시키고; 그리고 다음으로 (b) 상기 질화 규소 필름을 플라즈마 포함 수소에 노출시키킴을 포함한다. 상기 수소 플라즈마는 필름의 탄소 함량을 줄인다. 한 실시 예에서, 상기 수소 플라즈마는 수소(H2) 그리고 질소, 헬륨 또는 아르곤과 같은 캐리어 가스를 사용하여 발생된다.
일반적으로, 상기 설명된 방법은 SiN 필름을 형성하는 동안 기판을 약 50℃ 와 550℃ 사이로 가열함을 포함한다. 본원 명세서에서 설명된 일정한 방법은 탄소 함량을 낮추기 위해, 규소 포함 반응물 또는 질소 포함 반응물 어느 하나와 관련하여 열적으로 제거가능 그룹을 이용한다.
한 실시 예에서, 기판에 질화 규소 재료를 형성하는 방법은 (a) 반응 챔버 내에 기판을 제공하고; (b) 상기 반응 챔버를 통해 캐리어 가스 흐름을 제공하며; (b) 상기 기판을 질소 포함 반응물 기체 상 흐름에 노출하며, 이때 질소 포함 반응물이 상기 기판의 표면으로 흡착되고, 다음에 상기 반응 챔버를 정화하며; (c) 상기 기판을 규소 포함 반응물 기체 상 흐름에 노출하며, 이때 규소 포함 반응물이 상기 기판의 표면으로 흡착되고; (d) 상기 규소 포함 반응물의 기체 상 흐름이 중단된 후에, 상기 반응 챔버에서 플라즈마 점화하고; 그리고 (e ) 기판을 약 200℃ 와 550℃ 사이에서 가열하며; 이때 질소 포함 반응물과 규소 포함 반응물 가운데 적어도 하나가 열적으로 제거가능한 그룹 가운데 하나 또는 둘 이상을 만들고, 상기 열적으로 제거가능한 그룹이 약 200℃ 와 550℃ 사이에서 분해됨을 포함한다.
하기에서는 첨부도면을 참조하여 본원 발명을 상세히 설명한다.
도 1은 CFD 공정에서 예시적 단계의 온도 진행을 도시한 도면.
도 2는 SiN을 만들기 위해 CFD 공정을 개략적으로 설명하는 예시적 공정 흐름도.
도 3은 SiN 필름을 만드는 방법을 설명하는 예시적 공정 흐름도.
도 4는 SiN 필름을 만드는 방법을 설명하는 예시적 공정 흐름도.
도 5는 CFD 처리 스테이션을 도시한 도면.
도 6은 멀티-스테이션 처리 툴을 개략적으로 도시한 도면.
개관
본 발명은 특히 반도체 기판에서 SiN 필름 형성에 대한 것이다. 본원 명세서에서 설명되는 방법은 SiN 필름에서 탄소 함량을 조정하며, 특히 낮은 탄소 함량 SiN 필름을 형성하는 방법, 그리고 SiN 필름의 컨포멀 필름 증착(CFD) 방법을 포함한다.
정의
본원 명세서에서, 달리 기재하지 않는 경우 다음의 정의에 따른다.
"규소 포함 반응물(silicon-containing reactant)"은 SiN 재료를 만들기 위해 사용되는 시약 단일 물질 또는 혼합물이며, 이 같은 시약은 적어도 하나의 규소 화합물을 포함한다. 이 같은 규소 화합물은 예를들면 실란, 할로실란 또는 아미노실란일 수 있다. 실란은 수소 및/또는 탄소 그룹을 포함한다. 그러나 할로겐을 포함하지는 않는다. 실란의 예는 실란(SiH4), 디실란(Si2H6), 그리고 메틸실란, 에틸실란, 이소프로필실란, t-부틸실란, 디멜틸실란, 디에틸실란, 디-t-부틸실란, 알릴실란, 이차-부틸실란, 텍실실란(thexylsilane), 이소아밀실란(isoamylsilane), t-부틸디실란, 디-t-부틸디실란 등과 같은 유기 실란이다. 할로실란은 하나 이상의 할로겐 그룹을 포함하고 수소 및/또는 탄소 그룹을 포함하거나 포함하지 않을 수 있다. 할로실란의 예는 요오드화실란, 브롬화실란, 염화실란, 그리고 불화실란이다.
비록 할로실란, 특히 불화실란이 본원 명세서서에서 설명되는 일정 실시 예에서 규소 재료를 에칭할 수 있는 반응성 할라이드 화학종을 형성할 수 있는 것이나, 플라즈마가 스트라이킹되는 때 규소 포함 반응물은 존재하지 않는다. 특정 클로로 실란은 테트라클로로실란(SiCl4), 트리클로로실란(HSiCl3), 디클로로실란(H2SiCl2), 모노클로로실란(ClSiH3), 클로로알릴실란, 클로로메틸실란, 디클로로메틸실란, 클로로디메틸실란, 클로로-이차-부틸실란, t-부틸디메틸클로로실란, 텍실디메틸클로로실란 등이다. 아미노실란은 규소 원자에 하나 이상의 질소 원자 결합을 포함한다. 그러나 수소, 산소, 할로겐 그리고 탄소들을 포함할 수도 있다. 아미노실란의 예는 모노-, 디-, 트리- 그리고 테트라-아미노실란(H3Si(NH2)4, H2Si(NH2)2, HSi(NH2)3 그리고 Si(NH2)4, 각각), 그리고 이들 뿐 아니라 치환된 모노-, 디-, 트리- 그리고 테트라-아미노실란, 예를들면 t-부틸아미노실란, 메틸아미노실란, 3차-부틸실란아민, 비스(3차 부틸아미노)실란(SiH2(NHC(CH3)3)2 (BTBAS), 3차-부틸 시릴카바메이트(silylcarbamate), SiH(CH3)-(N(CH3)2)2, SiHCl-(N(CH3)2)2, (Si(CH3)2NH)3 등이 있다.
"질소 포함 반응물(nitrogen-containing reactant)"은 적어도 하나의 질소, 예를들면, 암모니아, 히드라진, 메틸 아민과 같은 아민(탄소 함유 아민), 디메틸아민, 에틸아민, 이소프로필아민, t-부틸아민, 디-t-부틸아민, 시클로프로필아민, 2차-부틸아민, 시클로부틸아민, 이소아밀아민, 2-메틸부탄-2-아민, 트리메틸아민, 디이소프로필아민, 디에틸이소프로필아민, 디-t-부틸히드라진, 그리고 이들뿐 아니라 아닐린, 프리딘, 그리고 벤질아민과 같은 아민 포함 방향제를 포함한다. 아민은 일차, 이차, 삼차, 또는 사차(예를들면, 테트라알킬암모늄 화합물)일 수 있다. 질소 포함 반응물은 질소가 아닌 헤테로원자를 포함할 수 있으며, 예를들면 히드록신 아민, t-부틸옥시카보닐 아민, N-t-부틸 히드로실아민이 질소 포함 반응물이다.
"플라즈마"는 반응 챔버에서 점화된 플라즈마이거나 상기 반응 챔버내로 원격한 곳으로부터 가져오도록 된 플라즈마이다. 플라즈마는 본원 명세서에서 설명된 반응물을 포함하며, 예를들면 캐리어 가스, 또는 수소가스와 같은 반응성 화학종과 같은 다른 화학 물질을 포함할 수 있다. 이 같은 반응물들 그리고 다른 화학물질이 플라즈마가 스트라이킹되는 때 반응 챔버내에 존재하며, 또는 원격 플라즈마가 상기 반응물이 존재하는 챔버내로 흘러들어 갈수도 있고, 및/또는 상기 반응물들 및/또는 캐리어 가스가 원격한 곳으로부터 상기 반응 챔버내로 가져와진 플라즈마내로 점화될 수 있다. 하나의 "플라즈마"는 유도적으로 결합된 플라즈마 그리고 마이크로웨이브 표면 파 플라즈마를 포함하여, 기술적으로 이용할 수 있는 것으로 알려져 있는 어떠한 플라즈마일 수 있다. 본 발명 기술분야에 숙련된 자라면 기술의 발전이 더욱 일어날 것이며 따라서 아직 개발되지 않은 플라즈마 발생 기술이 본 발명 보호범위 내에서 사용될 수 있음을 이해 할 수 있을 것이다.
"열적으로 제거 가능한 그룹(Thermally removable group)"은 약 200℃ 내지 550℃ 사이에서 휘발성 성분으로 분해되는, 질소 포함 반응물 그리고 규소 포함 반응물 모두 또는 어느 하나, 일부분을 나타내는 것이다. 본원 명세서에서는 비제한적 예를 설명하는 것인바, 이 같은 온도 범위에서 제거 반응을 받게되는 이차 및 삼차 탄소 그룹과 같은 비제한적 예를 설명하는 것이다. 본 발명 기술분야에 통상의 기술을 가진자라면, 다른 메카니즘에 의해 설명되는 바와 같이 다른 그룹이 열적으로 분해되는 것을 이해 할 수 있으며, 예를들면 t-부틸옥시카보닐 (t-BOC 또는 "BOC") 그룹이 상기 그룹의 t-부탈 부분이 이소부틸렌을 형성하는 제거 메카니즘을 통해 분해될 수 있으며, 또한 상기 분해가 이산화탄소를 형성할 수 있다. 따라서 열적으로 제거가능한 그룹은 특정한 메카니즘 또는 메카니즘의 조합으로 제한되지 않는다.
상기 그룹이 하나 이상의 휘발성 성분을 발생시키기 위해 특정 온도 범위에서 분해되는 한, 열적으로 분해가능한 그룹으로 인정된다. 예를들면, 일정 조건에서, t-부틸에틸아민은 에틸 그룹이 남아있는 동안 이소부틸렌을 형성하기 위해 t-부틸 그룹의 열적 분해를 받게될 것이며, 따라서 이소부틸렌 그리고 에틸아민은 열적 분해의 산물이다. 본 발명 기술분야의 통상의 지식을 가진자라면 한 성분의 휘발성은 부분적으로 그 같은 성분이 발생된 반응 조건에 지배를 받는 다는 것을 이해할 것이다. 예를들면, 이소부틸렌은 휘발성이며, 흡착된 반응물과 반응하지 않기 때문에 가열 및 낮은 압력 조건하에서 반응 챔버로부터 제거되지 않는데 반해, 예를들면, 암모니아는, 비록 일반적으로는 비휘발성 화합물이라 하여도, 기판의 표면에 흡착된 규소 포함 반응물과 반응한다.
방법
본원 명세서에서는 SiN 필름을 만드는 방법을 설명한다. 특정 실시 예에서, SiN 필름은 플라즈마-활성 컨포말 필름 증착(CFD)를 사용하여 만들어진다. 일정 실시 예에서, SiN 필름은 상기 필름의 탄소 함량을 줄이기 위해 수소 플라즈마로 증착되도 처리된다. 일정 실시 예에서, 실리콘 포함 반응물 및 질소 포함 반응물이 SiN 필름을 만들기 위해 사용되며, 이때 반응물 가운데 하나 또는 두개가 열적으로 제거가능 그룹을 포함한다. 이들 실시 예에서, 가령 열적으로 제거가능 그룹 또는 그 같은 그룹의 휘발성 성분에 의해 실시되는 때, 수소 플라즈마가 필름으로부터 원하지 않은 탄소를 제거하기 위해 필요하지 않을 수 있다. SiN 필름이 가열되는 때, 탄소가 열적으로 제거가능한 그룹 또는 그룹들로부터 제거되며 기체 상으로 제거되는 휘발성 성분으로 분해된다. 일정 실시 예에서, SiN 필름의 탄소 함량 일부가 열적으로 제거가능한 그룹 또는 그룹들을 사용하여 제거될 수 있으나, 원하지 않은 탄소가 남아 있을 수 있으며, 따라서 수소 플라즈마 처리가 마찬가지로 사용될 수 있다. 상기 설명된 특징 각각이 하기에서 상세히 설명된다.
일정 실시 예에서, CFD가 SiN 필름을 증착하기 위해 사용되나, 본원 명세서에서 설명된 방법은 CFD로 제한되지 않는다. 다른 적절한 방법으로 ALD, PEALD, CVD, PECVD, 그리고 플라즈마 증가 주기적 화학적 증착(PECCVD)을 포함한다. CFD를 사용하여 필름을 형성시키는 방법은 본원 출원 발명과 동일자로 출원되었으며, 본원 명세서에서 참고로 인용되는 미국 특허출원 제 13/084,399호에서 설명된다. 이해를 돕기 위해 CFD에 대한 간략한 설명이 제공된다.
반도체 소자의 생산은 대개 통합 생산 공정에서 비-평면 기판상에 하나 또는 둘 이상의 박막 필름을 증착함을 포함한다. 통합 공정의 몇가지 특징에서, 컨포말 박막 필름을 증착하는 것이 유용하다. 예를들면, 질화 규소 필름은 엷게 도핑된 소스 및 드레인 영역을 뒤이은 이온 주입 프로세서로부터 보호하기 위해 스페이서 층으로 작용하도록 하기 위해, 높여진 게이트 스택 상부에 증착될 수 있다.
스페이서 층 증착 공정에서, 화학 기상 증착(CVD) 공정은 비-평면 기판상에 질화 규소 필름을 혀성하도록 사용될 수 있으며, 이는 다음에서 스페이서 구조를 형성하도록 이방성으로 에칭된다. 그러나, 게이트 스택들 사이 거리가 줄어듦에 따라, CVD기상 반응의 매스(mass) 운반 제한은 "브레드 로핑(bread-loafing)" 증착 영향을 발생시킬 수 있다. 이 같은 영향은 대개 게이트 스택 상부 표면에서 두꺼운 증착과 게이트 스택의 저부 코너에서 얇은 증착을 발생시킨다. 더욱이, 일정 다이가 각기 다른 소자 밀도 영역을 가질 수 있기 때문에, 웨이퍼 표면에서의 매스 운반 영향은 다이 내 그리고 웨이퍼 내 필름 두께 변화를 발생시키게 된다. 이들 두께 변화는 일정 영역들의 과-에칭 그리고 다른 영역에서의 부족-에칭을 발생시킬 수 있다. 이는 소자 성능 및/또는 다이 생산률 감소를 발생시킨다.
이들 문제들을 해결하는 몇가지 접근 방법은 원자 층 증착(ALD)을 포함한다. 열적으로 활성화된 기체 상 반응이 사용되어 필름을 증착하도록 하는 CVD 공정과 달리, ALD 공정은 표면-조정 증착 반응을 사용하여 층-바이-층 기반으로 필름을 증착하도록 한다. 한 실시 예 ALD공정에서, 표면 활성 위치 집단을 포함하여 기판 표면은 제1 반응물(A)의 기체 상 분산에 노출된다. 반응물 A의 약간의 분자가 기판 표면 상부에서 농축된 상을 형성하며, 반응물A의 화학적으로 흡착된 화학종 및 물리적으로 흡착된 분자를 포함한다.
다음에 상기 반응기가 기체상과 물리적으로 흡착된 반응물 A를 제거하기 위해 비워져서, 화학적으로 흡착된 화학종만이 남아 있도록 한다. 다음에 제2 필름 반응물(B)이 반응기로 안내되어 반응물 B의 약간의 분자가 기판 표면으로 흡착되도록 한다. 상기 기판으로 제공된 열 에너지는 반응물 A와 B 의 흡착된 분자들 사이 표면 반응을 활성화하며, 필름 층을 형성한다. 마지막으로, 상기 반응기는 반응 부산물 그리고 반응되지 않은 반응물B를 제거하기 위해 비워지며, 상기 ALD 사이클을 종료한다. 추가 ALD 사이클이 포함되어 필름 두께를 형성하도록 한다. 플라즈마, 또는 다른 에너지 수단이 가열과 함께 사용될 수 있으며, 반응물 A와 B 사이 반응을 발생시키기 위해 기판을 가열시키는 것에 대한 대체수단이 될 수 있다.
반응물 도싱 단계 노출 시간 및 반응물의 부착 계수(sticking coefficients)에 따라, ALD 사이클 각각이 1/2 과 3 옹스트롬 사이 두께를 갖는 필름 층을 증착할 수 있다. 따라서, ALD 처리는 수 나노미터 두께 이상의 필름을 증착하는 때는 시간 소모적일 수 있다. 또한, 어떤 반응물은 컨포말 필름을 증착하는 데 장시간의 노출 시간을 가지며, 이는 웨이퍼 처리량을 줄이도록 한다.
컨포말 필름은 또한 평면 기판상에 증착될 수 있다. 예를들면, 리소그래피 패턴닝 응용을 위한 비반사 층들이 교대하는 필름 타입을 포함하는 평면 스택으로부터 형성될 수 있다. 이 같은 비 반사 층들은 대략 100 내지 1000 옹스트롬 두께이며, 이는 ALD 공정이 CVD 공정보다 덜 매력적으로 만든다. 그러나, 이 같은 비 반사 층들은 많은 CVD 공정이 제공하는 것보다 웨이퍼 내 두께 변동에 대하여 낮은 허용오차를 가진다. 예를들면, 600 옹스트롬 두께 비 반사 층은 3 옹스트롬 미만의 두께 범위를 허용할 수 있다.
따라서, 본원 명세서에서 설명된 다양한 실시 예는 SiN 필름을 증착하기 위한 CFD를 포함한다. 일반적으로, CFD는 SiN을 형성하기 위해 반응하기 전에 하나 또는 둘 이상의 반응물을 완전히 정화하지 못한다. 예를들면, 플라즈마 (또는 다른 활성화 에너지)가 스트라이킹되는 때 기체 상에는 하나 또는 둘 이상의 반응물들이 존재할 수 있다. 따라서, 상기 ALD 공정에서 설명된 공정 단계 가운데 하나 또는 둘 이상이 한 예의 CFD공정에서 짧아지거나 제거될 수 있다. 또한, 다른 실시 예에서는, 증착 반응의 플라즈마 활성이 결국 열적으로 활성화된 방응보다 낮은 증착 온도를 만들도록 하며, 잠정적으로 통합 공정의 열 예산(thermal budget)을 줄이게된다.
도 1은 CFD 공정(100)에서 예시적 단계들의 열적 진행상태를 도시한다. 가령 불활성 가스 흐름, 반응물 A, 반응물 B, 그리고 플라즈마가 스트라이킹되는 때와 같은 다양한 공정 파라미터가 적용된다. 도 1에서, 두 개의 증착 사이클 (110A, 110B)이 도시된다. 본 발명 기술 분야에서 통상의 기술을 가진 자라면, 바람직한 필름 두께를 증착하기 위해 적절한 수의 증착 사이클이 한 CFD 공정에서 포함될 수 있음을 이해 할 것이다. 실시 예 CFD 공정 파라미터는, 비제한적으로, 불활성 및 반응 화학종의 흐룸 속도, 플라즈마 파워 및 주파수, 기판 온도 그리고 공정 스테이션 압력을 포함할 수 있다.
CFD "사이클"의 개념은 본원 명세서에서 다양한 실시예 논의와 관련이 있다. 일반적으로, 한 사이틀은 표면 증착 반응 1회를 수행하기 위해 필요한 최소한의 동작 세트이다. 1회 사이클의 결과는 기판 표면에서의 적어도 부분적인 필름 층 생산하도록 한다. 대개, CFD 사이클은 기판 표면으로 반응물 각각을 전달시키고 흡착시키며, 다음에 그와 같이 흡착된 반응물들과 반응하여 필름 부분 층을 형성하기 위해 필요한 단게들만을 포함할 것이다. 물론, 상기 사이클은 반응물들 또는 부산물들 하나를 정화하고 및/또는 증착된 부분 필름을 처리하는 일정 보조 단계를 포함할 수 있다. 일반적으로, 한 사이클은 고유한 동작 순서의 한 경우만을 포함한다. 일 예로서, 한 사이클은 다음의 동작을 포함할 수 있다: (i) 반응물 A를 전달/흡착, (ii) 반을물 B를 전달/흡착, (iii) 반응 챔버로부터 B를 정화, 그리고 (iv) 기판에 부분적인 필름 층을 형성하기 위해 A와 B 의 표면 반응을 말들기 위해 플라즈마를 적용한다.
도 1에서, 불활성 가스가 공정(100)의 모든 단계 중에 흘러들어온다. 반응물 A 노출 단계(120A)에서, 반응물 A는 조정된 흐름 속도로 공정 스테이션으로 공급되며 기판의 노출된 표면을 포화하도록 한다. 반응물 A는 가령 질소 포함 반응물과 같은 어떠한 적절한 증착 반응물일 수 있다. 도 1에서 도시된 실시 예에서, 반응물 A는 증착 사이클(110A, 110B)동안 연속적으로 흐르도록 된다. 필름 프리커서(반응물) 노출이 기체 상 반응을 막기 위해 분리되는, 전형적인 ALD 공정에서와는 달리, 반응물 A 그리고 B가 CFD 공정의 실시 예 기체 상에서 혼합되도록 허용된다.
연속적으로 반응물A를 공정 스테이션으로 공급하는 것은 반응물 A가 먼저 턴-온되고, 다음에 안정화되며 기판에 노출되고, 다음에 턴-오프되며, 마지막으로 반응기에서 제거되는 ALD 공정과 비교하여 반응물 A 흐름 속도 턴-온(flow rate turn-on) 및 안정화 시간(stabilization time)을 줄이거나 없애도록 한다. 도 1에서 도시된 실시 예가 일정한 흐름 속도를 갖는 것으로 반응물 A 노출 단계(120A)을 도시하고 있으나, 가변 흐름을 포함하여 반응물 A에대한 다른 적절한 흐름이 본발명의 보호 범위내에서 사용될 수 있음을 이해할 것이다.
일정 실시 예에서, 반응물 A 노출 단계(120A)는 반응물 A에 대한 기판 표면 포화 시간을 초과하는 지속시간을 가질 수 있다. 예를 들면, 도 1의 실시 예는 반응물 A 노출 단계(120A)에서 반응물 A 포스트-포화 노출 시간(130)을 포함한다. 선택적으로, 반응물 A노출 단계(120A)는 불활성 가스의 조정된 흐름 속도를 포함할 수 있다. 실시 예 불활성 가스들로는, 비제한적으로, 질소, 아르곤, 그리고 헬륨이 있다. 상기 불활성 가스는 공정 스테이션에 대한 압력 및/또는 온도 조정, 액체 반응물의 증발, 반응물 및/또는 공정 스테이션 또는 공정 스테이션 배관으로부터 공정 가스를 제거하기 위한 정화 가스의 더욱 빠른 전달을 협력하기 위해 제공될 수 있다.
도 1에서 도시된 실시 예의 반응물 B노출 단계(140A)에서, 반응물 B는 조정된 흐름 속도로 상기 공정 스테이션으로 공급되어 노출된 기판 표면을 포화하도록 한다. 이 같은 실시 예에서, 반응물 B는 규소 포함 반응물이다. 도 1의 실시 예가 반응물 B 노출 단계(140A)가 일정한 흐름 속도를 갖는 것으로 도시하고 있으나, 가변 흐름을 포함하여 반응물 B에대한 다른 적절한 흐름이 본발명의 보호 범위내에서 사용될 수 있음을 이해할 것이다. 또한, 반응물 B 노출 단계(140A)는 어떠한 적절한 지속시간을 가질 수 있다. 일정 실시 예에서, 반응물 B 노출 단계(140A)는 반응물 B에 대한 기판 표면 포화 시간을 초과하는 지속시간을 가질 수 있다. 예를 들면, 도 1의 실시 예는 반응물 B 노출 단계(140A)에서 반응물 B 포스트-포화 노출 시간(150)을 포함한다.
일정 실시 예에서, 표면에 흡착된 B 화학종은 기판 표면에서 불연속 섬(discontinuous islands)으로 존재하며, 반응물 B의 표면 포화를 달성함을 곤란하게 한다. 다양한 표면 조건들이 기판 표면에서 반응물 B의 결정핵생성 그리고 포화를 지연할 수 있다. 예를들면, 반응물 A 및/또는 B의 흡착시 릴리이스된 리간드가 약간의 표면 활성 위치들을 차단할 수 있으며, 반응물 B의 더 이상의 흡착을 막을 수 있다. 따라서, 일정 실시 예에서, 반응물 B의 연속적인 어드레이어(adlayers)가 반응물 B 노출 단계(140A)동안 이산적 펄싱 반응물 B의 흐름을 공정 스테이션으로 변환시킴에 의해 제공될 수 있다. 이는 일정한 흐름 시나리오와 비교하여 반응물 B를 보존하는 동안 표면 흡차과 탈착을 위한 추가 시간을 제공할 수 있다. 추가로, 또는 선택적으로, 일정 실시 예에서, 하나 또는 둘 이상의 정화 단계가 반응물 B의 연속적인 노출 사이에서 포함될 수 있다.
플라즈마 활성화 이전에, 기체 상 반응물B가 일정 실시예에서 정화 단계(160A)에서 처리 공정으로부터 제거될 수 있다. 처리 스테이션을 정화하는 것은 기체 상 반응을 피할 수 있으며, 반응물 B는 플라즈마 활성화에 대하여 불안정하거나, 원하지 않은 화학종이 형성될 수 있다. 또한, 처리 스테이션을 정화하는 것은 표면 흡착 리간드를 제거할 수 있으며, 이 같은 리간드는 그렇지 않았더라면 남아 있어서 필름을 오염시킬 것이다. 정화 가스의 예는 비제한적으로 아르곤, 헬륨, 그리고 질소이다. 도 1에서 도시된 실시 예에서, 정화 단계(160A)를 위한 정화 가스는 연속된 불활성 가스 스트림에의해 공급된다. 일정 실시 예에서, 정화 단계(160A)는 상기 처리 스테이션을 비우기 위한 하나 또는 둘 이상의 비우기 서브 단계들을 포함할 수 있다. 선택적으로, 정화 단계(160A)는 일정 실시 예에서 삭제될 수 있기도 하다.
정화 단계(160A)는 적절한 지속시간을 가질 수 있다. 일정 실시 예에서, 하나 또는 둘 이상의 정화 가스들 흐름 속도를 증가시키는 것은 정화 단계(160A)의 지속시간을 감소시킬 수 있다. 예를들면, 정화 가스 흐름 속도는 정화 단계(160A)의 지속시간을 변경하기 위해 처리 스테이션 및/또는 처리 스테이션 배관의 기하학적 특성 및/또는 다양한 반응물 열역학 특성에 따라 조정될 수 있다. 한 비제한적 실시 예에서, 정화 단계의 지속시간은 상기 정화 가스 흐름 속도를 조정하여 가장 적합하게 될 수 있다. 이는 증착 사이클 시간을 줄이며, 기판 처리 작업량을 개선시킨다.
도 1에서 도시된 실시 예의 플라즈마 활성화 단계(180A)에서, 플라즈마 에너지가 표면 흡착 반응물 A와 B 사이 표면 반응을 활성화하기 위해 제공된다. 예를들면, 상기 플라즈마는 반응물 A라디칼을 형성하기 위해 반응물A의 기체 상 분자들을 직접 또는 간접적으로 활성화 할 수 있다. 다음에 이들 라디칼들은 표면 흡착 반응물 B와 상호작용할 수 있으며, 필름 형성 표면 반응을 발생시키도록 한다. 플라즈마 활성화 단계(180A)는 증착 사이클(110A)을 종료하며, 도 1의 실시 예에서, 증착 사이클(11B)가 뒤따르게 되며, 반응물 A 노출 단계(120B)가 시작된다.
일정 실시 예에서, 플라즈마 활성화 단계(180A)에서 점화된 플라즈마는 상기 기판 표면 바로 위에 형성된다. 이는 더욱 큰 플라즈마 밀도를 제공하며 반응물 A와 B 사이 표면 반응 속도를 향상시킨다. 예를들면, CFD공정을 위한 플라즈마는 두개의 용량적 연결 플레이트를 사용하여 무선 주파수(RF) 필드를 저압 가스에 적용하여 발생될 수 있다. 어떠한 적절한 가스가 상기 플라즈마를 형성하도록 사용될 수 있다. 이 같은 실시 예에서, 아르곤 또는 헬륨과 같은 상기 불활성 가스는 반응물 A, 질소 포함 반응물과 함께 사용되어 플라즈마를 형성하도록 한다.
RF 필드에 의해 플레이트들 사이 가스 이온화가 상기 플라즈마를 점화하며, 플라즈마 방전 영역에서 자유 전자를 발생시킨다. 이들 전자들은 RF 필드에서 가속되며 기체 상 반응물 분자들과 충돌할 수 있다. 이들 전자들의 반응물 분자들과의 충돌은 상기 증착 공정에 참여하는 라디칼 화학종을 형성할 수 있다. 상기 RF 필드는 어떠한 적절한 전극들을 통해서도 결합될 수 있다. 상기 전극들의 비제한적인 예들로서 처리 가스 분산 샤워헤드(showerheads) 그리고 기판 지지 받침을 포함할 수 있다. CFD 공정을 위한 플라즈마는 RF 필드를 가스에 용량적으로 결합시키지 않고 하나 또는 둘 이상의 다른 적절한 방법으로 형성될 수 있기도 하다.
플라즈마 활성화 단계(180A)는 적절한 지속시간을 가질 수 있다. 일정 실시 예에서, 플라즈마 활성화 단계(180A)는 플라즈마 활성화 라디칼들이 모든 노출된 기판 표면들 그리고 흡착물들과 상호작용하기 위한 시간을 초과하는 지속시간을 가질 수 있으며, 상기 기판 표면 위에 연속 필름을 형성한다. 예를들면, 도 1에서 도시된 실시 예는 플라즈마 활성화 단계(180A)에서 플라즈마 포스트-포화 노출 시간(190)을 포함한다.
일정 실시 예에서, 플라즈마 노출 시간을 연장하고 및/또는 다수의 플라즈마 노출 단계들을 제공하는 것은 증착된 필름의 벌크 및/또는 표면-인근 부분 포스트-반응 처리를 제공한다. 한 실시 예에서, 표면 오염을 줄이므로써 반응 A의 흡착을 위한 표면을 준비할 수 있다. 예를들면, 규소 포함 반응물 그리고 질소 포함 반응물의 반응으로 형성된 질화 규소 필름은 뒤이은 반응물들의 흡착을 저항할 수 있는 표면을 가질 수 있다. 상기 질화 규소 표면을 플라즈마로 처리함으로써, 뒤이은 흡착 및 반응 이벤트들을 용이하게 하기 위한 수소 결합을 발생시킬 수 있다. 본원 명세서에서 설명된 SiN 필름들은 플라즈마 이외의 처리들에 노출될 수 있다.
일정 실시 예에서, 플라즈마 처리 이외의 처리가 사용되어 증착된 필름의 특성을 변경하도록 할 수 있다. 이 같은 처리로는 전자기 방사선 처리, 열 처리(예를들면, 이닐링 또는 고온 펄스들)등이 있다. 이들 처리의 어느 것도 단독으로 또는 플라즈마 처리를 포함하여 다른 처리와 결합하여 수행될 수 있다. 어떠한 그와 같은 처리도 상기 설명된 플라즈마 처리 어느 것을 대신하여 사용될 수 있다. 특정 실시 예에서, 상기 처리는 필름을 자외선에 노출시킴을 포함한다. 하기에서 설명하는 바와 같이, 특정 실시 예에서, 상기 방법은 자외선을 제자리에 있는 필름에(즉, 필름의 형성 중에) 또는 필름의 증착 이후에 필름에 적용함을 포함한다. 이 같은 처리는 결함 구조를 줄이거나 제거하도록 하며 개선된 전기적 성능을 제공한다.
특정 실시 예에서, UV 처리는 플라즈마 처리와 결합될 수 있다. 이들 두 동작은 동시에 또는 순차적으로 수행될 수 있다. 순차적으로 수행하는 경우, 상기 UV 동작은 선택적으로 먼저 발생된다. 동시에 수행하는 경우, 상기 두 처리는 분리된 소스들로부터(가령, 플라즈마를 위한 RF 파워 소스와 UV를 위한 램프) 제공되거나, 부산물로서 UV 방사선을 발생시키는 헬륨 플라즈마와 같은 단일 소스로부터 제공될 수 있다.
일정 실시 예에서, 스트레스, 유전체 상수, 회절 인덱스, 에칭 속도와 같은 필름 특성이 플라즈마 파라미터를 변경시킴으로써 조정될 수 있다.
본원 명세서에서 설명된 많은 실시 예가 두 개의 반응물(A 및 B)을 포함하였으나, 적절한 수의 반응물을 사용하는 것은 본 발명의 보호범위에서 속하는 것이다. 일정 실시 예에서, 단일 반응물 그리고 표면 반응을 위해 플라즈마 에너지를 공급하도록 사용된 가스가 사용될 수 있다. 선택적으로, 일정 실시 예는 멀티플 반응물을 사용하여 필름을 증착하도록 할 수 있다. 예를들면, 일정 실시 예에서, 질화 규소 필름이 규소 포함 반응물 그리고 질소 포함 반응물 하나 또는 둘 이상 반응에 의해 형성될 수 있으며, 또는 하나 또는 둘 이상의 규소 포함 반응물 그리고 단일 질소 포함 반응물, 또는 규소 포함 반응물 그리고 질소 포함 반응물 가운데 하나 이상의 반응에 의해 형성될 수 있다.
멀티플 반응물이 사용되고 이들 가운데 하나의 흐름이 연속적인 때, 이들 가운데 적어도 두개가 상기 CFD 사이클 일부 동안에 기체 상으로 공존할 것이다. 이와 유사하게, 제1 반응물의 전달이 있은후 어떠한 정화 단계도 수행되지 않는 때, 두 개의 반응물이 반응 챔버에서 공존할 것이다. 따라서 활성화 에너지 적용이 없는 때 기체 상으로 서로 작용하지 않는 반응물을 사용하는 것이 중요하다. 일반적으로 반응물들은 기판 표면에 존재할 때까지 그리고 플라즈마 또는 다른 적절한 비-열적 활성화 상태에 노출될 때까지 반응하지 않아야 한다. 이 같은 반응물들을 선택하는 것은 적어도 (1) 바람직한 반응의 열역학적 바람직함(Gibb의 자유 에너지 <0), 그리고 (2) 바람직한 증착 온도에서 무시해도 좋은 반응이 있도록 충분히 큰, 반응을 위한 활성화 에너지를 고려함을 포함한다.
하나 또는 둘 이상의 반응물이 다양한 필름 및/또는 하드웨어를 고려하여 선택된다. 예를들면, 일정 실시 예에서, 질화 규소 필름이 디클로로실란과 가령 암모니아와 같은 플라즈마 활성 질소 포함 반응물의 반응에 의해 형성될 수 있다. 실리콘 표면(방법 1에서 장방형으로 표시)에 대한 디클로로실란을 화학 흡착시킴으로써, 실리콘-수소로 끝나는 표면을 발생시키고, 염산(HCl)을 방출한다. 이 같은 화학적 흡착 방응의 예가 방법 1에서 설명된다.
Figure pct00001
방법 1
다음에 방법 1에서 설명된 주기적 중간물이 동일한 또는 상이한 플라즈마 활성 질소 포함 반응물과의 반응을 통해 규소 아미드로 끝나는 표면내로 변환될 수 있다.
그러나 디클로로실란의 몇몇 분자들은 양자 택일의 메카니즘에 의해 화학적 흡착될 수 있다. 예를들면, 표면 형태학은 방법 1에서 도시된 주기적인 중간물 형성을 방해할 수 있다. 또 다른 화학적 흡착 메카니즘 예가 방법 2에서 도시된다. 질소 포함 반응물의 뒤이은 플라즈마 활성 중에, 방법 2에서 도시된 흡착된 중간물 화학종의 남아있는 염소 원자가 방출되며, 상기 플라즈마에의해 활성화된다. 이는 질화 규소 표면의 에칭을 발생시키며, 잠정적으로 질화 규소 필름이 거칠어지고 탁해지도록 한다. 또한, 잔류의 염소 원자가 물리적으로 및/또는 화학적으로 다시 흡착될 수 있으며, 상기 증착된 필름을 잠정적으로 오염시킨다. 이와 같은 오염은 상기 질화 규소 필름의 물리적 및/또는 전기적 특성을 변경시킬 수 있다. 또한 상기 활성화된 염소 원자는 처리 스테이션 하드웨어으 부분들에 에칭 손상을 발생시킬 수 있으며, 잠정적으로 상기 처리 스테이션의 부분들 서비스 수명을 줄인다. 또한 필름의 염화물 함량이 과도하게 될 수 있다.
Figure pct00002
방법 2
따라서, 일정 실시 예에서, 디클로로실란이 아닌 모노클로로실란이 사용된다. 이는 필름 오염, 필름 손상, 및/또는 공정 스테이션 손상을 줄인다. 클로로실란의 화학적 흡착 예가 방법 3에서 도시된다. 방법 3에서 도시된 예가 규소-포함 반응물로서 클로로실란을 사용하지만, 다른 적절한 모노 대체 할로실란이 사용될 수 있다는 것을 이해할 것이다. 예를들면, 일정 탄소 함량이 바람직한 응용이 있을 수 있다. 한 실시 예에서, 상기 SiN 필름의 탄소 함량은 탄소 포함 그룹의 선택에 의해, 규소 포함 반응물 및 질소 포함 반응물 모두 또는 어느 하나에 대하여 정해질 수 있다.
Figure pct00003
방법 3
상기 설명한 바와 같이, 도시된 중간물 구조가 질소 포함 반응물과 반응하여 규소 아미드로 끝나는 표면을 형성하도록 한다. 예를들면, 암모니아가 플라즈마에 의해 활성화될 수 있으며, 규소 아미드로 끝나는 표면을 형성하도록 한다. 암모니아는 SiN 필름을 형성하기 위한 공통된 질소 포함 반응물이다. 본원 명세서에서 설명된 일정 실시 예는 질소 포함 반응물로서 암모니아를 사용한다.
그러나, 암모니아는 반응물 전달 라인, 처리 스테이션 그리고 배출 배관의 표면에 물리적으로 강하게 흡착될 수 있으며, 이는 정화 및 배출 시간을 연장하도록 한다. 또한, 암모니아는 일부 기체 상 규소 포함 반응물과 높은 반응도를 갖는다. 예를들면, 디클로로실란(SiH2Cl2) 그리고 암모니아의 기체-상 혼합물은 디아미노실란(SiH2(NH2)2)과 같은 안정되지 않은 화학종을 발생시킬 수 있다. 이 같은 화학종은 기체 상으로 분해될 수 있으며, 작은 입자들을 결정핵으로 생성한다.
작은 입자들은 염화 암모늄을 형성하기 위해, 할로실란의 화학적흡착 동안 발생된 염화 수소와 반응하면 형성될 수 있다. 이 같은 입자들은 처리 스테이션에서 축적될 수 있으며, 이들은 기판 표면을 오염할 수 있고, 집적 소자의 결함으로 이어질 수 있도록 하고, 처리 스테이션 하드웨어를 오염할 수 있으며, 툴 다운(tool down) 시간 및 세정을 연장할 수 있다. 작은 입자들은 배출 배관에 축적될 수 있으며, 펌프와 송풍기를 막히게 할 수 있고, 특수한 환경 배출 청소 및/또는 콜드 트랩을 위한 필요를 발생시킨다.
따라서, 일정 실시 예에서, 탄소를 포함하지 않는 질소 포함 반응물이 아닌 아민이 사용될 수 있다. 예를들면, t-부틸 아민과 같은 알킬 아민의 플라즈마 활성화로부터 형성된 다양한 라디칼이 처리 스테이션으로 적용될 수 있다. t-부틸 아민과 같은 대체 아민은 암모니아 보다 처리 하드웨어에서 낮은 부착 계수(sticking coefficient)를 가질 수 있으며, 이는 결국 상대적으로 낮은 물리적 흡착 율 그리고 상대적으로 낮은 처리 정화 시간을 발생시킬 수 있다.
또한, 이 같은 알킬 아민은 염화 암모늄과 같은 암모늄 염보다 더욱 휘발성이 있는 할로겐화 염을 형성한다. 예를들면, t-부틸암모늄 염화물은 염화 암모늄보다 상당히 휘발성이 있으며 따라서 챔버의 내부 그리고 관련 배관 및 증착 하드웨어에 더욱 적은 증착이 형성된다. 이와 같이 하여 툴 다운 시간, 장치 결함 발생, 그리고 환경 감소 비용을 줄인다.
다른 실시 예에서, 질소 포함 반응물은 아민이며, 탄소 성분 및 질소 성분을 갖는다. 일정 실시 예에서, 상기 아민은 암모니아의 소스로 작용한다. 즉 예를들면, t-부틸아민은 질소 포함 반응물이며, 그러나 처리가 있은 후에는, 상기 반응물의 t-부틸 부분이 휘발되며 SiN 필름으로부터 제거되고, 아민 부분(암모니아)이 상기 SiN 필름내로 통합된다. 이와 같이 하여, 질소 포함 반응물이 암모니아를 위한 전달 벡터, 또는 "암모니아 등가물"로 작용한다.
이와 같이 하여 상기 반응 챔버와 관련된 배관 그리고 하드웨어가 과잉 암모니아가 과도한 암모니아에 노출되는 것을 피한다(비록 일부 암모니아는 t-부틸 그룹의 용해동안 SiN 필름으로부터 불가피하게 상실되며 반응기 그리고 다른 표면과 반응한다). 또한, 일정한 아민(질소 포함 반응물)이 규소 포함 반응물과 반응하며 새로운 수소 포함 반응물을 형성하도록 한다. 예를들면, t-부틸 아민이 디클로로실란과의 반응은 BTBAS를 형성한다.
비록 BTBAS가 규소를 포함하지만, 이는 질소를 포함하기도 한다(본 명세서 정의에 의하면, 이는 규소-포함 반응물이다). t-부틸 아민이 300℃ 이상의 온도에서 이소부틸렌 및 암모니아를 형성하기 위해 분해되기 때문에, 유사한 BTBAS가 열적으로 분해되어 SiN 그리고 이소부틸렌 2몰을 형성하도록 한다(BTBAS는 또한 질소 포함 반응물을 갖는 규소 포함 반응물로서 사용될 수 있다.) 따라서, 일정 아민은 교대의 루트를 제공하여 질화 규소를 형성하도록 한다. 본원 명세서에서는 이 같은 알킬 아민을 개발하는 방법이 설명된다.
일정 실시 예에서, 열 분해 경로가 사용되며, 열적으로 제거가능한 그룹이 질소-포함하는 반응물의 일부일 필요가 없다. 예를들면, 일정 실시 예에서, 상기 열적으로 제거가능한 그룹은 실리콘 포함 반응물의 일부이다. 한 실시 예에서, 열적으로 제거가능한 그룹이 규소, 질소 또는 규소 포함 반응물의 산소에 직접 결합된다. 일정 실시 예에서, 상기 반응물, 질소 또는 규소는 열적으로 제거가능한 그룹 그리고 적어도 열적으로 분해되지 않는 한 그룹을 포함한다.
이는, 가령, 최종 SiN 필름에서의 일정 탄소 함량이 바람직한 때, 또는 가령 탄소가 SiN 필름으로부터 제거되는 방식을 정하는 것이 바람직한 때 바람직할 수 있다. 예를들면, 한 실시 예에서, 질소 및 규소 포함 반응물 가운데, 열적으로 제거가능한 그룹 그리고 비-열적으로 제거가능한 그룹 모두가 포함되는데, 즉 같은 조건 하에서 열적으로 분해되지 않는 것도 포함된다. 이와 같이 하여, SiN 필름은 탄소 일부가 열분해를 통해 제거될 수 있으며, 탄소 나머지가 가령 수소 플라즈마 처리를 통해 제거되는 필름으로 만들어진다.
상기 언급한 특징 각각이 하기에서 더욱 상세히 설명된다.
상기 설명된 바와 같이, 한 실시 예에서, CFD가 사용되어 SiN 필름을 만들도록 한다. 한 실시 예는 (a) 반응 챔버 내에 기판을 제공하고; (b) 상기 기판을 질소 포함 반응물 기체 상 흐름에 기판을 계속적으로 노출하며, 이때 상기 질소 포함 반응물이 상기 기판의 표면으로 흡착되고; (c) 상기 기판을 규소 포함 반응물의 기체 상 흐름으로 주기적으로 노출하고, 이때 상기 규소 포함 반응물이 상기 기판의 표면으로 흡착되며; 그리고 (d) 상기 규소 포함 반응물의 기체 상 흐름이 중단된 때 상기 반응 챔버 내 플라즈마를 주기적으로 점화시킴을 포함하는, 기판에 질화 규소 재료를 형성시키는 방법이다.
이 같은 실시 예에서, 플라즈마는 반응 챔버에서 흐르는 질소 포함 반응물로부터 플라즈마를 형성하도록 한다. 한 실시 예에서, 캐리어 가스가 반응 챔버를 통해 계속해서 흐르도록 되며, 따라서 플라즈마는 아르곤 또는 질소 이온 및/또는 라디칼과 같은 캐리어 가스 성분들을 포함할 수 있다. 일반적으로, 반응 챔버 내 상기 규소 포함 반응물의 농도는 플라즈마를 스트라이킹하기 전에 상당히 줄어들도록 된다. 질소 포함 반응물의 흐름, 그리고 캐리어 가스(만약 존재한다면)는 비록 한 실시 예에서 플라즈마에 스트라이킹하기 전에 정화가 수행되고 있지만, 진공 정화 단계가 필요없이 챔버로부터 과도한 규소 포함 반응물(기판의 표면으로 흡착되지 않은)을 정화한다.
도 2는 본 방법의 특징을 설명하는 실시예 처리 흐름(200)을 도시한다. 기판이 챔버에 제공된다(205). 질소 포함 반응물의 흐름이 만들어지며 (200)에 걸쳐 계속된다(210 참조). 기판이 규소 포함 반응물에 주기적으로 노출된다(215). 또한 규소-포함 반응물 흐름이 중단된 때에만 플라즈마가 스트라이킹 된다. 한 실시 예에서, 기판 표면으로 흡착되지 않은 규소 포함 반응물은 불활성 가스 및/또는 질소 포함 반응물 흐름에 의해 챔버로부터 정화된다. 또 다른 실시 예에서, 정화가 사용될 수 있다. 플라즈마 처리가 있은 후에, 상기 방법은 종료된다. 이 같은 단계들이 수회 반복되어 바람직한 두께의 층을 만들도록 한다.
한 실시 예에서, 본 명세서에서 설명된 방법을 사용하여, 반-도체 웨이퍼가 약 50℃ 내지 550℃ 사이로 가열된다. 한 실시 예에서, 웨이퍼가 증착동안 가열되며, 다른 실시 예에서, 웨이퍼는 증착동안 또는 증착 단계 이후 어닐링(anneal)으로서 주기적으로 가열된다. 가열은 또한 하기에서 더욱 상세히 설명되는 바와 같이 열적으로 제거 가능한 그룹과 협력하여 사용된다.
상기 방법은 반도체 웨이퍼 상에 SiN 필름을 형성하는 데 특히 유용하다. 한 실시 예에서, 단계(b) 내지 단계 (d)는 컨포말 층을 약 1nm 와 100nm 사이 두께로 반도체 웨이퍼 상에 형성하도록 반복된다. 또 다른 실시 예에서, 약 5nm 와 50nm 사이 두께로, 또 다른 실시 예에서는 약 5nm 와 30nm 사이 두께로 실시 된다.
본원 명세서에서 설명된 방법의 경우, 일반적으로 어떠한 규소-포함 반응물도 적합하다. 만들어진 필름의 SiN 재료는 탄소를 포함하기도 하고 포함하지 않기도 하다. 상기 SiN 필름에서 탄소는 규소 포함 반응물 또는 질소 포함 반응물로부터 만들어진다. 한 실시 예에서, 상기 규소 포함 반응물은 실란, 할로실란 그리고 아미노실란, 그리고 이들의 혼합으로 구성된 그룹으로부터 선택된다. 한 실시 예에서, 규소 포함 반응물은 할로실란, 아미노실란 또는 그 혼합이다. 한 실시 예에서, 규소 포함 반응물은 할로실란이다. 한 실시 예에서, 상기 할로실란은 클로로실란이다. 한 실시 예에서, 할로실란은 모노- 또는 디할로실란이며, 가령 모노클로로실란 또는 디클로로실란이다. 특정 실시 예에서, 할로실란은 모노클로로실란이다. 한 실시 예에서, 상기 모노클로로실란은 클로로실란이다.
일정 실시 예에서, 규소 포함 반응물은 특정 질소 포함 반응물과 쌍을 이룬다. 가령 모노클로로실란은 예를들면 t-부틸 아민과 같은 알킬 아민과 쌍을 이루어, 본원 명세서에서 설명된 방법을 사용하여 SiN 필름을 만들도록 한다. 한 실시 예에서, 디클로로실란은 t-부틸아민과 함께 사용되어, 본원 명세서에서 설명된 방법을 사용하여 SiN을 만들도록 한다.
본원 명세서에서 설명된 방법의 경우, 질소-포함 반응물은 어떠한 적절한 질소 포함 반응물일 수 있다. 한 실시 예에서, 상기 질소 포함 반응물은 암모니아, 히드라진, 아민 및 이들의 혼합으로이루어진 그룹으로부터 선택된다. 한 실시 예에서, 상기 질소 포함 반응물은 C1 -10 알킬 아민 또는 C1 -10 알킬 아민 혼합물을 포함한다. 한 실시 예에서, C1 -10 알킬아민은 일차 알킬 아민 또는 이차 알킬 아민이다. 한 실시 예에서, C1 -10 알킬 아민은 일차 알킬 아민이다. 한 실시 예에서, C1 -10 알킬 아민 다음 화학식I에 따른다:
Figure pct00004
여기서 R1, R2 및 R3 각각은 서로 독립적이며, H 또는 C1 -3 알킬이고;또는 R1, R2 및 R3 가운데 두개는 이들이 결합되는 탄소원자와 함께, C3 -7 시클로알킬을 형성하며; R1, R2 및 R3 가운데 다른 하나는 H 또는 C1-3 알킬이다. 한 실시 예에서, C1 -10 알킬 아민은 질소에 직접 결합된 이차 또는 삼차 탄소를 갖는다. 한 실시 예에서, C1 -10 알킬 아민은 이소프로필아민, 시클로프로필아민, 2차-부틸아민, 3차-부틸 아민, 시클로부틸아민, 이소아밀아민, 2-메틸부탄-2-아민 그리고 텍실아민(thexylamine) (2,3-디메틸부탄-2-아민) 으로 구성된 그룹으로부터 선택된다. 한 실시 예에서, 화학식 I의 C1 -10 알킬 아민에서 R1, R2 및 R3 각각은 C1 -3 알킬이다. 한 실시 예에서, C1 -10 알킬 아민은 3차-부틸 아민이다. TBA는 특히 상기 설명된 이유 때문에 유용하다.
일정 실시 예에서, 만들어진 SiN 필름은 바람직하지 않은 탄소 함량을 갖는다. 이 같은 인-필름(in-film) 탄소는 결국 전기 누출을 만들게 되며 일정 유전체 배리어 응용에서는 그 같은 필름을 사용할 수 없도록 한다. 탄소 함량은 가변적일 수 있다. 그러나 일정 실시 예에서는 약 10% 탄소(중량비)는 너무 높다. 본원 명세서에서 설명된 방법은 SiN 필름에서 원하지 않은 탄소 문제를 해결한다. 본원 명세서에서 설명된 방법은 2% 미만 탄소를 갖는 SiN 필름을 만들며, 한 실시 예에서는 1% 미만 탄소, 그리고 또 다른 한 실시 예에서는 0.5% 미만 탄소를 갖는 SiN 필름을 만든다. 일정 실시 예에서, 탄소 잔류물의 감소는 FTIR 스펙트럼에서 쉽게 관찰된다.
한 실시 예에서, 기판에 질화 규소 재료를 형성하는 방법은 (a) 기판에 질화 규소필름을 형성시키고: (i) 반응 챔버 내에 기판을 제공하고; (ii) 상기 기판을 기체 상(vapor phase) 규소 포함 반응물에 노출하여, 상기 규소 포함 반응물이 상기 기판의 표면으로 흡착되도록 하고; (iii) 상기 기판을 기체 상 질소 포함 반응물에 노출하여, 질소 포함 반응물이 상기 기판의 표면으로 흡착되도록 하며; (iv) 상기 질소 포함 반응물이 기체 상 내에 존재하는 동안 플라즈마를 점화시키고; 그리고 다음으로 (b) 질화 규소 필름의 적어도 일부 탄소 함량을 제거하기 위해 상기 질화 규소 필름을 플라즈마 포함 수소에 노출시키킴을 포함한다.
도 3은 본 발명 특징을 설명하는 예시적 처리 흐름(300)을 도시한다. 기판이 챔버로 제공된다(305). 상기 기판은 규소 포함 반응물에 노출된다(310). 기판은 질소 포함 반응물에 노출된다(315). 질소 포함 반응물이 기체상으로 존재하는 때 플라즈마가 스트라이킹된다(320). 따라서 SiN 재료를 형성하는 것이 기판상에 형성된다. 한 실시 예에서, 기판의 표면에 흡착되지 않은 규소 포함 반응물은 플라즈마를 스트라이킹하기 전에 불활성 기체 및/또는 질소 포함 반응물의 흐름에 의해 챔버로부터 정화된다. 또다른 실시 예에서, 정화가 사용되어 기체 상 규소 포함 반응물을 제거하도록 한다.
(310-320)의 하나 또는 둘 이상의 반복이 수행되어 SiN 층을 만들도록 한다. SiN 필름이 바람직한 두께로 만들어진 뒤에, 필름이 수소 플라즈마에 노출된다(325). 바람직한 양의 탄소를 제거하기 위해 수소 플라즈마로 처리된 후에, 상기 방법이 실행된다. 한 실시 예에서, 상기 수소 플라즈마는 수소(H2)와 니트로겐, 헬륨 또는 아르곤과 같은 캐리어 가스를 사용하여 발생된다. 다른 수소 포함 가스 또는 원격 플라즈마 소스에 의해 만들어진 활성 수소 원자가 사용되어 증착된 필름을 처리하도록 한다. 또한, 일정 실시 예에서, 필름의 탄소 함량이 처리 펄스의 회수 및 이들의 지속시간, 처리 플라즈마의 세기, 기판 온도, 그리고 처리 가스 조성가운데 하나 또는 둘 이상을 변경시킴으로써, 어떤 적절한 농도에 맞춰질 수 있다.
앞선 방법과 관련하여 설명한 바와 같이, 한 실시 예에서, 상기 기판은 반도체 웨이퍼이다. 한 실시 예에서, (ii) 단계가 (iii) 단계 이전에 수행된다. 또 다른 실시 예에서, (iii) 단계가 (ii) 단계 이전에 수행된다. 일정실시 예에서, (a)는 (b) 이전에 두번 또는 그 이상의 반복된다. 즉, 수소 플라즈마 처리가 상기 SiN 필름으로부터 탄소를 제거한다. SiN을 형성하기 위해 반응 각각이후 수소 플라즈마 처리를 수향하는 것이 항상 필요한 것은 아니다. 그 것보다는, 수소 플라즈마 처리를 요구하기 이전에 다수의 SiN (탄소와 함께) 층들이 증착될 수 있다. 즉, 수소 플라즈마는 둘 또는 그 이상의 층들이 증착된 이후 상기 탄소를 제거하는 때 유효하다. 한 실시 예에서, 약 1 nm 내지 100 nm 두께 사이 반도체 웨이퍼상에 컨포말 층을 형성하도록 (a) 단계와 (b) 단계가 반복되며, 또다른 실시 예에서는 약 5 nm 내지 50 nm 사이 두께, 또 다른 실시 예에서는 약 5 nm 내지 30 nm 사이 두께로 실시 된다.
상기 설명된 방법은 수소 플라즈마를 사용하여 SiN 필름 내 탄소 함량을 줄이도록 한다. 본원 명세서에서 설명된 다른 방법은 규소 포함 반응물 또는 질소 포함 반응물 어느 하나에 결합되어 탄소 함량을 낮추도록, 열 분해 가능 그룹을 이용한다. 한 가지 실시 예가 기판 상에 질화 규소 재료를 형성하는 방법이며, 반응 챔버 내에 기판을 제공하고; 캐리어 가스 흐름을 상기 반응 챔버를 통해 제공하며; (c) 상기 기판을 질소 포함 반응물 기체 상 흐름에 기판을 노출하며, 이때 상기 질소 포함 반응물이 상기 기판의 표면으로 흡착되고, 다음에 상기 반응 챔버를 정화하며; (d) 상기 기판을 규소 포함 반응물의 기체 상 흐름에 노출하고, 이때 상기 규소 포함 반응물이 상기 기판의 표면으로 흡착되며; 그리고 (e) 상기 규소 포함 반응물의 기체 상 흐름이 중단된 이후 상기 반응 챔버 내 플라즈마를 점화시키고; 그리고 (f) 상기 기판을 약 200℃ 내지 550℃ 사이 온도로 가열시킴을 포함하는, 기판에 질화 규소 재료를 형성시키는 방법을 포함한다.
도 4는 본 발명 방법 특징을 설명하는 실시 예 처리 흐름(400)을 도시한다. 기판이 챔버로 제공된다(405). 캐리어 흐름이 만들어진다(410). 상기 기판이 질소 포함 반응물에 노출된다(415). 상기 기판이 규소 포함 반응물에 노출된다(420). 플라즈마가 규소 포함 반응물 흐름이 중단된 뒤에 점화된다(425). 이 같은 반응이 SiN을 형성한다. 하나 또는 둘 이상의 410-425 반복이 수행되어 바람직한 두께의 층을 만들도록 한다. 이 같은 방법에서, 415 및 420은 순서대로 수행될 필요가 없다. 질소 포함 반응물 흐름은 연속적이거나 연속적이 아닐 수 있다. 기판은 열적으로 제거가능한 그룹들을 분해하기위해 필요한 온도 범위 이내 또는 그 이하의, SiN 층 형성중에 가열될 수 있으며, 그러나, 적어도 상기 SiN 필름이 형성된 이후 일정 포인트에서는 상기 기판이 약 200℃ 와 550℃ 사이로 가열되어 열적으로 제거가능한 그룹을 분해하도록 한다(430). 기판이 바람직한 탄소 양을 제거하기위해 충분한 시간 가열된 후에 상기 처리 흐름이 종료된다.
한 실시 예에서, 기판은 반도체 웨이퍼이다. 한 실시 예에서, 상기 방법은 (b) 내지 (e) 단계를 반복하여 반도체 기판 상에 약 1nm 내지 100nm 두께의 컨포말 층을 형성하도록 한다. 한 실시 예에서, (f)는 (b) 내지 (e ) 단계를 통해 수행된다. 상기 규소 및 질소 포함 반응물에는 본원 명세서에서 설명된 바와 같이 적어도 규소 하나가 제공되며, 질소 포함 반응물은 적어도 열적으로 제거가능한 그룹을 포함한다.
열적으로 제거가능한 그룹은 약 200℃ 내지 550℃ 사이 휘발성 성분으로 분해되는 그룹이다. 예를들면, 2차 및 특히 3차 탄소 그룹이 이 같은 온도 범위에서 제거 반응을 받을 수 있다. 특별한 예에서, t-부틸 그룹이 분해되어(break down) 이같은 온도 범위에서 이소부틸렌을 형성한다. 가령, t-부틸아민은 가열되는 때, 제어 반응을 받게되어 방법 4에서 도시된 바와같이 이소부틸렌 및 암모니아를 형성한다. 또 다른 예로서, t-부톡시카르보닐(butoxycarbonyl) 그룹(t-BOC) 역시 150℃ 에서 열적으로 분해되어 이소부티렌, 이산화탄소 그리고 t-BOC 그룹이 결합되는 라디칼을 형성한다.
Figure pct00005
방법 4
예를들면, 방법 5에서 도시된 바와 같이, t-부틸카르바민산염(butylcarbamate)은 열적으로 분해되어 이소부틸렌 암모니아 그리고 이산화탄소를 만들도록 한다. 열적으로 제거가능한 그룹이 질소 포함 반응물 측에 있어야 할 필요는 없다. 가령 t-부틸실란은 가열되는 때, 제거반응을 받게되어 이소부틸렌 그리고 실란을 형성하도록 한다.
Figure pct00006
방법 5
또 다른 실시 예에서, 3차-부틸 실릴카르바민산염(silylcarbamate)은 열적으로 분해되어(decomposes) 방법 6에서 도시된 바와 같이 이소부틸렌, 실란아민, 그리고 이산화탄소를 형성한다.
Figure pct00007
방법 6
따라서 하나 또는 둘 이상의 열적으로 제거가능한 그룹이 규소 포함 반응물, 질소 포함 반응물 또는 모두에서 사용될 수 있다. 따라서 반도체 웨이퍼가 약 200℃와 550℃ 사이로 가열되어, 그와 같은 그룹이 분해되어 탄소 함량을 릴리이스하고 따라서 SiN 필름의 탄소 함량을 줄이도록 한다. 이 같은 반응물은 기판으로 흡착되며, 플라즈마가 사용되어 상기 반응물을 SiN 재료로 변환하도록 한다. 남아있는 탄소 그룹은 기판을 가열하여 제거된다. 이 같은 가열은 전체 증착동안 또는 주기적으로 열적으로 제거가능한 그룹를 분해하도록 수행될 수 있다.
한 실시 예에서, 상기 기판은 약 200℃ 와 550℃ 사이로 가열되고, 다른 실시 예에서 약 350℃ 와 550℃ 사이로 가열되며, 다른 실시 예에서 약 450℃ 와 550℃ 사이로 가열되고, 또 다른 실시 예에서 약 450℃ 와 500℃ 사이로 가열된다. 한 실시 예에서, 가령 TBA가 사용되는 때, SiN 필름이 약 450℃ 와 500℃ 사이로 가열되며, 약 1초 내지 30초 동안 가열되고, 또는 약 1초 내지 20초 동안 가열되며, 또는 약 1초 내지 10초 동안 가열된다. 비록 특정한 열적으로 제거가능한 그룹이 일정한 온도 임계값에서 분해되지만, 더욱 높은 온도가 사용되어 분해 및/또는 어닐링 속도를 증가시키고 SiN 필름의 특성을 개선하도록 한다.
상기 설명된 바와 같이, 상기 열적으로 제거가능한 그룹이 2차 또는 3차 단소 기능을 포함할 수 있으며, 규소 포함 반응물 그리고 질소 포함 반응물 어느 하나 또는 모두가 동일하거나 상이한 열적으로 제거가능한 그룹 가운데 하나 또는 둘 이상을 포함할 수 있다. 한 실시 예에서, 상기 열적으로 제거가능한 그룹은 화학식 II에 따른다.
Figure pct00008
여기서 R1, R2 및 R3 각각은 서로 독립적이며, H 또는 C1 -3 알킬이고; 또는 R1, R2 및 R3 가운데 두개는 이들이 결합되는 탄소원자와 함께, C3 -7 시클로알킬을 형성하며; R1, R2 및 R3 가운데 다른 하나는 H 또는 C1 -3 알킬이고; 그리고 상기 열적으로 제거가능한 그룹 각각이, 질소 포함 반응물의 일부인때, 질소 또는 질소 포함 반응물의 산소와 결합되고, 그리고 규소 포함 반응물의 일부인 때, 규소 또는 규소 포함 반응물의 산소와 결합된다. 한 실시예에서 R1, R2 및 R3 각각은 서로 독립적이며, C1 -3 alkyl 알킬이다. 한 실시 예에서, 상기 열적으로 제거가능한 그룹은 t-부틸 그룹이다.
한 실시 예는 상기 설명된 방법에 의해 생산된 SiN 필름이다.
장치
본 발명의 또 다른 특징은 본원 명세서에서 설명된 방법을 달성하도록 구성된 장치이다. 한 적절한 장치는 상기 처리 동작을 달성하기 위한 하드웨어 그리고 본 발명에 따라 처리 동작을 제어하기 위한 지시를 갖는 시스템 제어기를 포함한다.
적절한 처리 스테이션이 상기 설명된 실시 예 하나 또는 둘 이상에서 사용될 수 있음을 이해할 것이다. 가령, 도 5는 개략적으로 CFD 처리 스테이션(1300)을 개략적으로 도시한다. 설명의 간결을 위해, CFD 처리 스테이션(1300)은 저압환경을 유지하기 위한 처리 챔버 바디(1302)를 갖는 독립형 처리 스테이션으로 도시되었다. 그러나, 다수의 CFD 처리 스테이션(1300)이 공통된 저압 처리 툴 환경에 포함될 수 있음을 이해할 것이다.
도 5에서 도시된 실시예가 하나의 처리 스테이션을 도시하지만, 일정 실시 예에서, 다수의 처리 스테이션이 하나의 처리 툴에 포함될 수 있기도 하다. 가령, 도 6은 멀티-스테이션 처리 툴(2400) 실시 예를 도시한다. 또한 일정 실시 예에서, 하기에서 상세히 설명되는 것들을 포함하여, CFD 처리 스테이션(1300)의 하나 또는 둘 이상의 하드웨어 파라미터가 하나 또는 둘 이상의 컴퓨터 제어기들에 의해 프로그램에 따라 조정될 수 있다.
CFD 처리 스테이션(1300)은 분산 샤워헤드(1306)로 처리 가스를 전달하기 위해 반응물 전달 시스템(1301)과 유체가 통하도록 된다. 반응물 전달 시스템(1301)은 샤워헤드(1306)로 전달하기 위해 처리 가스를 섞고 컨디션닝하기 위한 혼합 용기(1304)를 포함한다. 하나 또는 둘 이상의 혼합 용기 유입구 밸브(1320)는 처리 가스를 혼합 용기(1304)로 삽입하는 것을 제어할 수 있다.
BTBAS와 같은 일정 반응물들은 처리 스테이션에서 증발하기 전에 그리고 처리 스테이션으로 뒤이어 전달하기 전에 액체로 저장될 수 있다. 가령, 도 5의 장치는 혼합 용기(1304)로 공급될 액체 반응물을 증발시키기 위한 증발 포인트(1303)를 포함한다. 일정 실시 예에서, 증발 포인트(1303)은 가열된 증발기일 수 있다. 상기 증발기로부터 발생된 포화 반응물 증기는 하류 전달 파이핑에서 응축된다. 응축된 반응물과 양립할 수 없는 가스를 노출시킴으로써, 작은 입자들을 발생시킬 수 있다. 이들 작은 입자들은 파이핑을 막게하고, 밸브 동작을 방해하며, 기판을 오염시킨다.
이들 문제들을 조정하기 위한 몇가지 접근방법은 잔류 반응물을 제거하기 위해 전달 파이핑을 정화하거나 비우는 것을 포함한다. 그러나, 전달 파이핑을 정화하는 것은 처리 시스템 사이클 시간을 증가시킬 수 있으며, 처리 스테이션 처리량을 떨어뜨릴 수 있다. 따라서, 일정 실시 예에서, 증발 포인트(1303)에 대한 전달 파이핑 하류가 열 추적된다. 일정 예에서, 혼합 용기(1304) 또한 열 추적된다. 한 비 제한적 예에서, 증발 포인트(1303)의 파이핑 하류는 혼합 용기(1304)에서 약 100℃ 로부터 약 150℃로 증가되는 온도 프로파일을 갖는다.
일정 실시 예에서, 반응물 액체는 액체 인젝터에서 증발된다. 예를들면, 액체 인젝터는 액체 반응물 펄스를 혼합 용기의 캐리어 가스 스트림 상류로 주입시킨다. 한 실시 예에서, 액체 인젝터는 더욱 높은 압력으로부터 낮은 압력으로 액체를 플래싱(flashing)하여 반응물을 증발시킨다. 또 다른 실시 예에서, 액체 인젝터는 액체를 분산된 마이크로드로플릿(microdroplets)으로 미세입자로 만들며, 이들은 뒤에 가열된 전달 파이프에서 증발된다. 더욱 작은 드로플릿가 큰 드로플릿 보다 빨리 증발되며, 액체 주입과 완전한 증발 사이 지연을 줄인다는 것을 이해할 것이다. 더욱 빠른 증발은 증발 포인트(1303)으로부터 파이핑 하류 길이를 줄이도록 한다. 한 실시 예에서, 액체 인젝터가 혼합 용기(1304)에 직접 장착된다. 또 다른 실시 예에서, 액체 인젝터가 샤워헤드(1306)에 직접 장착된다.
일정 실시 예에서, 진공 포인트(1303)의 액체 흐름 제어기가 증발 및 처리 스테이션(1300)으로의 전달을 위해 액체의 매스 흐름(mass flow)를 제공하기 위해 제공된다. 한 실시 예에서, 액체 흐름 제어기(LFC)는 LFC 하류에 위치한 열적 매스 흐름 미터(MIFM)을 포함한다. LFC의 플런저 밸브는 MFM과의 전기 통신에서 미분/적분/비례(PID) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정된다. 그러나, 피드백 제어를 사용하여 액체 흐름을 안정화하기 위해 1초 또는 그 이상이 걸릴 수 있다. 이는 액체 반응물을 도싱하기 위한 시간을 연장시킨다. 따라서, 일정 실시 예에서, 상기 LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위치된다. 일정 실시 예에서, 상기 LFC는 상기 LFC 센스 튜브과 상기 PID 제어기를 불능하게 함으로써 피드백 제어 모드로부터 직접 제어 모드로 동적으로 스위치된다.
샤워헤드(1306)는 기판(1312)을 향해 처리 가스들을 분산시킨다. 도 13에서 도시된 실시 예에서, 기판(1312)는 샤워헤드(1306)아래에 위치하며, 받침대(1308)상에 휴지하는 것으로 도시된다. 샤워헤드(1306)는 적절한 형태를 가질 수 있으며, 기판(1312)으로 처리 가스를 분산시키기 위해 적절한 수 및 배열을 가질 수 있다.
일정 실시 예에서, 마이크로볼륨(1307)은 샤워헤드(1306)아래에 위치한다. 처리 스테이션 전체 볼륨에서가 아니라 마이크로볼륨에서 CFD 처리를 수행하는 것은 반응물의 노출 및 정화 시간을 줄일 수 있으며, CFD 처리 컨디션들(가령, 압력, 온도 등)을 변경하기 위한 시간을 줄이고, 처리 가스들에 대한 처리 스테이션 로보트 기기 노출을 제한 할 수 있다. 실시 예 마이크로볼륨 크기는 비제한적으로 0.1 리터와 0.2 리터 사이 볼륨을 포함할 수 있다.
일정 실시 예에서, 받침대(1308)는 기판(1312)을 마이크로볼륨(1307)에 노출시키거나 마이크로볼륨(1307)의 볼륨을 변경하도록 들어올려지거나 내려질 수 있다. 가령, 기판 전달 단계에서, 받침대(1308)는 내려져서 기판(1312)이 받침대(1308)로 적재될 수 있도록 한다. CFD 처리 단계동안, 받침대(1308)는 들어올려져서 마이크로볼륨(1307)내에 기판(1312)을 위치시키도록 한다. 일정 실시 예에서, 마이크로볼륨(1307)은 기판(1312)과 받침대(1308) 일부를 완전히 포위하여 CFD 처리동안 높은 흐름 임피던스 영역을 발생시키도록 한다.
선택적으로, 받침대(1308)는 마이크로볼륨(1307) 내 처리 압력, 반응물 농도 등을 변경시키기 위해 상기 CFD 처리 동안 내려지거나 들어 올려질 수 있다. 처리 챔버 바디(1302)가 상기 CFD 처리동안 기저 압력으로 유지되는 한 실시 예에서, 받침대(1308)를 내리는 것은 마이크로볼륨(1307)이 비워지도록 한다. 마이크로볼륨과 처리 챔버 볼륨 실시 예 비는 비제한적으로 1:500 내지 1:10 의 볼륨 비를 갖는다. 일정 실시 예에서, 받침대 높이는 적절한 컴퓨터 제어기에 의해 프로그램에 따라 조정될 수 있다.
또 다른 실시 예에서, 받침대(1308)의 높이를 조정하는 것은 상기 CFD 처리에 포함된 플라즈마 활성화 및/또는 처리 사이클 동안 플라즈마 밀도가 변경될 수 있도록 한다. 상기 CFD 처리 단계 종료시에, 받침대(1308)은 다른 기판 전달 단계 동안 내려져서 받침대(1308)로부터 기판(1312)을 제거할 수 있도록 한다.
본원 명세서에서 설명된 실시 예 마이크로볼륨 변동들은 높이 조정가능한 받침대와 관련이 있지만, 일정 실시 예에서는, 샤워헤드(1306)의 위치가 받침대(1308)과 관련하여 조정되어서 마이크로볼륨(1307)의 볼륨을 변경하도록 한다. 또한, 받침대(1308)의 수직 위치 그리고 샤워헤드(1306) 수직위치가 적절한 메커니즘에 의해 변경될 수 있다. 본 발명 기술 분야에서 통상의 지식을 가진자라면, 그와 같은 메커니즘이 가령 가령 수압, 공압, 스프링 메커니즘, 솔레노이드등을 포함할 수 있음을 이해할 것이다. 일정 실시 예에서, 받침대(1308)는 기판(1321) 방위를 회전시키기 위해, 가령 기판의 표면에 대하여 수직인 축을 따라 회전하는 메커니즘을 포함할 수 있다. 일정 실시 예에서, 하나 또는 둘 이상의 이들 실시 예 조정이 하나 또는 둘 이상의 적절한 컴퓨터 제어기에 의해 프로그램에 따라 수행될 수 있음을 이해할 것이다.
도 5에서 도시된 실시예로 돌아가서, 샤워헤드(1306) 및 받침대(1308)는 플라즈마에 파워를 제공하기 위해 RF 전원(1314) 및 정합 네트워크(1316)과 전기적으로 연결된다. 일정 실시 예에서, 플라즈마 에너지가 처리 스테이션 압력, 가스 농도, RF 전원, RF 소스 주파수, 그리고 플라즈마 파워 펄스 타이밍가운데 하나 또는 둘 이상을 제어하여 조정될 수 있다. 예를들면, RF 전원(1314) 그리고 정합 네트워크(1316)는 라디칼 화학종의 바람직한 조성을 갖는 플라즈마를 형성하기 위해 적절한 파워로 동작될 수 있다. 적절한 파워의 예로서, 비제한적으로, 100W 와5000 W 사이를 들수 있다.
마찬가지로, RF 전원(1314)은 적절한 주파수의 RF 파워를 제공할 수 있다. 일정 실시 예에서, RF 전원(1314)은 서로 독립적으로 동작하는 고/저 주파수 RF 전원을 제어하도록 구성될 수 있다. 한 실시 예의 저주파수 RF 주파수는, 비제한적으로, 50 kHz 와500 kHz 사이 주파수를 포함한다. 한 실시 예의 고주파수 RF 주파수는, 비제한적으로, 1.8 MHz 와2.45 GHz 사이 주파수를 포함한다. 적절한 파라미터가 이산적으로 혹은 연속적으로 변환되어 표면 반응을 위해 플라즈마 에너지를 제공할수 있음을 이해할 것이다. 한 비제한 실시 예에서, 상기 플라즈마 파워는 간헐적으로 펄스되어 계속해서 전원이 공급되는 플라즈마와 비교하여 기판 표면 이온 충격을 줄이도록 한다.
일정 실시 예에서, 상기 플라즈마는 하나 또는 둘 이상의 모니터에 의해 제자리에서 모니터된다. 한 실시 예에서, 플라즈마 파워가 하나 또는 둘 이상의 전압, 전류 센서(가령, VI 프로브)에 의해 모니터된다. 또 다른 실시 예에서, 플라즈마 밀도 및/또는 처리 가스 농도가 하나 또는 둘 이상의 광방출 분광학 센서(OES)에 의해 측정된다. 일정 실시 예에서, 하나 또는 둘 이상의 플라즈마 파라미터가 그와 같은 제위치 플라즈마 모니터로부터의 측정에 기초하여 프로그램에 따라 조정된다. 예를들면, OES 센서는 플라즈마 파워의 프로그램에 따른 제어를 제공하기 위해 피이드백 루프에서 사용될 수 있다. 일정 실시 예에서, 다른 모니터가 사용되어 상기 플라즈마와 다른 처리 특성들을 모니터할 수 있다. 그와 같은 모니터들은, 비제한적으로, 적외선 모니터(IR), 음향 모니터, 그리고 압력 트랜스듀서를 포함한다.
일정 실시 예에서, 상기 플라즈마는 입력/출력 제어(IOC) 시퀀싱 지시를 통해 제어된다. 가령, 플라즈마 처리 단계에 대한 플라즈마 조건들을 정하기 위한 지시들이 CFD 처리 레시피의 상응하는 플라즈마 활성화 레시피 단계에 포함될 수 있다. 일정 실시 예에서, 처리 레시피 단계들이 순서적으로 배열되어, CFD 처리 단계에 대한 모든 지시들이 그와 같은 처리 단계와 동시에 실행될 수 있도록 한다. 플라즈마 발생의 일정 특징은 플라즈마 처리 단계를 연장하는 일시적 및/또는 안정적 타임을 적절히 특징으로 하여왔음을 알수 있다. 다시 말해서, 그와 같은 시간 지연은 예측할 수 있다는 것이다. 그와 같은 시간 지연은 플라즈마를 스트라이킹하는 시간 그리고 지시된 파워 세팅에서 플라즈마를 안정화하는 시간을 포함한다.
일정 실시 예에서, 받침대(1308)는 가열기(1310)를 통해서 온도가 제어된다. 또한, 일정 실시 예에서, CFD 처리 스테이션(1300)에 대한 압력 제어가 버터플라이 밸브(1318)에 의해 제공된다. 도 5에서 도시된 바와같이, 버터플라이 밸브(1318)는 하류의 진공 펌프(도시되지 않음)에 의해 제공된 진공을 조정하도록 한다. 그러나, 일정 실시 예에서, 처리 스테이션(1300)의 압력 제어는 CFD 처리 스테이션(1300)으로 안내된 하나 또는 둘 이상의 가스들의 흐름 속도를 변경시킴에 의해 조정될 수 있기도 하다.
상기 설명된 바와 같이, 하나 또는 둘 이상의 처리 스테이션이 멀티스테이션 처리 툴내에 포함될 수 있다. 도 6은 인바운드 로드 록(load lock)(2402) 및 아웃바운드 로드 록(2404)을 갖는 멀티스테이션 처리 툴(2400)에 대한 개략적인 도면이다. 상기 록 중 어느 하나 또는 모두는 원격 플라즈마 소스를 포함한다. 대기압에서 로보트(2406)가 대기 포트(2410)를 통해 인바운드 로드 록(2402)내로 포드(pod)(2408)를 통해 적재된 카세트로 웨이퍼를 이동하도록 구성된다. 웨이퍼가 상기 로보트(2406)에 의해 인바운드 로드 록(2402) 내 받침대(2412) 상에 올려 놓인다. 상기 대기 포트(2410)가 닫혀지고 그리고 상기 로드 록이 끌어 내려진다(pumped down).
상기 인바운드 로드 록(2402)은 원격 플라즈마 소스를 포함하며, 웨이퍼가 처리 챔버(2414) 내로 안내되기 전에 상기 로드 록 내 원격 플라즈마 처리에 노출된다. 또한, 상기 웨이퍼는 상기 인바운드 로드 록(2402)내에서 가열되어서, 가령 수분과 흡착 가스를 제거하도록 할 수 있다. 다음, 처리 챔버(2414)로의 챔버 운반 포트(2416)가 오픈되고, 또 다른 로보트(도시되지 않음)가 처리를 위한 반응기 내에 도시된 제 1 스테이션의 받침대 상으로 상기 반응기 내로 웨이퍼를 위치시킨다. 도 6에 도시된 실시 예는 로드 록을 포함하며, 일정 실시 예에서, 처리 스테이션 내로의 웨이퍼 엔트리가 제공될 수 있음을 알 수 있다.
상기 도시된 처리 챔버(2414)는 도 6에서 도시된 실시 예에서 1 내지 4로 번호가 표시된 네개의 처리 스테이션을 포함한다. 스테이션 각각은 가열된 받침대(스테이션 1은 2418로 표시) 그리고 가스 라인 유입구를 갖는다. 일정 실시 예에서, 처리 스테이션 각각은 각기 다른 또는 다중의 목적을 갖는다. 가령, 일정 실시 예에서, 처리 스테이션은 CFD 와 PECVD 처리 모드 사이에서 스위치 가능하다. 추가로 또는 선택적으로, 일정 실시 예에서, 처리 챔버(2414)는 CFD 및 PECVD 처리 스테이션가운데 하나 또는 두 개의 정합 쌍을 포함할 수 있다. 상기 도시된 처리 챔버(2414)는 네개의 스테이션을 포함하지만, 본 발명에 따른 처리 챔버는 적절한 수의 스테이션을 가질 수 있음을 이해할 것이다. 가령, 일정 실시 예에서, 처리 챔버는 5개 또는 그 이상의 스테이션을 가질 수 있으며, 다른 실시 예에서는 하나의 처리 챔버가 세개 또는 그 이하 스테이션을 가질 수 있기도 하다.
도 6은 처리 챔버(2414)내 웨이퍼를 전달하기 위한 웨이퍼 핸들링 시스템(2490)을 도시한다. 일정 실시 예에서, 웨이퍼 핸들링 시스템(2490)은 다양한 처리 스테이션들 사이 및/또는 한 처리 스테이션과 로드 록 사이에서 웨이퍼를 전달할 수 있다. 어떤것이든 적절한 웨이퍼 핸들링 시스템이 사용될 수 있음을 이해 할 것이다. 비제한적 예로서 웨이퍼 회전 컨베이어 그리고 웨이퍼 핸들링 로보트를 포함한다. 도 6은 또한 처리 조건들 그리고 처리 툴(2400)의 하드웨어 상태를 제어하기위해 사용된 시스템 제어기(2450)를 도시한다. 시스템 제어기(2450)는 하나 또는 둘 이상의 메모리 장치(2456), 하나 또는 둘 이상의 대용량 저장 장치(2454), 그리고 하나 또는 둘 이상의 처리기(2452)를 포함한다. 처리기(2452)는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 연결장치, 스테퍼 모터 제어기 보드 등을 포함한다.
일정 실시 예에서, 시스템 제어기(2450)는 제어 툴(2400)의 모든 활동을 제어한다. 시스템 제어기(2450)는 대용량 저장 장치(2454)에 저장되고, 메모리 장치(2456)에 적재되며, 그리고 처리기(2452)에서 실행된 시스템 제어 소프트웨어(2458)를 실행한다. 시스템 제어 소프트웨어(2458)는 타이밍, 가스의 혼합, 챔버, 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 표적 파워 레벨, RF 파워 레벨, 기판 받침대, 척 및/또는 서셉터 위치, 그리고 처리 퉁(2400)에 의해 수행된 특정 처리의 다른 파라미터를 제어하기 위한 지시를 포함한다. 시스템 제어 소프트웨어(2458)는 적절한 방법으로 구성될 수 있다. 가령, 다양한 처리 툴 컴포넌트 서브루틴 또는 제어 오브젝트가 다양한 처리 툴 공정을 수행하기 위해 필요한 처리 툴 컴포넌트 동작을 제어하기 위해 기록된다. 시스템 제어 소프트웨어(2458)는 적절한 컴퓨터 판독가능 프로그래밍 언어를 사용하여 암호화된다.
일정 실시 예에서, 시스템 제어 소프트웨어(2458)는 상기 설명된 다양한 파라미터를 제어하기 위해 입력/출력 제어(IOC) 시퀀싱 지시를 포함한다. 가령, CFD 처리 단계 각각은 시스템 제어기(2450)에 의해 실행하기 위해 하나 또는 둘 이상의 지시를 포함한다. CFD 처리 단계에 대한 처리 조건을 정하기 위한 이 같은 지시는 상응하는 CFD 래시피 단계에서 포함될 수 있다. 일정 실시 예에서, 상기 CFD 래시피 단계는 순서적으로 배열되어, CFD 처리 단계에 대한 모든 지시들이 그 같은 처리 단계와 동시에 실행된다.
시스템 제어기(2450)와 관련된 매스 저장 장치(2454) 및/또는 메모리 장치(2456)에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램이 일정 실시 예에서 사용된다. 이 같은 목저을 위해 프로그램의 섹션 또는 프로그램의 예들로서, 기판 위치정함 프로그램, 처리 가스 제어 프로그램, 압력 제어 프로그램, 가열기 제어 프로그램, 그리고 플라즈마 제어 프로그램을 포함한다.
기판 위치정함 프로그램은 받침대(2418)로 기판을 적재하기 위해 그리고 기판과 처리 툴(2400)의 다른 부분들 사이 간격을 제어하기 위해 사용된 처리 툴 컴포넌트를 위한 프로그램을 포함한다.
처리 가스 제어 프로그램은 가스 조성 및 흐름 속도를 제어하고, 그리고 선택적으로 처리 스테이션 내 압력를 안정화하기 위해 증착이전에 하나 또는 둘 이상의 처리 스테이션으로 가스를 유입시키기 위한 코드를 포함할 수 있다.
가열기 제어 프로그램은 기판을 가열하기 위해 사용된 가열 유닛으로 전류를 보내기 위한 코드를 포함한다. 선택적으로, 이 같은 가열기 제어 프로그램은 기판으로의 열 전달 가스(헬륨과 같은) 운반을 제어한다.
플라즈마 제어 프로그램은 하나 또는 둘 이상의 처리 스테이션 내 처리 전극으로 가해지는 RF 파워 레벨을 정하기 위한 코드를 포함한다.
일정 실시 예에서, 시스템 제어기(2450)와 관련된 사용자 인터페이스가 있을 수 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 처리 컨디션에 대한 그래픽 소프트웨어 디스플레이, 그리고 포인팅 장치, 키보드, 터치 스크린, 마이크로폰, 등과 같은 사용자 입력 장치를 포함할 수 있다.
일정 실시 예에서, 시스템 제어기(2450)에 의해 조정된 파라미터는 처리 조건과 관련이 있다. 비제한 예로서 처리 가스 조성 그리고 흐름 속도, 온도, 압력, 플라즈마 조건(RF 바이어스 파워 레벨), 압력, 온도 등을 포함한다. 이들 파라민터들은 사용자 인터페이스를 사용하여 입력될 수 있는 래시피 형태로 사용자에게 제공된다.
처리를 모니터하기 위한 신호들이 다양한 처리 툴 센서들로부터 시스템 제어기(2450)의 아날로그 및/또는 디지털 입력 연결에 의해 제공된다. 상기 처리를 제어하기 위한 신호들이 처리 툴(2400)의 아날로그 및 디지털 출력 연결을 통해 출력된다. 모니터 될 수 있는 처리 툴 센서들에 대한 비제한 예들로, 매스 흐름 제어기, 압력 센서들(마노미터와 같은), 열전쌍 등을 포함한다. 적절히 프로그램된 피드백 및 제어 알고리즘이 처리 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수 있다.
시스템 제어기(2450)가 상기 설명된 증착 처리를 실시 하기 위해 프로그램 지시를 제어할 수 있다. 상기 프로그램 지시는 DC 파워 레벨, RF 바이어스 파워 레벨, 압력, 온도 등 과 같은 다양한 처리 파라미터를 제어할 수 있다. 이 같은 지시는 파라미터들을 제어하여 본원 명세서에서 설명된 다양한 실시 예에 따라 필름 스택의 제위치 증착을 할수 있도록 한다.
상기 시스템 제어기는 대표적으로 지시를 수행하기 위해 구성된 하나 또는 둘 이상의 메모리 장치 그리고 하나 또는 둘 이상의 처리기를 포함하며, 상기 장치가 본 발명에 따른 방법을 수행할 수 있도록 한다. 본 발명에 따라 처리 동작을 제어하기위한 머신-판독가능 미디어 포함 지시는 시스템 제어기에 연결될 수 있다.
패턴닝 방법/장치:
본 발명 명세서에서 설명된 장치/처리는 가령 반도체 장치, 디스플레이, LED, 광전지 패널 등의 생산 또는 제조를 위해 리소그래피 패터닝 툴 또는 처리와 함께 사용된다.
필름의 리소그래피 패터닝은 다음 단계 일부 또는 모두를 포함하며, 각 단계는 다수의 가능한 퉁로 가능하게 된다: (1) 스핀-온 또는 스프레이-온 툴을 사용하여, 작업편, 즉 기판에 광경화성 수지를 적용; (2) 핫 플레이트 또는 노(furnace), 또는 UV 큐어링 툴(curing tool)를 사용하여 광경화성 수지를 큐어링; (3) 웨이퍼 스테퍼와 같은 툴로 광경화성 수지를 가시광선, UV, x-ray 광선에 노출시킴; (4) 레지스트 (regist)를 디벨로프하여 선택적으로 레지스트를 제거하고 그에의해 웨트 벤치와 같은 툴을 사용하여 패터닝하도록 함; (5) 드라이 또는 플라즈마-어시스트 에칭을 사용하여 상기 레지스트 패턴을 아래에 있는 필름 또는 작업편으로 전달; 그리고 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 스트리퍼와 같은 툴을 사용하여 상기 레지스트를 제거. 한 실시 예에서, SiN 필름이 본원 명세서에서 설명된 방법을 사용하여 형성된다. 상기 SiN 필름이 가령, 본원 명세서에서 설명된 목적 가운데 한 목적으로 사용된다. 또한, 이 같은 방법은 상기 설명된 하나 또는 둘 이상의 단계(1) - (6)를 포함한다.
실시 예
본 발명 다음 설명 목적의 실시 예를 참고로 하여 더욱 이해될 것이다. 본 발명은 본발명에 대한 특징 설명을 목적으로 한 실시 예에 의해 그 보호 범위가 제한되지 않는다. 본발명과 기능적으로 동등한 발명은 본 발명의 범위에 속하는 것이다. 본발명 기술분야에 통상의 지식을 가진자라면 이상의 설명 그리고 도면을 참고로 한다면 본 발명에 대한 다양한 변경이 가능함을 이해할 것이다. 그와 같은 변경은 본 발명의 범위에 속하는 것이다.
실시 예 1
테이블 1은 다수의 반응 파트너를 포함하며, 본원 명세서에서 설명된 실시 예에 따라, SiN 필름을 만들도록 사용될 수 있는 온도 및 압력 파라미터를 포함한다.
Figure pct00009

실시 예2
300mm 웨이퍼가 진공 챔버내에 놓이며 이때 챔버는 0.5 torr으로 비워진다(압력을 떨어뜨린다). 상기 웨이퍼는 처리 전과정에서 가열되는 알루미늄 받침대 상에서 챔버내에서 지지된다. 가령, 이 같은 받침대는 약 50℃ 와 550℃ 사이 일정한 온도로 가열된다. 상기 챔버 내 압력은 아르곤 또는 질소와 같은 불활성 기체를 사용하여 2 torr 로 증가된다. 디클로로실란(DCS)은 웨이퍼 표면으로 DCS를 흡착시키기 위해 1초 내지 30초동안 약 1slm 내지 5slm 의 기체상 흐름으로 반응기내로 안내된다.
상기 DCS 흐름이 중단된 뒤에, 반응기 내 불활성 기체 흐름으로인해 남아 있는 기체 DCS와 어떠한 부산물도 정화한다. 다음에, t-부틸아민(TBA) 기체 상 흐름이 약 1 초 내지 30 초동안 약 1slm 내지 5slm 의 기체상 흐름으로 반응기에서 만들어진다. 가령 2.5kW 전력이며 13.56 MHz 의 플라즈마가 약 1초 내지 15초 동안 웨이퍼 위에서 점화된다. 반응기 내 상기 불활성 가스의 흐름이 남아 있는 기체 상 TBA 및 어떠한 부산물도 정화한다. 상기 DCS 흐름, 불활성 가스 정화, TBA 흐름, 플라즈마 및 불활성 가스 정화가 반복되어 바람직한 두께의 SiN 필름을 증착하도록 한다. 상기 설명된 바의 사이클 각각은 SiN 필름 약 0.5Å 내지 1.5Å으로 증착된다.
선택적으로, CFD 실행시, 상기 TBA 흐름은 연속적으로 실행된다. 이들 실행에서, 상기 설명된 동일한 조건이 사용되며, 다만 TBA흐름이 먼저 만들어지고 유지된다. 상기 DCS 흐름은 상기 설명된 것과 동일한 레이트(rate) 및 시간으로 반응기 내로 삽입되며, 상기 설명된 불활성 기체 정화가 뒤이어진다. 플라즈마는 상기 설명된 바와 같이 점화되며, 상기 설명된 바와 같이 불활성 기체 정화에 의해 뒤이어진다. 상기 DCS 흐름, 불활성 가스 정화, 플라즈마 점화 그리고 불활성 가스 정화가 반복되어 바람직한 두께의 SiN 필름을 증착하도록 한다. 상기 설명된 바왁 같은 각각 사이클이 SiN 필름 약 0.5Å 내지 1.5Å을 증착한다.
만들어진 SiN 필름이 다음의 특성을 갖는다.
·비-균일성 3% - 5% (최대-최소/평균)
·비-균일성 <1% (1s)
·회절 인덱스 1.8-1.9
·필름 스트레스: ±20MPa to -180 MPa
·유전체 상수: 5.5-6.5
·웨트 에칭 비 0.1-1.0 (열 산화물에 대한)

Claims (42)

  1. (a) 반응 챔버 내에 기판을 제공하고;
    (b) 상기 기판을 질소 포함 반응물 기체 상 흐름에 기판을 계속적으로 노출하며, 이때 상기 질소 포함 반응물이 상기 기판의 표면으로 흡착되고;
    (c) 상기 기판을 규소 포함 반응물의 기체 상 흐름으로 주기적으로 노출하고, 이때 상기 규소 포함 반응물이 상기 기판의 표면으로 흡착되며; 그리고
    (d) 상기 규소 포함 반응물의 기체 상 흐름이 중단된 때 상기 반응 챔버 내 플라즈마를 주기적으로 점화시킴을 포함하는, 기판에 질화 규소 재료를 형성시키는 방법.
  2. 제1항에 있어서, 상기 반응 챔버를 통해 캐리어 가스를 계속 흐르도록 함을 더욱 포함함을 특징으로 하는 방법.
  3. 제1항 또는 2항에 있어서, (c) 단계 이후 그러나 (d) 단계 이전에 흡착되지 않은 규소 포함 반응물을 제거하기 위해 퍼징(purging)을 더욱 포함함을 특징으로 하는 방법.
  4. 제1항에 있어서, 상기 기판이 반도체 웨이퍼임을 특징으로 하는 방법.
  5. 제4항에 있어서, 약 1mm 와 100mm 두께 사이 반도체 웨이퍼 상에서 컨포멀 코팅 층(conformal layer)을 형성하기 위해 (b) 단계 내지 (d) 단계를 더욱 반복함을 특징으로 하는 방법.
  6. 제1항에 있어서, 상기 규소 포함 반응물이 실란, 할로실란, 그리고 아미노실란, 그리고 이들의 혼합물 그룹에서 선택됨을 특징으로 하는 방법.
  7. 제1항에 있어서, 상기 질소 포함 반응물이 암모니아, 히드라진, 아민 그리고 이들의 혼합으로 구성된 그룹으로부터 선택됨을 특징으로 하는 방법.
  8. 제7항에 있어서, 질소 포함 반응물이 C1 -10 알킬 아민을 포함함을 특징으로 하는 방법.
  9. 제8항에 있어서, C1 -10 알킬 아민이 3차-부틸 아민임을 특징으로 하는 방법.
  10. 제8항에 있어서, 상기 규소 포함 반응물이 모노클로로실란 또는 디클로로실란임을 특징으로 하는 방법.
  11. 제4항에 있어서, 반-도체 웨이퍼가 약 50℃ 와 550℃ 사이로 가열됨을 특징으로 하는 방법.
  12. (a) 기판에 질화 규소필름을 형성시키고: 상기 형성이
    (i) 반응 챔버 내에 기판을 제공하고;
    (ii) 상기 기판을 기체 상(vapor phase) 규소 포함 반응물에 노출하여, 상기 규소 포함 반응물이 상기 기판의 표면으로 흡착되도록 하고;
    (iii) 상기 기판을 기체 상 질소 포함 반응물에 노출하여, 질소 포함 반응물이 상기 기판의 표면으로 흡착되도록 하며;
    (iv) 상기 질소 포함 반응물이 기체 상 내에 존재하는 동안 플라즈마를 점화시킴을 포함하고; 그리고 다음으로
    (b) 상기 질화 규소 필름을 플라즈마 포함 수소에 노출시키어, 질화 규소 필름의 적어도 일부 탄소 함량을 제거하도록 함을 포함하는 기판에 질화 규소 재료를 형성하는 방법.
  13. 제12항에 있어서, 상기 기판이 반도체 웨이퍼임을 특징으로 하는 방법.
  14. 제12항에 있어서, (ii) 단계가 (iii) 단계 이전에 수행됨을 특징으로 하는 방법.
  15. 제12항에 있어서, (iii) 단계가 (ii) 단계 이전에 수행됨을 특징으로 하는 방법.
  16. 제13항에 있어서, (a)는 (b) 이전에 두번 또는 그 이상의 반복됨을 특징으로 하는 방법.
  17. 제13항에 있어서, 약 1 nm 내지 100 nm 두께 사이 반도체 웨이퍼상에
    포말 층을 형성하도록 (a) 단계와 (b) 단계를 반복함을 더욱 포함함을 특징으로 하는 방법.
  18. 제12항에 있어서, 상기 규소 포함 반응물이 실란, 할로실란 그리고 아미노실란, 그리고 이들의 혼합으로 구성된 그룹으로부터 선택됨을 특징으로 하는 방법.
  19. 제12항에 있어서, 상기 질소 포함 반응물이 C1 -10 알킬 아민을 포함함을 특징으로 하는 방법.
  20. 제18항에 있어서, 상기 규소 포함 반응물이 클로로실란임을 특징으로 하는 방법.
  21. 제12항에 있어서, (i) 단계 이후에 반응 챔버를 통해 캐리어 가스를 계속 흐르도록 함을 더욱 포함함을 특징으로 하는 방법.
  22. 제13항에 있어서, 상기 반-도체 웨이퍼가 약 50℃ 내지550℃ 사이 온도로 가열됨을 특징으로 하는 방법.
  23. (a) 반응 챔버 내에 기판을 제공하고;
    (b) 캐리어 가스 흐름을 상기 반응 챔버를 통해 제공하며;
    (c) 상기 기판을 질소 포함 반응물 기체 상 흐름에 기판을 노출하며, 이때 상기 질소 포함 반응물이 상기 기판의 표면으로 흡착되고, 다음에 상기 반응 챔버를 정화하며;
    (d) 상기 기판을 규소 포함 반응물의 기체 상 흐름에 노출하고, 이때 상기 규소 포함 반응물이 상기 기판의 표면으로 흡착되며; 그리고
    (e) 상기 규소 포함 반응물의 기체 상 흐름이 중단된 이후 상기 반응 챔버 내 플라즈마를 점화시키고; 그리고
    (f) 상기 기판을 약 200℃ 내지 550℃ 사이 온도로 가열시킴을 포함하는, 기판에 질화 규소 재료를 형성시키는 방법.
  24. 제23항에 있어서, 열적으로 제거가능한 그룹이 다음 화학식 II 에 따른 것임을 특징으로 하는 방법.
    Figure pct00010

    여기서 R1, R2 및 R3 각각은 서로 독립적이며, H 또는 C1 -3 알킬이고;
    또는 R1, R2 및 R3 가운데 두개는 이들이 결합되는 탄소원자와 함께, C3 -7 시클로알킬을 형성하며; R1, R2 및 R3 가운데 다른 하나는 H 또는 C1 -3 알킬이고; 그리고 상기 열적으로 제거가능한 그룹 각각이, 질소 포함 반응물의 일부인때, 질소 또는 질소 포함 반응물의 산소와 결합되고, 그리고 규소 포함 반응물의 일부인 때, 규소 또는 규소 포함 반응물의 산소와 결합된다.
  25. 제23항에 있어서, 상기 기판이 반도체 웨이퍼임을 특징으로 하는 방법.
  26. 제25항에 있어서, (b) 내지 (e)를 반복함을 더욱 포함하여 반도체 웨이퍼상에서 약 1 nm 와 100 nm 사이 두께 컨포말 층을 형성하도록 함을 특징으로 하는 방법.
  27. 제23항에 있어서, (f) 는 (b) 내지 (e) 단계를 통해 수행됨을 특징으로 하는 방법.
  28. 제23항에 있어서, 상기 규소 포함 반응물이 실란, 할로실란, 그리고 아미노실란 그리고 이들의 혼합으로 구성된 그룹으로부터 선택됨을 특징으로 하는 방법.
  29. 제23항에 있어서, 상기 규소 포함 반응물이 암모니아, 히드라진, 아민 그리고 이들의 혼합으로 구성된 그룹으로부터 선택됨을 특징으로 하는 방법.
  30. 제29항에 있어서, 상기 질소 포함 반응물이 다음 화학식 I 에 따른 C1 -10 알킬 아민인 것임을 특징으로 하는 방법.
    Figure pct00011

    여기서 R1, R2 및 R3 각각은 서로 독립적이며, H 또는 C1 -3 알킬이고;
    또는 R1, R2 및 R3 가운데 두개는 이들이 결합되는 탄소원자와 함께, C3 -7 시클로알킬을 형성하며; R1, R2 및 R3 가운데 다른 하나는 H 또는 C1 -3 알킬이다.
  31. 제30항에 있어서, C1 -10 알킬 아민이 이소프포필아민, 사이클로프로필아민, 2차-부틸아민, 3차-부틸 아민, 사이클로부틸아민, 이소프아밀아민, 2-메틸부탄-2-아민 그리고 텍실아민으로 구성된 그룹으로부터 선택됨을 특징으로 하는 방법.
  32. 제31항에 있어서, C1 -10 알킬 아민이 3차-부틸 아민임을 특징으로 하는 방법.
  33. 제29항에 있어서, 상기 규소 포함 반응물이 클로로실란임을 특징으로 하는 방법.
  34. 제32항에 있어서, 상기 반-도체 웨이퍼가 약 450℃ 내지 500℃ 사이 온도로 가열됨을 특징으로 하는 방법.
  35. (a) 반응 챔버;
    (b) 질화 규소 필름을 형성하기 위한 활성화 에너지 소스;
    (c) 반응물 유입구; 그리고
    (d) 증착 사이클동안 질소 포함 반응물을 반응 챔버내로 계속 흐르도록 하고;
    증착 사이클동안 규소 포함 반응물을 반응 챔버내로 주기적으로 흐르도록 하며;
    규소 포함 반응물 흐름이 중단된 때 반응 챔버 내 플라즈마를 주기적으로 점화하기 위한 지시를 포함하는 제어기를 포함하는, 반도체 웨이퍼 상에서 질화 규소 필름을 증착하기 위한 장치.
  36. 제35항에 있어서, 활성화 에너지 소스가 플라즈마 발생기임을 특징으로 하는 장치.
  37. 제35항에 있어서, 진공 포트를 더욱 포함함을 특징으로 하는 장치.
  38. 제36항에 있어서, 플라즈마 발생기는 유도 코일 및/또는 마이크로 소스를 포함함을 특징으로 하는 장치.
  39. (a) 반응 챔버;
    (b) 질화 규소 필름을 형성하기 위한 활성화 에너지 소스;
    (c) 반응물 유입구; 그리고
    (d) 증착 사이클동안 질소 포함 반응물을 반응 챔버내로 흐르도록 하고;
    증착 사이클동안 규소 포함 반응물을 반응 챔버내로 흐르도록 하며;
    규소 포함 반응물 흐름이 중단된 때 그리고 질소 포함 반응물이 반응 챔버 내 기체 상내에 존재하는 동안 반응 챔버 내 플라즈마를 주기적으로 점화하기 위한 지시를 포함하는 제어기를 포함하는, 반도체 웨이퍼 상에서 질화 규소 필름을 증착하기 위한 장치.
  40. 제39항에 있어서, 활성화 에너지 소스가 플라즈마 발생기임을 특징으로 하는 장치.
  41. 제39항에 있어서, 진공 포트를 더욱 포함함을 특징으로 하는 장치.
  42. 제40항에 있어서, 상기 플라즈마 발생기가 유도 코일 및/또는 마이크로 소스를 포함함을 특징으로 하는 장치.
KR1020127004928A 2010-04-15 2011-04-13 개선된 질화 규소 필름 및 그 개선 방법 KR20130057409A (ko)

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
US32471010P 2010-04-15 2010-04-15
US61/324,710 2010-04-15
US37236710P 2010-08-10 2010-08-10
US61/372,367 2010-08-10
US37908110P 2010-09-01 2010-09-01
US61/379,081 2010-09-01
US41780710P 2010-11-29 2010-11-29
US61/417,807 2010-11-29
US13/084,305 2011-04-11
US13/084,305 US20110256734A1 (en) 2010-04-15 2011-04-11 Silicon nitride films and methods
PCT/US2011/032303 WO2011130397A2 (en) 2010-04-15 2011-04-13 Improved silicon nitride films and methods

Publications (1)

Publication Number Publication Date
KR20130057409A true KR20130057409A (ko) 2013-05-31

Family

ID=44788514

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020127004925A KR101762978B1 (ko) 2010-04-15 2011-04-12 플라즈마-활성화 컨포멀 막 증착
KR1020177020548A KR101826490B1 (ko) 2010-04-15 2011-04-12 플라즈마-활성화 컨포멀 막 증착
KR1020127004928A KR20130057409A (ko) 2010-04-15 2011-04-13 개선된 질화 규소 필름 및 그 개선 방법

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020127004925A KR101762978B1 (ko) 2010-04-15 2011-04-12 플라즈마-활성화 컨포멀 막 증착
KR1020177020548A KR101826490B1 (ko) 2010-04-15 2011-04-12 플라즈마-활성화 컨포멀 막 증착

Country Status (5)

Country Link
US (3) US20110256734A1 (ko)
KR (3) KR101762978B1 (ko)
SG (3) SG10201502936RA (ko)
TW (2) TW201207148A (ko)
WO (2) WO2011130326A2 (ko)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140059751A (ko) * 2012-11-08 2014-05-16 노벨러스 시스템즈, 인코포레이티드 민감성 기판 상에 막을 증착하는 방법
KR20160033057A (ko) * 2014-09-17 2016-03-25 에이에스엠 아이피 홀딩 비.브이. SiN 박막의 형성 방법
KR20170007177A (ko) * 2015-07-09 2017-01-18 램 리써치 코포레이션 막 균일성 및 두께 프로파일 조절을 위한 멀티-사이클 ald 프로세스
WO2017026676A1 (ko) * 2015-08-12 2017-02-16 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
WO2018016871A1 (ko) * 2016-07-22 2018-01-25 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
KR20180106933A (ko) * 2017-03-17 2018-10-01 램 리써치 코포레이션 실리콘 나이트라이드의 선택적 성장
WO2020236303A1 (en) * 2019-05-23 2020-11-26 Applied Materials, Inc. In-situ atomic layer deposition process
US11133180B2 (en) 2010-04-15 2021-09-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method

Families Citing this family (522)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8528224B2 (en) 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) * 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
WO2012128044A1 (ja) * 2011-03-23 2012-09-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130115773A1 (en) * 2011-11-04 2013-05-09 Globalfoundries Inc. Prevention of ILD Loss in Replacement Gate Technologies by Surface Treatmen
TWI606136B (zh) 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
JP6039996B2 (ja) 2011-12-09 2016-12-07 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6239079B2 (ja) * 2011-12-09 2017-11-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6049395B2 (ja) * 2011-12-09 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR20140119726A (ko) 2012-01-06 2014-10-10 노벨러스 시스템즈, 인코포레이티드 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8912101B2 (en) * 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
US9194045B2 (en) 2012-04-03 2015-11-24 Novellus Systems, Inc. Continuous plasma and RF bias to regulate damage in a substrate processing system
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR101881894B1 (ko) 2012-04-06 2018-07-26 삼성디스플레이 주식회사 박막 증착 장치 및 그것을 이용한 박막 증착 방법
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8956704B2 (en) * 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US8859430B2 (en) * 2012-06-22 2014-10-14 Tokyo Electron Limited Sidewall protection of low-K material during etching and ashing
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
EP2679537A1 (en) * 2012-06-27 2014-01-01 Imec Method for producing metal lines on top of a non-flat mems topography
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US20140046475A1 (en) * 2012-08-09 2014-02-13 Applied Materials, Inc. Method and apparatus deposition process synchronization
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
JP6257071B2 (ja) * 2012-09-12 2018-01-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI595112B (zh) * 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
CN105143503A (zh) * 2012-12-21 2015-12-09 普拉萨德·纳哈·加吉尔 陶瓷薄膜低温沉积方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
EP2770373A1 (en) 2013-02-20 2014-08-27 Imec Conformal anti-reflective coating
US9328422B2 (en) 2013-03-06 2016-05-03 Corning Incorporated Crystallization and bleaching of diamond-like carbon and silicon oxynitride thin films
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
WO2014158410A1 (en) * 2013-03-13 2014-10-02 Applied Materials, Inc Acoustically-monitored semiconductor substrate processing systems and methods
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9257293B2 (en) * 2013-03-14 2016-02-09 Applied Materials, Inc. Methods of forming silicon nitride spacers
TW201441408A (zh) * 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
US20140329027A1 (en) * 2013-05-02 2014-11-06 Applied Materials, Inc. Low temperature flowable curing for stress accommodation
CN104282614B (zh) * 2013-07-01 2017-09-01 中芯国际集成电路制造(上海)有限公司 一种形成浅沟槽隔离结构的方法
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9798317B2 (en) * 2013-07-03 2017-10-24 Tokyo Electron Limited Substrate processing method and control apparatus
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TWI649803B (zh) * 2013-09-30 2019-02-01 蘭姆研究公司 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9145607B2 (en) 2013-10-22 2015-09-29 Lam Research Corporation Tandem source activation for cyclical deposition of films
US20150125628A1 (en) * 2013-11-06 2015-05-07 Asm Ip Holding B.V. Method of depositing thin film
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US10179947B2 (en) * 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9760008B2 (en) 2013-12-05 2017-09-12 Tokyo Electron Limited Direct current superposition freeze
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9218963B2 (en) * 2013-12-19 2015-12-22 Asm Ip Holding B.V. Cyclical deposition of germanium
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
KR102351585B1 (ko) 2014-03-06 2022-01-13 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 포어라인 열 반응기 시스템
KR102357926B1 (ko) * 2014-03-07 2022-02-04 주성엔지니어링(주) 박막 제조 방법
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
CN105336661B (zh) * 2014-05-29 2019-01-22 中芯国际集成电路制造(北京)有限公司 半导体结构的形成方法
US9685325B2 (en) 2014-07-19 2017-06-20 Applied Materials, Inc. Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10094018B2 (en) * 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US11970772B2 (en) 2014-08-22 2024-04-30 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9624578B2 (en) 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
US10096464B2 (en) * 2014-10-04 2018-10-09 Applied Materials, Inc. Atomic layer deposition of high density silicon dioxide
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9184060B1 (en) 2014-11-14 2015-11-10 Lam Research Corporation Plated metal hard mask for vertical NAND hole etch
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9502263B2 (en) * 2014-12-15 2016-11-22 Applied Materials, Inc. UV assisted CVD AlN film for BEOL etch stop application
US10100407B2 (en) 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
FI126970B (en) * 2014-12-22 2017-08-31 Picosun Oy Atomic layer cultivation in which the first and second species of source materials are present simultaneously
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9640385B2 (en) * 2015-02-16 2017-05-02 Applied Materials, Inc. Gate electrode material residual removal process
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11001599B2 (en) 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
WO2016178991A1 (en) 2015-05-02 2016-11-10 Applied Materials, Inc. Methods for depositing low k and low wet etch rate dielectric thin films
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9484202B1 (en) * 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
US9815858B2 (en) 2015-06-16 2017-11-14 Gelest Technologies, Inc. Hydridosilapyrroles, hydridosilaazapyrroles, thiasilacyclopentanes, method for preparation thereof, and reaction products therefrom
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9385318B1 (en) 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9508547B1 (en) 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US20170051405A1 (en) * 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9837504B2 (en) * 2015-10-28 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modifying capping layer in semiconductor structure
US9620356B1 (en) * 2015-10-29 2017-04-11 Applied Materials, Inc. Process of selective epitaxial growth for void free gap fill
JP6509095B2 (ja) * 2015-11-04 2019-05-08 東京エレクトロン株式会社 窒化膜の形成方法
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10388515B2 (en) * 2015-11-16 2019-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Treatment to control deposition rate
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9997351B2 (en) * 2015-12-08 2018-06-12 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for filling a cavity using angled ion beam
US20170178899A1 (en) 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
CN106920744B (zh) * 2015-12-25 2019-12-13 北京大学 一种室温环境中激励硅中非金属原子扩散的方法
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10115601B2 (en) * 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US9768070B1 (en) * 2016-05-20 2017-09-19 Samsung Electronics Co., Ltd. Method for manufacturing semiconductor device
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
CN109417022B (zh) * 2016-06-28 2023-08-11 应用材料公司 用于3d nand存储器器件的基于cvd的氧化物-金属多结构
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9837270B1 (en) * 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6728087B2 (ja) * 2017-02-22 2020-07-22 東京エレクトロン株式会社 成膜方法及び成膜装置
FR3064283B1 (fr) * 2017-03-22 2022-04-29 Kobus Sas Procede et dispositif reacteur pour la realisation de couches minces mettant en œuvre une succession d'etapes de depots, et applications de ce procede
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
CN110431660B (zh) * 2017-04-07 2023-09-29 应用材料公司 表面改性以改进非晶硅间隙填充
US9984869B1 (en) * 2017-04-17 2018-05-29 Asm Ip Holding B.V. Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas
DE102017206612A1 (de) * 2017-04-19 2018-10-25 Centrotherm Photovoltaics Ag Verfahren und Vorrichtung zum Ausbilden einer Schicht auf einem Halbleitersubstrat sowie Halbleitersubstrat
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10752989B2 (en) 2017-07-26 2020-08-25 Moxtek, Inc. Methods of applying silane coatings
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
JP6873007B2 (ja) * 2017-08-09 2021-05-19 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
JP6913569B2 (ja) 2017-08-25 2021-08-04 東京エレクトロン株式会社 被処理体を処理する方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
JP7288432B2 (ja) * 2017-09-03 2023-06-07 アプライド マテリアルズ インコーポレイテッド 共形ドーパント膜堆積を使用した、3d構造体の共形ハロゲンドーピング
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102470206B1 (ko) 2017-10-13 2022-11-23 삼성디스플레이 주식회사 금속 산화막의 제조 방법 및 금속 산화막을 포함하는 표시 소자
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10510852B2 (en) 2017-11-28 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k feature formation processes and structures formed thereby
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10541309B2 (en) * 2017-12-25 2020-01-21 United Microelectronics Corp Semiconductor structure and method for fabricating the same
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11087961B2 (en) 2018-03-02 2021-08-10 Lam Research Corporation Quartz component with protective coating
US10651083B2 (en) * 2018-03-05 2020-05-12 International Business Machines Corporation Graded interconnect cap
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
CN108277476B (zh) * 2018-03-14 2024-01-16 深圳市志橙半导体材料有限公司 一种利用热cvd法的碳化硅沉积处理设备
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP7085929B2 (ja) 2018-07-13 2022-06-17 東京エレクトロン株式会社 成膜方法
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7003011B2 (ja) * 2018-07-27 2022-01-20 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US20200075325A1 (en) * 2018-08-29 2020-03-05 Asm Ip Holding B.V. Film forming method
CN110896116B (zh) * 2018-09-10 2023-01-17 浙江清华柔性电子技术研究院 晶体硅太阳能电池扩散层及其制备方法、电池、组件
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN112805405B (zh) * 2018-09-24 2024-04-23 弗萨姆材料美国有限责任公司 用于制备含硅和氮的膜的方法
US10903070B2 (en) 2018-09-28 2021-01-26 Lam Research Corporation Asymmetric wafer bow compensation by chemical vapor deposition
US10896821B2 (en) 2018-09-28 2021-01-19 Lam Research Corporation Asymmetric wafer bow compensation by physical vapor deposition
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102617145B1 (ko) 2018-10-02 2023-12-27 삼성전자주식회사 가변 저항 메모리 장치
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
WO2020072625A1 (en) * 2018-10-03 2020-04-09 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
US10971357B2 (en) 2018-10-04 2021-04-06 Applied Materials, Inc. Thin film treatment process
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
CN113195786A (zh) * 2018-10-19 2021-07-30 朗姆研究公司 用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11276579B2 (en) * 2018-11-14 2022-03-15 Hitachi High-Tech Corporation Substrate processing method and plasma processing apparatus
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7090568B2 (ja) 2019-01-30 2022-06-24 東京エレクトロン株式会社 成膜方法
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20210130247A (ko) * 2019-03-20 2021-10-29 어플라이드 머티어리얼스, 인코포레이티드 저온의 열 산화물 품질에서 두꺼운 산화물 막들을 성장시키는 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
JP2022534793A (ja) * 2019-06-07 2022-08-03 ラム リサーチ コーポレーション 原子層堆積時における膜特性の原位置制御
US11578409B2 (en) 2019-06-08 2023-02-14 Applied Materials, Inc. Low deposition rates for flowable PECVD
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
JP7339032B2 (ja) * 2019-06-28 2023-09-05 東京エレクトロン株式会社 基板処理方法および基板処理装置
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR20230156441A (ko) 2019-08-16 2023-11-14 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
JP7259649B2 (ja) * 2019-08-30 2023-04-18 東京エレクトロン株式会社 成膜装置及び成膜方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2021050308A1 (en) * 2019-09-12 2021-03-18 Applied Materials, Inc. Repulsion mesh and deposition methods
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
US11482413B2 (en) 2019-10-08 2022-10-25 Eugenus, Inc. Conformal and smooth titanium nitride layers and methods of forming the same
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11532475B2 (en) * 2019-12-24 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition process for forming semiconductor device and system
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR102317442B1 (ko) * 2020-01-20 2021-10-26 주성엔지니어링(주) 기판처리방법
KR20210094462A (ko) * 2020-01-20 2021-07-29 에이에스엠 아이피 홀딩 비.브이. 전처리를 사용하여 실리콘 질화물 층을 증착하는 방법, 상기 방법을 사용하여 형성된 구조체, 및 상기 방법을 수행하기 위한 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11817313B2 (en) 2020-02-05 2023-11-14 Applied Materials, Inc. Methods for pressure ramped plasma purge
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TWI823050B (zh) 2020-02-19 2023-11-21 美商應用材料股份有限公司 無氫二氧化矽
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR102437091B1 (ko) * 2020-08-14 2022-08-26 한국기계연구원 플라즈마 화학기상증착 공정의 실시간 제어 방법 및 플라즈마 화학기상증착용 반응 챔버
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11979171B2 (en) 2020-10-13 2024-05-07 Microchip Technology Incorporated Reduced complexity encoders and related systems, methods, and devices
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN116745461A (zh) * 2020-12-10 2023-09-12 尤金纳斯股份有限公司 保形且平滑的氮化钛层及其形成方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11551926B2 (en) 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (213)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
KR100721503B1 (ko) * 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
JP4666912B2 (ja) 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
AU2003220088A1 (en) 2002-03-08 2003-09-22 Sundew Technologies, Llc Ald method and apparatus
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100472777B1 (ko) * 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
WO2004032196A2 (en) 2002-10-03 2004-04-15 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
KR100546852B1 (ko) * 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
JP4965247B2 (ja) 2003-04-23 2012-07-04 アイクストロン・インコーポレーテッド 促進されたaldプロセス
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
US7482247B1 (en) * 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) * 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7109129B1 (en) * 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) * 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
KR100891779B1 (ko) 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
CN101416293B (zh) * 2006-03-31 2011-04-20 应用材料股份有限公司 用于介电膜层的阶梯覆盖与图案加载
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
CN101517713B (zh) 2006-09-19 2011-02-09 东京毅力科创株式会社 等离子体清洁方法和等离子体cvd方法
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
DE112008000368T5 (de) 2007-02-12 2009-12-24 Lotus Applied Technology, LLC, Beaverton Herstellung von Verbundmaterialien unter Verwendung von Atomschichtabscheidung
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
EP2193541A1 (en) * 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
KR20090057665A (ko) * 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) * 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
WO2011072143A2 (en) 2009-12-09 2011-06-16 Novellus Systems, Inc. Novel gap fill integration
US20110151142A1 (en) 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
KR101975071B1 (ko) 2011-09-23 2019-05-03 노벨러스 시스템즈, 인코포레이티드 플라즈마 활성화된 컨포멀 유전체 막 증착
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11133180B2 (en) 2010-04-15 2021-09-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
KR20140059751A (ko) * 2012-11-08 2014-05-16 노벨러스 시스템즈, 인코포레이티드 민감성 기판 상에 막을 증착하는 방법
KR20160033057A (ko) * 2014-09-17 2016-03-25 에이에스엠 아이피 홀딩 비.브이. SiN 박막의 형성 방법
KR20210045970A (ko) * 2014-09-17 2021-04-27 에이에스엠 아이피 홀딩 비.브이. SiN 박막의 형성 방법
KR20210129625A (ko) * 2014-09-17 2021-10-28 에이에스엠 아이피 홀딩 비.브이. SiN 박막의 형성 방법
KR20170007177A (ko) * 2015-07-09 2017-01-18 램 리써치 코포레이션 막 균일성 및 두께 프로파일 조절을 위한 멀티-사이클 ald 프로세스
WO2017026676A1 (ko) * 2015-08-12 2017-02-16 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
WO2018016871A1 (ko) * 2016-07-22 2018-01-25 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
KR20180106933A (ko) * 2017-03-17 2018-10-01 램 리써치 코포레이션 실리콘 나이트라이드의 선택적 성장
WO2020236303A1 (en) * 2019-05-23 2020-11-26 Applied Materials, Inc. In-situ atomic layer deposition process

Also Published As

Publication number Publication date
SG10201502936RA (en) 2015-06-29
KR20170089040A (ko) 2017-08-02
KR20130062256A (ko) 2013-06-12
KR101762978B1 (ko) 2017-07-28
WO2011130397A2 (en) 2011-10-20
WO2011130397A3 (en) 2012-04-19
SG184566A1 (en) 2012-11-29
SG184567A1 (en) 2012-11-29
WO2011130326A2 (en) 2011-10-20
WO2011130326A3 (en) 2011-12-15
TW201144475A (en) 2011-12-16
US20110256726A1 (en) 2011-10-20
TWI567225B (zh) 2017-01-21
TW201207148A (en) 2012-02-16
US8728956B2 (en) 2014-05-20
US9230800B2 (en) 2016-01-05
KR101826490B1 (ko) 2018-03-22
US20140209562A1 (en) 2014-07-31
US20110256734A1 (en) 2011-10-20

Similar Documents

Publication Publication Date Title
US9670579B2 (en) Method for depositing a chlorine-free conformal SiN film
KR20130057409A (ko) 개선된 질화 규소 필름 및 그 개선 방법
CN111247269B (zh) 介电膜的几何选择性沉积
US8647993B2 (en) Methods for UV-assisted conformal film deposition
US20200219718A1 (en) Selective deposition of silicon oxide
KR102602830B1 (ko) Ald 및 ale에 의해 컨포멀한 막들의 증착
JP6710032B2 (ja) Aldにより形成される窒化シリコン膜の表面形状内ウェットエッチング速度を均一に低下させるための方法及び装置
CN107799390B (zh) 用于半导体图案化应用的高干法蚀刻速率材料
KR102616896B1 (ko) 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
KR20140016201A (ko) 고 압력, 고 전력 플라즈마 활성화된 컨포멀 막 증착
TW202346626A (zh) 用以改善膜接縫品質及wer的高壓惰性氧化及原位退火製程
TW202340510A (zh) 用於針對低溫前驅物改進保形性的原子層沉積脈衝序列工程

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid