KR20210129625A - SiN 박막의 형성 방법 - Google Patents

SiN 박막의 형성 방법 Download PDF

Info

Publication number
KR20210129625A
KR20210129625A KR1020210139519A KR20210139519A KR20210129625A KR 20210129625 A KR20210129625 A KR 20210129625A KR 1020210139519 A KR1020210139519 A KR 1020210139519A KR 20210139519 A KR20210139519 A KR 20210139519A KR 20210129625 A KR20210129625 A KR 20210129625A
Authority
KR
South Korea
Prior art keywords
plasma
nitrogen
substrate
silicon
silicon nitride
Prior art date
Application number
KR1020210139519A
Other languages
English (en)
Other versions
KR102546225B1 (ko
Inventor
샹 첸
빌야미 포레
료코 야마다
안티 유하니 니스카넨
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20210129625A publication Critical patent/KR20210129625A/ko
Application granted granted Critical
Publication of KR102546225B1 publication Critical patent/KR102546225B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3211Nitridation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner

Abstract

실리콘 질화물 막들을 형성하기 위한 전구체들과 방법들이 제공된다. 일부 실시예들에 있어서, 실리콘 질화물은 플라스마 강화 ALD와 같은 원자층 증착(ALD)에 의하여 퇴적될 수 있다. 일부 실시예들에 있어서, 퇴적된 실리콘 질화물은 플라스마 처리에 의하여 처리될 수 있다. 상기 플라스마 처리는 질소 플라스마 처리일 수 있다. 일부 실시예들에 있어서, 실리콘 질화물을 퇴적시키기 위한 실리콘 전구체들은 요오드 리간드를 포함한다. 상기 실리콘 질화물막들은 FinFET들 또는 다른 종류의 다중 게이트 FET들과 같은 3차원 구조물들 위에 퇴적되었을 때, 수직부와 수평부 모두 비교적 균일한 식각 속도를 가질 수 있다. 일부 실시예들에 있어서, 본 개시의 다양한 실리콘 질화물 막들은 희석된 HF(0.5%)로 열산화물의 제거 속도의 절반 미만의 식각 속도를 갖는다. 일부 실시예들에 있어서, 실리콘 질화물 막들을 퇴적시키기 위한 방법은 다단계 플라스마 처리를 포함한다.

Description

SiN 박막의 형성 방법{Method of forming a SiN thin film}
[0001] 본 개시는 크게 반도체 소자의 제조 분야에 관한 것으로서, 더욱 구체적으로는 실리콘 질화물 막들의 저온 퇴적 및 실리콘 질화물 막들의 퇴적에 사용되기 위한 전구체들에 관한 것이다.
<관련 출원의 상호 참조>
[0002] 본 출원은 "DEPOSITION OF SiN" 제하로 2014년 9월 17일에 제출된 미국 특허 가출원 일련번호 제62/051,867호 및 "DEPOSITION OF SiN" 제하로 2015년 6월 16일에 제출된 미국 특허 가출원 일련번호 제62/180,511호의 혜택을 주장한다. 상기 출원의 개시 내용은 그 전체가 여기에 인용되어 통합된다.
[0003] 반도체 제조에 있어서 스페이서는 후속 처리 단계들로부터 보호하기 위한 구조물로서 널리 사용된다. 예를 들면, 게이트 전극들의 측면에 형성된 질화물 스페이서들은 도핑 또는 이온주입 단계들에서 아래에 위치하는 소스/드레인 영역들을 보호하기 위한 마스크로서 사용될 수 있다.
[0004] 반도체 소자들의 물리적 기하 구조가 축소됨에 따라, 게이트 전극 스페이서들도 점점 더 작아지고 있다. 스페이서의 폭은 게이트 전극들의 밀집된 라인들 위로 콘포말하게 퇴적될 수 있는 질화물 두께에 의하여 제한된다. 따라서 상기 질화물 스페이서의 식각 공정은, 질화물 층의 퇴적시의 두께에 대하여 스페이서 폭의 비가 높도록 하는 것이 선호된다.
[0005] 일반적으로 현재의 PEALD 실리콘 질화물 공정들은 트렌치 구조물과 같은 3차원 구조물 위에 퇴적되었을 때 비등방적 식각 거동으로 인해 어려움을 겪고 있다. 다시 말해, 트렌치나, 핀(fin)이나, 또는 다른 3차원 구조물의 측벽들 위에 퇴적되는 막은 그 구조물의 상부 영역 위의 막에 비하여 열등한 박막 성질들을 보인다. 상기 박막의 품질은 트렌치의 상부면 위에서는 또는 구조화된 웨이퍼의 평면 영역들 위에서는 목적하는 응용을 위하여 충분하지만 측벽들이나, 비-수평면이나, 또는 수직 표면들 위에서는 그렇지 않을 수 있다.
[0006] 도 1a 및 도 1b는 스페이서 응용들에 사용될 수 있는 실리콘 질화물 막의 통상적인 예를 보여준다. 상기 막은 본 출원에 설명된 바와는 상이한 PEALD 공정을 이용하여 400℃에서 퇴적되었다. 도 1a는 3차원 표면 위에 퇴적된 후의 막으로서 HF에 의하여 식각되기 전의 막을 나타낸다. 그런 다음 상기 작업 대상물을 0.5% HF에 60초 동안 침지시킴으로써 식각 공정을 수행하였다. 도 1b는 상기 실리콘 질화물 막의 수직부들이 상기 막의 수평부들보다 더 큰 정도로 식각된 정도를 나타낸다. 상기 막 두께들은 나노미터로 표시된다. 이들과 같은 구조물들은 FinFET 스페이서 응용에서와 같은 추가적인 처리에서 일반적으로 잔존하지 않을 것이다.
[0007] 일부 측면들에 있어서, 실리콘 질화물 막들을 퇴적시키는 원자층 증착(atomic layer deposition, ALD) 방법들이 제공된다. 일부 실시예들에 있어서, 상기 ALD 방법들은 플라스마 강화 ALD 방법들 또는 열 ALD 방법들일 수 있다. 상기 방법들은, 바람직한 식각 특성뿐만 아니라 우수한 단차 도포성, 및 패턴 로딩 효과들과 같은 바람직한 품질들을 갖는 실리콘 질화물 막들의 퇴적을 가능하게 한다. 일부 실시예들에 따르면 상기 실리콘 질화물 막들은 3차원 구조물들 위에 퇴적되었을 때 수평 부분들과 수직 부분들 모두에 대하여 비교적 일정한 식각 속도를 갖는다. 그러한 3차원 구조물들은, 예를 들면 FinFET들 또는 다른 종류의 다중 게이트 FET류를 포함할 수 있지만 여기에 한정되는 것은 아니다. 일부 실시예들에 있어서, 본 개시의 다양한 실리콘 질화물 막들은 희석된 HF(0.5%)에서 분당 약 2-3 nm의 열 산화물의 제거 속도의 절반 미만의 식각 속도를 갖는다.
[0008] 일부 실시예들에 있어서, 반응 챔버 내에서 기판 위에 실리콘 질화물 막들을 퇴적시키기 위한 방법들은 상기 기판 표면 위에 실리콘 종들이 흡착되도록 기상의 실리콘 반응물을 반응 공간으로 도입하는 단계; 과량의 실리콘 반응물을 제거하는 단계; 질소 전구체로부터 플라스마에 의하여 생성된 반응성 종들을 상기 흡착된 실리콘 종들과 접촉시키는 단계; 및 과량의 반응성 종들 및 반응 부산물들을 제거하는 단계를 포함한다. 이들 단계들은 원하는 두께의 실리콘 질화물 막이 달성되도록 반복된다.
[0009] 일부 실시예들에 있어서, 상기 실리콘 전구체는 여기에 설명된 바와 같은 식 (1) 내지 (8)의 전구체를 포함한다. 일부 실시예들에 있어서, 상기 실리콘 전구체는 HSiI3, H2SiI2, H3SiI, H2Si2I4, H4Si2I2, 및 H5Si2I로 구성되는 군으로부터 선택된다. 일부 실시예들에 있어서, 상기 실리콘 전구체는 H2SiI2이다. 상기 반응성 종들은, 예를 들면, 수소, 수소 원자들, 수소 플라스마, 수소 라디칼들, N* 라디칼들, NH* 라디칼들 또는 NH2 * 라디칼들을 포함할 수 있다. 일부 실시예들에 있어서, 상기 반응성 종들은 N-함유 플라스마 또는 N을 포함하는 플라스마를 포함할 수 있다. 일부 실시예들에 있어서, 상기 반응성 종들은 N-함유 종들을 포함하는 플라스마를 포함할 수 있다. 일부 실시예들에 있어서, 상기 반응성 종들은 질소 원자들 및/또는 N* 라디칼들을 포함할 수 있다.
[0010] 일부 실시예들에 있어서, 상기 실리콘 질화물 막은 3차원 구조물 위에 퇴적된다. 일부 실시예들에 있어서, 상기 실리콘 질화물 막은 적어도 약 80%의 패턴 로딩 효과(pattern loading effect) 및 단차 도포성(step coverage)을 보인다. 일부 실시예들에 있어서, 상기 구조물은 측벽 및 상부 영역들을 포함하고, 상기 실리콘 질화물 막의 상기 상부 영역의 습식 식각율(wet etch rate, WER)에 대한 상기 측벽의 WER은 0.5% dHF 내에서 약 3 미만이다. 일부 실시예들에 있어서, 상기 실리콘 질화물 막의 식각 속도는 0.5% HF 수용액 내에서 약 0.4 nm/분 미만이다.
[0011] 일부 실시예들에 있어서, 실리콘 질화물 막을 퇴적시키는 방법들은 적어도 하나의 3차원 지형을 포함하는 기판을 반응 공간 내부로 로딩하는 단계, 실리콘 종들이 상기 기판의 표면 위에 흡착되도록 상기 반응 공간 내에서 상기 기판을 실리콘 전구체와 접촉시키는 단계, 과량의 실리콘 전구체를 상기 반응 공간으로부터 퍼지하는 단계, 상기 반응 공간 내의 기판의 표면 위로 흡착된 실리콘 종들을 질소 전구체와 접촉시키는 단계, 과량의 질소 전구체를 상기 반응 공간으로부터 퍼지하는 단계, 및 원하는 두께의 막이 생성하기 위하여 상기 단계들을 반복하는 단계를 포함한다. 일부 실시예들에 있어서, 상기 막은 상기 3차원 지형 위에서 약 50%보다 큰 단차 도포성을 갖는다. 일부 실시예들에 있어서, 상기 실리콘 질화물 막의 습식 식각율은 5% HF 수용액 내에서 약 5 nm/분 미만이다. 일부 실시예들에 있어서, 3차원 구조물의 상부 표면에서의 실리콘 질화물 막의 식각 속도에 대한 측벽에서의 식각 속도의 비율은 약 4 미만이다. 일부 실시예들에 있어서, 단차 도포성은 적어도 약 80%, 또는 적어도 약 90%이다.
[0012] 일부 실시예들에 있어서, 기판 위에 실리콘 질화물 막을 퇴적시키는 방법들은 상기 기판의 표면 위에 실리콘 종들이 흡착되도록 상기 기판을 기상의 실리콘 전구체에 노출시키는 단계, 상기 기판 표면으로부터 과량의 전구체 및 반응 부산물들을 제거하기 위하여 상기 기판의 표면 위에 흡착된 실리콘 종들을 퍼지 가스 및/또는 진공에 노출시키는 단계, 흡착된 실리콘 종들을 질소 플라스마에 의하여 생성된 종들과 접촉시키는 단계, 질소 함유 플라스마의 종들 및 반응 부산물들을 상기 기판 표면으로부터 그리고 상기 기판 표면의 근방으로부터 제거하기 위하여 상기 기판을 퍼지 가스 및/또는 진공에 노출시키는 단계, 및 원하는 두께의 막을 생성하기 위하여 상기 단계들을 반복하는 단계를 포함한다.
[0013] 일부 실시예들에 있어서, 기판 위에 실리콘 질화물 막을 퇴적시키는 방법들은 상기 기판의 표면 위에 실리콘 종들이 흡착되도록 상기 기판을 기상의 실리콘 반응물에 노출시키는 단계, 상기 기판 표면으로부터 반응 부산물들 및 과량의 전구체를 제거하기 위하여 상기 기판을 퍼지 가스 및/또는 진공에 노출시키는 단계, 흡착된 실리콘 종들을 질소 전구체와 접촉시키는 단계, 과량의 질소 전구체 및 반응 부산물들을 상기 기판 표면으로부터 그리고 상기 기판 표면의 근방으로부터 제거하기 위하여 상기 기판을 퍼지 가스 및/또는 진공에 노출시키는 단계, 및 원하는 두께의 막을 생성하기 위하여 상기 단계들을 반복하는 단계를 포함한다.
[0014] 일부 실시예들에 있어서, 상기 실리콘 전구체는 요오드 또는 브롬을 포함한다. 일부 실시예들에 있어서, 상기 막은 약 50%보다 큰 단차 도포성을 갖는다. 일부 실시예들에 있어서, 상기 실리콘 질화물의 식각 속도는 0.5% HF 수용액 내에서 약 5 nm/분 미만이다. 일부 실시예들에 있어서, 3차원 구조물의 상부 표면에서의 실리콘 질화물의 식각 속도에 대한 상기 3차원 구조물의 측벽에서의 식각 속도의 비율은 약 4 미만이다.
[0015] 일부 태양들에 있어서, 여기에 설명된 바와 같은 방법들에 의하여 퇴적된 실리콘 질화물 박막에 대하여 플라스마 처리가 수행될 수 있다. 일부 실시예들에 있어서, 상기 플라스마 처리는 퇴적된 상기 실리콘 질화물 박막을 수소 종들이 없거나 실질적으로 수소 종들이 없는 질소 함유 가스로부터 생성된 플라스마에 노출시키는 단계를 포함한다. 일부 실시예들에 있어서, 상기 실리콘 질화물 막은 복수의 실리콘 질화물 퇴적 사이클들을 사용하여 퇴적될 수 있으며, 상기 플라스마 처리는 매 퇴적 사이클 이후에, 미리 정해진 간격으로, 또는 원하는 두께의 실리콘 질화물 막이 퇴적된 후에 적용될 수 있다.
[0016] 일부 실시예들에 있어서, 반응 공간 내의 기판 위에 박막을 형성하는 방법들은 기판의 표면 위에 흡착된 제 1 실리콘 종들을 제공하기 위하여 기판을 제 1 실리콘 할로겐화물과 접촉시키는 단계, 및 상기 기판 위에 물질을 퇴적시키기 위하여 상기 기판 위에 흡착된 제 1 종들을 포함하는 상기 기판을 제 1 플라스마 단계로 접촉시키는 단계를 포함할 수 있다. 상기 방법은 질소 플라스마 처리를 수행하는 단계를 더 포함할 수 있다. 상기 질소 플라스마 처리는, 박막을 형성하기 위하여 표면 위에 상기 물질을 포함하는 상기 기판을 수소-함유 종들이 실질적으로 부존재하는 질소 함유 가스로부터 형성된 제 2 플라스마와 접촉시키는 단계를 포함한다. 일부 실시예들에 있어서, 상기 박막은 실리콘 질화물 막이다.
[0017] 일부 실시예들에 있어서, 반응 공간 내의 기판 위에 SiN 박막을 형성하는 방법들은 상기 기판의 표면 위에 흡착된 제 1 실리콘 종들을 제공하기 위하여 상기 기판을 제 1 실리콘 할로겐화물과 접촉시키는 단계, 및 상기 표면 위에 흡착된 제 1 실리콘 종들을 포함하는 상기 기판을 활성화된 수소 종들을 포함하는 제 1 플라스마와 접촉시킴으로써 SiN을 퇴적시키는 단계를 포함할 수 있다. 상기 방법은 SiN 박막을 형성하기 위하여 질소 플라스마 처리를 수행하는 단계를 더 포함할 수 있다. 여기서 상기 질소 플라스마 처리는 SiN을 포함하는 상기 기판을 수소-함유 종이 실질적으로 부존재하는 질소 함유 가스로부터 형성된 제 2 플라스마와 접촉시키는 단계를 포함한다. 일부 실시예들에 있어서, 실리콘 질화물 퇴적 사이클은 상기 기판을 제 1 실리콘 전구체와 접촉시키는 단계, 및 상기 기판의 표면 위에 흡착된 상기 제 1 실리콘 종들을 제 2 질소 전구체와 접촉시키는 단계를 포함한다. 일부 실시예들에 있어서, 상기 기판 위의 실리콘 질화물은 매 실리콘 질화물 퇴적 사이클 이후에, 또는 매 2, 3, 4, 5, 10, 25, 50 또는 100회의 반복들 이후와 같이 상기 실리콘 질화물 퇴적 사이클의 다양한 반복들마다 제 2 플라스마와 접촉될 수 있다.
[0018] 일부 실시예들에 있어서, 상기 제 1 플라스마는 수소, 수소 원자들, 수소 플라스마, 수소 라디칼들, N* 라디칼들, NH* 라디칼들 및 NH2 * 라디칼들 중 적어도 하나를 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 1 플라스마는 N-함유 플라스마 또는 N을 포함하는 플라스마를 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 1 플라스마는 N-함유 종들을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 1 플라스마는 질소 원자들 및/또는 N* 라디칼들을 포함할 수 있다.
[0019] 일부 실시예들에 있어서, 상기 제 1 플라스마는 제 1 파워를 이용하여 생성되고 상기 제 2 플라스마는 제 2 파워를 이용하여 생성된다. 상기 제 2 파워는 상기 제 1 파워보다 더 클 수 있다. 일부 실시예들에 있어서, 상기 제 2 파워는 상기 제 1 파워의 약 100% 내지 약 900%이다. 일부 실시예들에 있어서, 상기 제 2 파워는 상기 제 1 파워의 약 100% 내지 약 200%이다.
[0020] 일부 실시예들에 있어서, 제 2 파워는 제 1 파워보다 더 작다. 일부 실시예들에 있어서, 상기 제 2 파워는 상기 제 1 파워의 약 50% 내지 약 100% 사이이다. 일부 실시예들에 있어서, 상기 제 1 파워는 약 50W 내지 약 600W이다. 상기 제 1 파워의 플라스마 파워는 약 150W 내지 약 250W일 수 있다. 일부 실시예들에 있어서, 상기 제 2 파워는 약 100W 내지 약 1000W이다. 상기 제 2 파워는 약 150W 내지 약 300W일 수 있다.
[0021] 일부 실시예들에 있어서, 상기 기판을 제 1 플라스마와 접촉시키는 단계는 상기 질소 플라스마 처리의 지속 시간보다 더 긴 지속 시간 동안 수행된다. 일부 실시예들에 있어서, 상기 질소 플라스마 처리의 지속 시간은 상기 제 1 플라스마 단계의 지속 시간의 약 5% 내지 약 75%이다. 상기 질소 플라스마 처리의 지속 시간은 상기 제 1 플라스마 단계의 지속 시간의 약 20% 내지 약 50%일 수 있다.
[0022] 일부 실시예들에 있어서, 상기 박막을 퇴적시키는 방법들은 기판을 실리콘 전구체와 같은 제 1 전구체와 접촉시키는 단계, 및 실리콘 종들과 같이 기판 표면 위에 흡착되어 있는 종들을 상기 제 1 플라스마와 접촉시키는 단계를 상기 질소 플라스마 처리를 수행하는 단계의 이전에 2회 이상 반복하는 단계를 더 포함할 수 있다. 일부 실시예들에 있어서, 상기 질소 플라스마 처리는, 기판을 제 1 전구체와 접촉시키는 단계, 및 기판 표면 위에 흡착되어 있는 종들을 활성화된 수소 종들과 접촉시키는 단계를 적어도 25회 반복한 후에 수행된다. 일부 실시예들에 있어서, 상기 질소 플라스마 처리는 매 25번째 반복 이후에 수행된다. 일부 실시예들에 있어서, 상기 질소 플라스마 처리는 매 50번째 반복 이후에 수행된다. 일부 실시예들에 있어서, 상기 질소 플라스마 처리는 매 100번째 반복 이후에 수행된다.
[0023] 상기 SiN 막은 3차원 구조물 위에 형성될 수 있다. 일부 실시예들에 있어서, 상기 구조물은 측벽들과 상부 영역들을 포함한다. 여기서 상기 SiN 막의 상기 상부 영역들 위에서의 습식 식각율(wet etch rate, WER)에 대한 상기 측벽들 위에서의 상기 SiN 박막의 습식 식각율(WER)의 비율은 0.5% dHF 내에서 약 1 미만이다. 일부 실시예들에 있어서, 상기 비율은 약 0.75 내지 약 1.5이고, 또 일부 실시예들에 있어서, 약 0.9 내지 약 1.1일 수 있다.
[0024] 일부 실시예들에 있어서, 열적 실리콘 산화물 막의 식각 속도에 대한 상기 SiN 박막의 식각 속도의 식각 속도 비율은 0.5% HF 수용액 내에서 약 0.5 미만이다.
[0025] 일부 실시예들에 있어서, 상기 기판을 실리콘 할로겐화물과 접촉시키는 단계는 요오드를 포함한다. 일부 실시예들에 있어서, 상기 실리콘 할로겐화물은 염소를 포함한다. 상기 실리콘 전구체는 무기물일 수 있다. 일부 실시예들에 있어서, 상기 실리콘 할로겐화물은 SiI2H2를 포함한다.
[0026] 일부 특징들에 있어서, 반응 공간 내 기판 위에 SiN 박막을 퇴적시키는 방법들은 기판의 표면 위에 흡착된 실리콘 종들을 제공하기 위하여 상기 기판을 실리콘 할로겐화물에 노출시키는 단계, 및 상기 표면 상에 흡착된 실리콘 종들을 포함하는 기판을 제 1 질소-함유 플라스마, 및 상이한 제 2 플라스마에 노출시키는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 상기 실리콘 할로겐화물은 요오드를 포함한다. 일부 실시예들에 있어서, 상기 실리콘 할로겐화물은 염소를 포함한다. 일부 실시예들에 있어서, 상기 실리콘 할로겐화물은 옥타클로로트리실란을 포함한다.
[0027] 일부 실시예들에 있어서, 상기 기판을 제 1 질소-함유 플라스마 및 상이한 다른 제 2 플라스마에 노출시키는 단계는 수소 가스 및 질소 가스 중 적어도 하나를 이용하여 생성된 플라스마에 상기 기판을 노출시키는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 상기 기판을 상기 제 1 질소-함유 플라스마에 노출시키는 단계는 수소 가스 및 질소 가스 모두를 이용하여 생성된 플라스마에 실리콘 종들을 노출시키는 단계를 포함할 수 있다.
[0028] 일부 실시예들에 있어서, 상기 기판은 상기 제 1 플라스마 및 상기 제 2 플라스마 중 적어도 하나와 상이한 제 3 플라스마에 더 노출될 수 있다. 상기 제 1 플라스마, 제 2 플라스마 및 제 3 플라스마 중 둘은 수소 가스 및 질소 가스를 모두 사용하여 생성된 플라스마를 포함할 수 있고, 상기 제 1 플라스마, 제 2 플라스마 및 제 3 플라스마 중 하나는 수소 가스를 이용하여 생성된 플라스마를 포함할 수 있다.
[0029] 일부 실시예들에 있어서, 상기 기판은 제 1 지속시간 동안 상기 제 1 플라스마에 노출되고, 상기 기판은 제 2 지속시간 동안 상기 제 2 플라스마에 노출되고, 상기 기판은 제 3 지속시간 동안 상기 제 3 플라스마에 노출된다. 여기서 상기 제 1 지속시간은 상기 제 2 지속시간보다 더 길다. 상기 제 1 지속시간은 상기 제 2 지속시간보다 더 길 수 있다. 일부 실시예들에 있어서, 상기 제 2 지속시간은 상기 제 3 지속시간보다 더 길다.
[0030] 일부 실시예들에 있어서, 상기 제 1 플라스마와 상기 제 3 플라스마의 각각은 수소 가스 및 질소 가스를 모두 이용하여 생성된 플라스마를 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 플라스마는 수소 가스를 이용하여 생성된 플라스마를 포함할 수 있다.
[0031] 상기 박막을 퇴적시키는 방법은 상기 제 1 플라스마와 상기 제 2 플라스마 사이에 상기 반응 공간으로부터 과량의 반응물들을 제거하는 단계, 및 상기 제 2 플라스마와 상기 제 3 플라스마 사이에 상기 반응 공간으로부터 과량의 반응물들을 제거하는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 1 플라스마와 상기 제 2 플라스마 사이에, 그리고 상기 제 2 플라스마와 상기 제 3 플라스마 사이에 상기 반응 공간으로부터 과량의 반응물들을 제거하는 단계는 각각 수소 가스를 유동시키는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 1 플라스마와 상기 제 2 플라스마 사이에 상기 반응 공간으로부터 과량의 반응물들을 제거하는 단계인 제 1 퍼지 단계는 질소 가스의 흐름 속도를 감소시키는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 플라스마와 상기 제 3 플라스마 사이에 상기 반응 공간으로부터 과량의 반응물들을 제거하는 단계는 수소 가스와 질소 가스를 유동시키는 단계를 포함한다. 일부 실시예들에 있어서, 상기 제 2 플라스마와 상기 제 3 플라스마 사이에 상기 반응 공간으로부터 과량의 반응물들을 제거하는 단계는 질소 가스의 흐름 속도를 증가시키는 단계를 포함한다.
[0032] 일부 특징들에 있어서, 반응 공간 내의 기판 위에 박막을 퇴적시키는 방법은 실리콘 종들이 상기 기판의 표면 위에 흡착되도록 상기 기판을 실리콘 할로겐화물에 노출시키는 단계, 상기 기판을 질소-함유 및 수소-함유 가스를 이용하여 생성된 제 1 플라스마에 노출시키는 단계, 상기 기판을 수소-함유 가스를 이용하여 생성된 제 2 플라스마에 노출시키는 단계, 상기 기판을 수소-함유 가스 및 질소-함유 가스를 이용하여 생성된 제 3 플라스마에 노출시키는 단계, 및 상기 기판을 상기 실리콘 할로겐화물, 상기 제 1 플라스마, 상기 제 2 플라스마, 및 상기 제 3 플라스마에 노출시키는 단계를 반복하는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 상기 박막은 실리콘 질화물 박막이다. 일부 실시예들에 있어서, 상기 박막을 퇴적시키는 단계는 추가적인 반응물들이 실질적으로 부존재한다.
[0033] 일부 실시예들에 있어서, 상기 제 1 플라스마 및 상기 제 3 플라스마는 수소 가스 및 질소 가스를 이용하여 생성된다. 일부 실시예들에 있어서, 상기 제 2 플라스마는 수소 가스를 이용하여 생성된다.
[0034] 상기 박막을 퇴적시키는 방법은 상기 기판을 상기 제 1 플라스마와 상기 제 2 플라스마에 노출시키는 단계들 사이에 과량의 반응물들을 상기 반응 공간으로부터 제거하는 단계, 및 상기 기판을 상기 제 2 플라스마와 상기 제 3 플라스마에 노출시키는 단계들 사이에 과량의 반응물들을 상기 반응 공간으로부터 제거하는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 과량의 반응물들을 제거하는 단계는 상기 플라스마를 중단시키는(turning off) 단계를 포함할 수 있다. 일부 실시예들에 있어서, 과량의 반응물들을 제거하는 단계는 수소 가스의 유동을 계속하는 단계를 포함할 수 있다. 상기 박막을 퇴적시키는 방법은 상기 기판을 상기 제 1 플라스마와 상기 제 2 플라스마에 노출시키는 단계들 사이에 과량의 반응물들을 상기 반응 공간으로부터 제거하는 단계 동안 질소 가스의 유동을 감소시키는 단계를 포함할 수 있다. 상기 박막을 퇴적시키는 방법은 상기 기판을 상기 제 2 플라스마와 상기 제 3 플라스마에 노출시키는 단계들 사이에 과량의 반응물들을 상기 반응 공간으로부터 제거하는 단계 동안 질소 가스의 유동을 증가시키는 단계를 포함할 수 있다.
[0035] 일부 특징들에 있어서, 반응 공간 내의 기판 위에 SiN 박막을 형성하는 방법은 원자층 증착 공정을 이용하여 상기 기판 위에 SiN을 퇴적시키는 단계; 및 퇴적된 상기 SiN에 대하여 질소 플라스마 처리를 수행하는 단계를 포함할 수 있다. 여기서 상기 질소 플라스마 처리는 상기 SiN을 포함하는 기판을 수소-함유 종이 실질적으로 부존재하는 질소 함유 가스로부터 형성된 질소 플라스마와 접촉시키는 단계를 포함한다. 일부 실시예들에 있어서, 상기 원자층 증착 공정은 상기 기판을 실리콘 전구체와 접촉시키는 단계를 포함한다. 일부 실시예들에 있어서, 상기 실리콘 전구체는 요오드를 포함한다.
[0036] 상기 질소 플라스마 처리를 수행하는 단계는 상기 기판 위의 SiN을 수소-함유 종들이 실질적으로 부존재하는 플라스마와 접촉시키는 단계를 포함한다.
[0037] 일부 실시예들에 있어서, 상기 원자층 증착 공정은 PEALD 공정을 포함하고, 상기 PEALD 공정은 상기 기판의 표면 위에 흡착된 제 1 실리콘 종들을 제공하기 위하여 상기 기판을 실리콘 할로겐화물과 접촉시키는 단계, 및 상기 표면 위에 흡착된 제 1 실리콘 종들을 포함하는 상기 기판을 활성화된 수소 종들을 포함하는 제 1 플라스마와 접촉시키는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 상기 실리콘 할로겐화물은 요오드 또는 염소를 포함할 수 있다. 일부 실시예들에 있어서, 상기 활성화된 수소 종들은 수소, 수소 원자들, 수소 플라스마, 수소 라디칼들, N* 라디칼들, NH* 라디칼들 및 NH2 * 라디칼들 중 적어도 하나를 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 1 플라스마는 N-함유 플라스마 또는 N을 포함하는 플라스마를 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 1 플라스마는 N-함유 종들을 포함하는 플라스마를 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 1 플라스마는 질소 원자들 및/또는 N* 라디칼들을 포함할 수 있다. 일부 실시예들에 있어서, SiN을 퇴적시키는 단계는 제 1 파워를 이용하여 제 1 플라스마를 생성하는 단계를 포함하고, 여기서 상기 질소 플라스마 처리를 수행하는 단계는 상기 제 1 파워보다 더 큰 제 2 파워를 이용하여 상기 질소 플라스마를 생성하는 단계를 포함한다. 일부 실시예들에 있어서, 상기 기판을 상기 실리콘 할로겐화물 및 상기 제 1 플라스마와 접촉시키는 단계는 상기 질소 플라스마 처리를 수행하는 단계에 앞서 2회 이상 반복될 수 있다.
[0038] 일부 실시예들에 있어서, 상기 원자층 증착 공정은 열적 ALD 공정을 포함한다.
[0039] 본 발명은 첨부된 도면들로부터, 그리고 바람직한 실시예들의 상세한 설명으로부터 더 잘 이해될 것이다. 첨부된 도면들은 본 발명을 예시하기 위한 것이며 한정하고자 하는 것이 아니다.
[0040] 도 1a 및 도 1b는 실리콘 질화물 막에 대한 식각 공정의 결과를 나타낸다.
[0041] 도 2는 본 발명의 일부 실시예들에 따라 ALD 공정에 의하여 실리콘 질화물 막을 형성하는 방법을 일반적으로 나타낸 흐름도이다.
[0042] 도 3은 본 발명의 일부 실시예들에 따라 PEALD 공정에 의하여 실리콘 질화물 막을 형성하는 방법을 나타낸 흐름도이다.
[0043] 도 4는 본 발명의 일부 실시예들에 따라 열적 ALD 공정에 의하여 실리콘 질화물 막을 형성하는 방법을 나타낸 흐름도이다.
[0044] 도 5a 내지 도 5c는 본 발명의 일부 실시예들에 따라 퇴적된 다양한 실리콘 질화물 막들의 전계 방출 주사 전자 현미경(field emission scanning electron spectroscopy, FESEM) 이미지들을 나타낸다.
[0045] 도 6a 내지 도 6c는 도 5a 및 도 5b의 실리콘 질화물 막들을 2분 동안의 dHF 침지에 노출시킨 후의 FESEM 이미지들을 나타낸다.
[0046] 도 7은 질소 플라스마 처리와 결합된 PEALD 공정에 의하여 실리콘 질화물 막을 형성하는 방법을 일반적으로 나타낸 흐름도이다.
[0047] 도 8은 질소 플라스마 처리와 결합된 PEALD 공정에 의하여 실리콘 질화물 막을 형성하는 방법의 다른 예를 일반적으로 나타낸 흐름도이다.
[0048] 도 9는 dHF에 침지시킨 시간의 함수로 나타낸 실리콘 질화물 막의 습식 식각 속도 및 열적 실리콘 산화물 막에 대하여 비교한 실리콘 질화물 막의 습식 식각 속도 비율을 나타낸다.
[0049] 도 10은 실리콘 질화물 막을 퇴적시키기 위한 실험 장치를 나타낸다.
[0050] 도 11은 기판 상에서의 위치의 함수로서 나타낸 실리콘 질화물 막의 습식 식각 속도, 열적 실리콘 산화물 막에 대하여 비교한 실리콘 질화물 막의 습식 식각 속도 비율, 및 실리콘 질화물 막의 두께를 나타낸다.
[0051] 도 12는 실리콘 질화물 막을 평면(top-down) 시점으로 바라본 SEM 이미지들 및 그에 대응하여 각 실리콘 질화물 막에 적용된 수소 주입(dosage)을 나타낸 개념도이다.
[0052] 도 13a는 dHF 내에 침지된 시간의 함수로서 나타낸 실리콘 질화물 막의 두께를 나타낸다.
[0053] 도 13b는 열적 실리콘 산화물 막과 비교하여 도 12a의 실리콘 질화물 막의 습식 식각 속도 비율을 나타낸다.
[0054] 도 14a는 실리콘 질화물 막의 조성을 나타낸다.
[0055] 도 14b는 열적 실리콘 산화물 막과 비교하여 도 13a의 실리콘 질화물 막의 습식 식각 속도 비율 성능을 나타낸다.
[0056] 도 15는 열적 실리콘 산화물 막의 수평 표면과 비교한 실리콘 질화물 막의 수평 표면의 습식 식각 속도 비율 성능, 그리고 상기 열적 실리콘 산화물 막의 수직 표면과 비교한 상기 실리콘 질화물 막의 수직 표면의 습식 식각 속도 비율 성능을 나타낸다.
[0057] 도 16은 다단계 플라스마 노출을 포함하는 PEALD 공정에 의하여 실리콘 질화물 막을 형성하는 방법의 예를 일반적으로 나타낸 흐름도이다.
[0058] 도 17은 다단계 플라스마 노출을 포함하는 PEALD 공정에 의하여 실리콘 질화물 막을 형성하는 방법의 다른 예를 일반적으로 나타낸 흐름도이다.
[0059] 도 18은 다단계 플라스마 노출의 예에 대하여 가스 흐름 속도와 플라스마 파워를 시간의 함수로 나타낸 그래프이다.
[0060] 도 19a는 다단계 플라스마 노출을 포함하는 PEALD 공정을 이용하여 퇴적된 SiN 막의 예의 특성을 나타내는 표이다.
[0061] 도 19b는 도 19a의 SiN 막을 퇴적시키는 데 사용된 다단계 플라스마 노출의 일부 조건들을 열거한 표이다.
[0062] 실리콘 질화물 막은 평면 로직 소자, DRAM, 및 NAND 플래시 소자들과 같이 광범위하게 다양한 응용을 갖고 있으며, 이는 통상의 기술자에게 명백히 잘 알려져 있을 것이다. 더욱 구체적으로, 균일한 식각 거동을 보이는 콘포말한 실리콘 질화물 박막들은 반도체 산업분야 뿐만 아니라 반도체 산업 외에서도 광범위하게 다양한 응용 분야를 갖는다. 본 개시의 일부 실시예들에 따르면, 다양한 실리콘 질화물 막들과 전구체들, 그리고 원자층 증착(atomic layer deposition, ALD)에 의하여 이러한 막들을 퇴적시키기 위한 방법들이 제공된다. 중요한 것은, 일부 실시예들에 있어서, 상기 실리콘 질화물 막은 3차원 구조물 위에 퇴적되었을 때 수직부와 수평부 모두에 대하여 비교적 균일한 식각 속도를 갖는다는 것이다. 그러한 3차원 구조물들은 예를 들면 finFET들 또는 다른 유형의 다중 게이트 FET들을 포함하지만 여기에 한정되는 것은 아니다. 일부 실시예들에 있어서, 본 개시의 다양한 실리콘 질화물 막들은 희석된 HF(0.5%)로 분당 약 2-3 nm의 열 산화물 제거 속도의 절반 미만의 식각 속도를 갖는다.
[0063] 실리콘 질화물을 포함하는 박막 층들은 플라스마-강화 원자층 증착(plasma-enhanced atomic layer deposition, PEALD) 타입 공정들에 의하여 또는 열적 ALD 공정에 의하여 퇴적될 수 있다. 일부 실시예들에 있어서, 실리콘 질화물 박막들은 PEALD에 의하여 기판 위에 퇴적된다. 일부 실시예들에 있어서, 실리콘 질화물 박막들은 열적 ALD에 의하여 기판 위에 퇴적된다. 일부 실시예들에 있어서, 실리콘 질화물 박막은 finFET 소자의 형성에서 핀(fin)과 같은, 및/또는 스페이서 정의 더블 패터닝(spacer defined double patterning, SDDP) 및/또는 스페이서 정의 쿼드루플 패터닝(spacer defined quadruple patterning, SDQP)의 응용에서의 3차원 구조물 위에 퇴적된다.
[0064] 여기서 실리콘 질화물의 화학식은 편의상 간단하게 SiN으로 일반적으로 언급된다. 그러나, 통상의 기술자는 수소 및 다른 불순물들을 제외하고 막 내의 Si:N 비율을 나타내는 실리콘 질화물의 실제 화학식이 SiNx로 표현될 수 있음을 이해할 것이다. 여기서 x는 일부 Si-N 결합들이 형성되는 한 약 0.5 내지 약 2.0에서 변화한다. 일부 경우들에 있어서, x는 약 0.9 내지 약 1.7에서, 약 1.0 내지 약 1.5에서, 또는 약 1.2 내지 약 1.4에서 변화할 수 있다. 일부 실시예들에 있어서, 실리콘이 +IV의 산화 상태를 갖는 경우에 실리콘 질화물이 형성되고, 상기 물질 내의 질화물의 양은 변화할 수 있다.
[0065] ALD-타입 공정들은 제어된, 일반적으로는 자기-제한적인 표면 반응들에 기초한다. 대개 기판을 반응물들과 교대적으로 그리고 순차적으로 접촉시킴으로써 기상 반응들이 회피된다. 예를 들면, 반응물 펄스들 사이에서 과량의 반응물들 및/또는 반응 부산물들을 제거함으로써 반응 챔버 내에서 기상 반응물들이 서로 분리된다. 상기 반응물들은 퍼지 가스 및/또는 진공의 도움으로 기판 표면의 근방으로부터 제거될 수 있다. 일부 실시예들에 있어서, 과량의 반응물들 및/또는 반응 부산물들은, 예를 들면 불활성 가스로 퍼지함으로써 반응 공간으로부터 제거된다.
[0066] 여기에 제공된 방법들은 기판 표면들 위에 SiN 박막들을 퇴적시키기 위하여 제공된다. ALD-타입 공정들의 속성으로 인해 기하학적으로 도전적인 응용들도 가능하다. 일부 실시예들에 따르면, ALD-타입 공정들은 집적 회로 작업 소재와 같은 기판 위에, 그리고 일부 실시예들에 있어서 기판들 위의 3차원 구조물들 위에, SiN 박막들을 형성하기 위하여 사용된다. 일부 실시예들에 있어서, ALD 타입 공정들은 기판을 실리콘 전구체 및 질소 전구체와 교대적으로 그리고 순차적으로 접촉시키는 단계를 포함한다. 일부 실시예들에 있어서, 실리콘 전구체는 실리콘 종들이 기판의 표면 위로 흡착되도록 기판과 접촉된다. 일부 실시예들에 있어서, 상기 실리콘 종들은 실리콘 전구체와 동일할 수 있거나, 또는 흡착되는 단계에서 예를 들면 하나 이상의 리간드들을 상실함으로써 변경될 수 있다.
[0067] 도 2는 본 실시예들에 따라 실리콘 질화물 박막을 퇴적시키기 위하여 사용될 수 있는 실리콘 질화물 ALD 퇴적 사이클을 일반적으로 나타낸 흐름도이다. 특정 실시예들에 따르면, 실리콘 질화물 박막이 다수의 실리콘 질화물 퇴적 사이클들을 포함하는 ALD-타입 공정에 의하여 기판 위에 형성된다. 상기 실리콘 질화물 퇴적 사이클들(200) 각각은 다음 단계들을 포함한다:
[0068] (1) 실리콘 종들이 기판 표면 위에 흡착되도록 기판을 실리콘 전구체와 접촉시키는 단계(210);
[0069] (2) 상기 기판을 질소 전구체와 접촉시키는 단계(220); 및
[0070] (3) 원하는 두께 및 조성의 박막을 달성하는 데 필요한 횟수만큼 단계(210) 및 (220)을 반복하는 단계.
[0071] 각 접촉시키는 단계 이후에 과량의 반응물들은 예를 들면 불활성 가스로 반응 공간으로부터 퍼지함으로써 상기 기판의 근처로부터 제거될 수 있다. 아래의 논의는 이러한 단계들의 각각을 더욱 상세하게 다룬다.
PEALD 공정들
[0072] 일부 실시예들에 있어서, SiN 막들을 퇴적시키기 위하여 플라스마 강화 ALD (plasma enhanced ALD, PEALD) 공정들이 사용된다. 간단히 말해, 기판 또는 작업 소재가 반응 챔버 내에 배치되고, 기판 또는 작업 소재에 대하여 교대적으로 반복되는 표면 반응들이 일어나도록 한다. 일부 실시예들에 있어서, SiN 박막들은 자기-제한적인(self-limiting) ALD 사이클의 반복에 의하여 형성된다. 바람직하게, SiN 막들을 형성하기 위하여, 각 ALD 사이클은 적어도 두 개의 구별되는 시기들(phases)을 포함한다. 하나의 반응물을 제공하고 반응 공간으로부터 이를 제거하는 것이 하나의 시기로 고려될 수 있다. 제 1 시기에서, 실리콘을 포함하는 제 1 반응물이 제공되고, 기판 표면 위에 대략 하나보다 많지 않은 단일층(monolayer)을 형성한다. 여기서는 이 반응물도 "실리콘 전구체", "실리콘-함유 전구체", 또는 "실리콘 반응물"로 지칭되며, 예를 들면, H2SiI2일 수 있다.
[0073] 제 2 시기에서, 반응성 종들을 포함하는 제 2 반응물이 제공되고, 흡착된 실리콘 종들을 실리콘 질화물로 전환시킬 수 있다. 일부 실시예들에 있어서, 상기 제 2 반응물은 질소 전구체를 포함한다. 일부 실시예들에 있어서, 상기 반응성 종들은 여기된 종들을 포함한다. 일부 실시예들에 있어서, 상기 제 2 반응물은 질소 함유 플라스마로부터 유래한 종들을 포함한다. 일부 실시예들에 있어서, 상기 제 2 반응물은 질소 라디칼류, 질소 원자들 및/또는 질소 플라스마를 포함한다. 일부 실시예들에 있어서, 상기 제 2 반응물은 N-함유 플라스마 또는 N을 포함하는 플라스마를 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 반응물은 N-함유 종들을 포함하는 플라스마를 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 반응물은 질소 원자들 및/또는 N* 라디칼류를 포함할 수 있다. 상기 제 2 반응물은 질소 전구체들이 아닌 다른 종들을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 반응물은 수소의 플라스마, 수소의 라디칼들, 또는 원자 수소를 하나 또는 그 이상의 형태로 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 반응물은 He, Ne, Ar, Kr, 또는 Xe과 같은 불활성 가스, 바람직하게는 Ar 또는 He으로부터 유래한 종들을 예를 들면 라디칼로서, 플라스마 형태로서, 또는 원자 형태로서 포함할 수 있다. 이들 불활성 가스들로부터 유래하는 반응성 종들은 퇴적되는 막이 되는 물질에 반드시 기여하지는 않으나, 일부 경우들에 있어서는 막성장에 기여할 뿐만 아니라 플라스마의 점화 및 형성에 도움을 줄 수 있다. 일부 실시예들에 있어서, 플라스마를 형성하기 위하여 사용되는 가스는 퇴적 공정 내내 일정하게 유동될 수 있지만, 간헐적으로만 활성화될 수 있다. 일부 실시예들에 있어서, 상기 제 2 반응물은 Ar과 같은 불활성 가스로부터 유래한 종들을 포함하지 않는다. 따라서, 일부 실시예들에 있어서, 상기 흡착된 실리콘 전구체는 Ar으로부터 유래하여 플라스마에 의하여 생성된 반응성 종들과 접촉되지 않는다.
[0074] 최종 막의 조성을 조절하기 위하여 원하는 바에 따라 추가적인 시기들(phases)이 추가될 수 있으며, 시기들이 제거될 수도 있다.
[0075] 반응물들의 하나 이상은 Ar 또는 He과 같은 캐리어 가스의 도움으로 제공될 수 있다. 일부 실시예들에 있어서, 상기 실리콘 전구체와 상기 제 2 반응물은 캐리어 가스의 도움으로 제공된다.
[0076] 일부 실시예들에 있어서, 두 개의 시기들이 오버랩되거나 또는 결합될 수도 있다. 예를 들면, 상기 실리콘 전구체와 상기 제 2 반응물은 부분적으로 또는 완전히 오버랩된 펄스들로 동시에 제공될 수 있다. 또한, 비록 제 1 시기 및 제 2 시기로 지칭되었지만, 상기 시기들의 순서는 변화될 수 있으며 ALD 사이클은 상기 시기들의 임의의 하나로 시작될 수 있다. 즉, 달리 특정되지 않는 한, 상기 반응물들은 임의의 순서로 제공될 수 있으며, 상기 공정은 임의의 반응물로 시작될 수 있다.
[0077] 뒤에서 더욱 상세하게 설명하는 바와 같이, 실리콘 질화물 막을 퇴적시키기 위한 일부 실시예들에 있어서, 하나 이상의 퇴적 사이클들은 실리콘 전구체의 제공으로 시작하여 제 2 전구체가 뒤따를 수 있다. 다른 실시예들에 있어서, 퇴적은 제 2 전구체의 제공으로 시작하여 실리콘 전구체가 뒤따를 수 있다.
[0078] 일부 실시예들에 있어서, 반도체 작업 대상물과 같이 그 위에 퇴적을 시키고자 하는 기판이 반응기 내로 장입된다. 상기 반응기는 집적 회로의 형성에 있어서 상이한 다양한 공정들이 수행되는 클러스터 툴의 일부 일 수 있다. 일부 실시예들에 있어서, 흐름-타입(flow-type) 반응기가 사용된다. 일부 실시예들에 있어서, 샤워헤드 타입의 반응기가 사용된다. 일부 실시예들에 있어서, 공간 분할된 반응기가 사용된다. 일부 실시예들에 있어서, 다량 생산 가능한 단일 웨이퍼 ALD 반응기가 사용된다. 다른 실시예들에 있어서, 다수의 기판들을 포함하는 뱃치(batch) 반응기가 사용된다. 뱃치 ALD 반응기들이 사용되는 실시예들에 있어서, 상기 기판들의 수는 10 내지 200의 범위에 있는 것이 바람직하고, 50 내지 150의 범위에 있는 것이 더욱 바람직하고, 100 내지 130의 범위에 있는 것이 가장 바람직하다.
[0079] 특히 ALD 공정들을 향상시키기 위하여 설계된, 예시적인 단일 웨이퍼 반응기들은 Pulsar 2000 및 Pulsar 3000의 상표명으로 ASM America, Inc. (Phoenix, AZ)로부터, 그리고 Eagle XP, XP8 및 Dragon의 상표명으로 ASM Japan K.K (Tokyo, Japan)로부터 상용으로 입수 가능하다. 특히 ALD 공정들을 향상시키기 위하여 설계된, 예시적인 뱃치 ALD 반응기들은 A400및 A412의 상표명으로 ASM Europe B.V (Almere, Netherlands)로부터 상용으로 입수 가능하다.
[0080] 일부 실시예들에 있어서, 만일 필요하다면, 상기 작업 소재의 노출된 표면들은 상기 ALD 공정의 제 1 시기와 반응할 반응점들을 제공하도록 전처리될 수 있다. 일부 실시예들에 있어서, 별도의 전처리 단계가 요구되지 않는다. 일부 실시예들에 있어서, 상기 기판은 원하는 표면 종결을 제공하도록 전처리된다. 일부 실시예들에 있어서, 상기 기판은 플라스마로 전처리된다.
[0081] 반응물 펄스들 사이에서 과량의 반응물 및, 만일 있다면, 반응 부산물들은 기판의 근처로부터, 특히 기판 표면으로부터 제거된다. 일부 실시예들에 있어서, 상기 반응 챔버는 반응물 펄스들 사이에서, 예를 들면 불활성 가스로 퍼지함으로써 퍼지된다. 각 반응물의 흐름 속도 및 시간은 조정 가능하며, 이는 제거 단계도 마찬가지이고 이는 상기 막들의 품질과 다양한 성질들의 제어를 가능하게 한다.
[0082] 위에서 언급된 바와 같이, 일부 실시예들에 있어서, 각 퇴적 사이클 동안 또는 전체 ALD 공정 동안 상기 반응 챔버에 가스가 연속적으로 제공되고, 상기 반응성 종들은 상기 반응 챔버 내에서 또는 상기 반응 챔버의 상류에서 상기 가스 내에 플라스마를 생성함으로써 제공된다. 일부 실시예들에 있어서, 상기 가스는 질소를 포함한다. 일부 실시예들에 있어서, 상기 가스는 질소이다. 일부 실시예들에 있어서, 상기 가스는 헬륨 또는 아르곤을 포함할 수 있다. 일부 실시예들에 있어서, 상기 가스는 헬륨 또는 질소이다. 상기 가스의 유동은 제 1 반응물 및/또는 제 2 반응물(또는 반응성 종들)을 위한 퍼지 가스로서의 역할을 할 수도 있다. 예를 들면, 질소의 유동은 제 1 실리콘 전구체를 위한 퍼지 가스로서의 역할을 할 수 있고, 또한 (반응성 종들의 소스로서) 제 2 반응물로서의 역할을 할 수도 있다. 일부 실시예들에 있어서, 질소, 아르곤, 또는 헬륨은 제 1 전구체를 위한 퍼지 가스 및 상기 실리콘 전구체를 실리콘 질화물 막으로 전환시키기 위한 여기 종들의 소스로서의 역할을 할 수 있다. 일부 실시예들에 있어서, 플라스마가 생성되는 가스는 아르곤을 포함하지 않으며, 흡착된 실리콘 전구체는 Ar으로부터 플라스마에 의하여 생성된 반응성 종들과는 접촉하지 않는다.
[0083] 상기 사이클은 원하는 두께와 조성을 갖는 막이 얻어질 때까지 반복된다. 일부 실시예들에 있어서, 원하는 특성을 갖는 막을 얻기 위하여 흐름 속도, 흐름 시간, 퍼지 시간, 및/또는 반응물들 그 자체와 같은 퇴적 파라미터들은 상기 ALD 공정 동안 하나 이상의 퇴적 사이클들에서 변화될 수 있다. 일부 실시예들에 있어서, 퇴적 사이클에서 또는 퇴적 공정에서 수소 및/또는 수소 플라스마가 제공되지 않는다.
[0084] 상기 용어 "펄스"는 소정 길이의 시간에 대하여 상기 반응 챔버 내부로 반응물을 공급하는 것을 포함하는 것으로 이해될 수 있다. 상기 용어 "펄스"는 펄스의 길이 또는 지속시간을 한정하지 않으며, 펄스는 임의의 길이의 시간일 수 있다.
[0085] 일부 실시예들에 있어서, 상기 실ㄹ콘 반응물이 먼저 제공된다. 최초의 표면 종결 이후에, 만일 필요하거나 원한다면 제 1 실리콘 반응물 펄스가 작업 소재로 공급된다. 일부 실시예들에 따라, 상기 제 1 반응물 펄스는 캐리어 가스의 흐름 및 원하는 작업 소재의 표면과 반응성을 갖는 H2SiI2와 같은 휘발성 실리콘 종들을 포함한다. 따라서, 상기 실리콘 반응물은 이들 작업 소재의 표면들 위에 흡착된다. 상기 제 1 반응물 펄스는 상기 제 1 반응물 펄스의 임의의 과량의 구성 성분들이 이 공정에 의하여 형성된 분자층과 추가 반응하지 않도록 상기 작업 소재의 표면을 자기-포화시킨다.
[0086] 상기 제 1 실리콘 반응물 펄스는 기체 상태로 공급되는 것이 바람직하다. 만일 공정 조건들 하에서 상기 종들이 노출된 표면들을 포화시키기 위하여 상기 종들을 상기 작업 소재로 충분한 농도로 전달하기에 충분한 증기압을 보인다면 상기 실리콘 전구체 가스는 본 설명의 목적을 위하여 "휘발성"인 것으로 고려된다.
[0087] 일부 실시예들에 있어서, 상기 실리콘 반응물 펄스는 약 0.05초 내지 약 5.0초이거나, 약 0.1초 내지 약 3초이거나, 또는 약 0.2초 내지 약 1.0초이다. 최적의 펄스화 시간은 특정 환경들에 기초하여 통상의 기술자에 의하여 용이하게 결정될 수 있다.
[0088] 일부 실시예들에 있어서, 상기 실리콘 반응물의 소모 속도는 상기 반응 공간으로의 전구체의 원하는 도스(dose)를 제공하도록 선택된다. 반응물 소모는 반응물 소스 용기와 같은 반응물 소스로부터 소모되는 반응물의 양을 지칭하며, 특정 횟수의 퇴적 사이클들 전후의 상기 반응물 소스의 무게를 측정하고 이들 사이의 질량 차이를 사이클 횟수로 나눔으로써 결정될 수 있다. 일부 실시예들에 있어서, 상기 실리콘 반응물의 소모는 약 0.1 mg/사이클보다 크다. 일부 실시예들에 있어서, 상기 실리콘 반응물의 소모는 약 0.1 mg/사이클 내지 약 50 mg/사이클이거나, 약 0.5 mg/사이클 내지 약 30 mg/사이클이거나, 또는 약 2 mg/사이클 내지 약 20 mg/사이클이다. 일부 실시예들에 있어서, 상기 실리콘 반응물의 바람직한 최소의 소모는 상기 반응기의 가열되는 표면적과 같은 상기 반응기의 치수들에 의하여 적어도 부분적으로 정의될 수 있다. 일부 실시예들에 있어서, 300 mm 실리콘 웨이퍼들에 대하여 설계된 샤워헤드 반응기에서 실리콘 반응물의 소모는 약 0.5 mg/사이클보다 더 크거나, 또는 약 2.0 mg/사이클보다 더 크다. 일부 실시예들에 있어서, 300 mm 실리콘 웨이퍼들에 대하여 설계된 샤워헤드 반응기에서 실리콘 반응물의 소모는 약 5 mg/사이클보다 더 크다. 일부 실시예들에 있어서, 300 mm 실리콘 웨이퍼들에 대하여 설계된 샤워헤드 반응기에서 실리콘 반응물의 소모는 약 400℃ 이하의 반응 온도들에서 약 1 mg/사이클보다 더 크고, 5 mg/사이클보다 더 큰 것이 바람직하다.
[0089] 기판 표면 위에 분자의 층이 흡착되기에 충분한 시간이 경과한 후, 과량의 제 1 실리콘 반응물은 상기 반응 공간으로부터 제거된다. 일부 실시예들에 있어서, 상기 과량의 제 1 반응물은, 상기 반응 공간으로부터 과량의 반응물들 및, 만일 있다면, 반응 부산물들을 확산시키거나 퍼지하기에 충분한 시간 동안 캐리어 가스 또는 퍼지 가스의 흐름을 계속하면서, 상기 제 1 화학 물질의 흐름을 중단함으로써 퍼지된다. 일부 실시예들에 있어서, 과량의 상기 제 1 전구체는 상기 ALD 사이클을 통하여 내내 유동되는 질소 또는 아르곤과 같은 불활성 가스의 도움으로 퍼지된다.
[0090] 일부 실시예들에 있어서, 상기 제 1 반응물은 약 0.1초 내지 약 10초 동안, 약 0.3초 내지 약 5초 동안, 또는 약 0.3초 내지 약 1초 동안 퍼지된다. 상기 실리콘 반응물의 제공과 제거는 상기 ALD 사이클의 제 1 시기 또는 실리콘 시기로 고려될 수 있다.
[0091] 상기 제 2 시기에서, 질소 플라스마와 같은 반응성 종들을 포함하는 제 2 반응물이 상기 작업 소재에 제공된다. 일부 실시예들에 있어서, 질소, N2가 각 ALD 사이클 동안 상기 반응 챔버로 연속적으로 유동된다. 질소 플라스마는 상기 반응 챔버 내에서 질소 내에 또는 상기 반응 챔버의 상류에서, 예를 들면 원격 플라스마 생성기를 통하여 질소를 유동시킴으로써 플라스마를 생성함으로써 형성될 수 있다.
[0092] 일부 실시예들에 있어서, 유동되는 H2 및 N2 가스들 내에 플라스마가 생성된다. 일부 실시예들에 있어서, 상기 H2 및 N2는 상기 플라스마가 점화되거나 또는 질소 및 수소 원자들 또는 라디칼들이 형성되기 전에 상기 반응 챔버로 제공된다. 어떤 이론에 한정되지 않으며, 수소가 리간드 제거 단계에 이로운 영향을 미칠 수 있는 것으로, 즉 잔여 리간드들의 일부를 제거하거나 또는 막 품질에 다른 이로운 영향을 가질 수 있는 것으로 믿어진다. 일부 실시예들에 있어서, 상기 H2 및 N2는 상기 반응 챔버로 연속적으로 제공되고, 플라스마, 원자들 및 라디칼들을 포함하는 질소 및 수소가 생성되거나, 필요할 때 공급된다.
[0093] 통상, 예를 들면 질소 플라스마를 함유하는 상기 제 2 반응물은 약 0.1초 내지 약 10초 동안 공급된다. 일부 실시예들에 있어서, 예를 들면 질소 플라스마와 같은 상기 제 2 반응물은 약 0.1초 내지 약 10초 동안, 약 0.5초 내지 약 5초 동안, 또는 약 0.5초 내지 약 2.0초 동안 제공된다. 그러나, 반응기 타입, 기판 타입 및 그의 표면적에 따라, 제 2 반응물의 펄스화 시간은 약 10초보다 더 길 수 있다. 일부 실시예들에 있어서, 펄스화 시간들은 분의 오더(order)를 가질 수 있다. 최적의 펄스화 시간은 특정 환경들에 기초하여 통상의 기술자가 용이하게 결정할 수 있다.
[0094] 일부 실시예들에 있어서, 상기 제 2 반응물은 둘 이상의 식별되는 펄스들로 제공된다. 상기 둘 이상의 펄스들의 어느 것들의 사이에도 다른 반응물이 도입되지 않는다. 예를 들면, 일부 실시예들에 있어서, 질소 플라스마가 둘 이상의, 바람직하게는 두 개의 순차적 펄스들로 공급된다. 상기 순차적 펄스들의 사이에는 Si-전구체가 도입되지 않는다. 일부 실시예들에 있어서, 질소 플라스마가 제공되는 동안, 둘 이상의 순차적 플라스마 펄스들은 제 1 기간의 시간 동안 플라스마 방전을 제공하는 단계, 제 2 기간의 시간, 예를 들면, 약 0.1초 내지 약 10초, 약 0.5초 내지 약 5초, 또는 약 1.0초 내지 약 4.0초의 시간 동안 상기 플라스마 방전을 끄는 단계, 및 다른 전구체의 도입 또는 제거 단계 이전에, 예를 들면 상기 Si-전구체 또는 퍼지 단계 이전에 제 3 기간의 시간 동안 이를 다시 여기시키는 단계에 의하여 생성된다. 플라스마의 추가적인 펄스들도 동일한 방법으로 도입될 수 있다. 일부 실시예들에 있어서, 플라스마는 각 펄스들에서 동일한 기간의 시간 동안 점화된다.
[0095] 일부 실시예들에 있어서, 질소 플라스마는 약 10W 내지 약 2000W, 바람직하게는 약 50W 내지 약 1000W, 더욱 바람직하게는 약 100W 내지 약 500W의 RF 파워를 적용함으로써 생성될 수 있다. 일부 실시예들에 있어서, 상기 RF 파워 밀도는 약 0.02 W/cm2 내지 약 2.0 W/cm2, 바람직하게는 약 0.05 W/cm2 내지 약 1.5 W/cm2일 수 있다. 상기 RF 파워는 상기 질소 플라스마 펄스 시간 동안 유동되는 질소, 상기 반응 챔버를 통과하여 연속적으로 유동되는 질소, 및/또는 원격 플라스마 생성기를 통하여 유동되는 질소에 적용될 수 있다. 따라서 일부 실시예들에 있어서, 상기 플라스마는 인 시투로 생성되고, 다른 실시예들에 있어서 상기 플라스마는 원격적으로 생성된다. 일부 실시예들에 있어서, 샤워헤드 반응기가 사용되고 (그 위에 기판이 위치되는) 서셉터와 샤워헤드 플레이트 사이에 플라스마가 생성된다. 일부 실시예들에 있어서, 상기 서셉터와 샤워헤드 플레이트 사이의 간격은 약 0.1cm 내지 약 20cm이거나, 약 0.5cm 내지 약 5cm이거나, 또는 약 0.8cm 내지 약 3.0cm이다.
[0096] 이전에 흡착된 분자층을 상기 질소 플라스마 펄스로 완전히 포화시키고 반응시키기에 충분한 기간의 시간 이후에, 모든 과량의 반응물과 반응 부산물들은 상기 반응 공간으로부터 제거된다. 상기 제 1 반응물을 제거할 때와 마찬가지로, 이 단계는 반응성 종들의 생성을 중단하는 단계 및 과량의 반응성 종들과 휘발성 반응 부산물들이 상기 반응 공간으로부터 퍼지되고 밖으로 확산되기에 충분한 시간 동안 질소 또는 아르곤과 같은 불활성 가스의 유동을 계속하는 단계를 포함할 수 있다. 다른 구현예들에 있어서, 별도의 퍼지 가스가 사용될 수 있다. 일부 실시예들에 있어서, 상기 퍼지는 약 0.1초 내지 약 10초, 약 0.1초 내지 약 4초, 또는 약 0.1초 내지 약 0.5초일 수 있다. 또한, 상기 질소 플라스마의 제공과 제거는 실리콘 질화물 원자층 퇴적 사이클에 제 2 시기인 반응성 종 시기를 나타낸다.
[0097] 상기 두 개의 시기들은 함께 하나의 ALD 사이클을 나타낸다. 상기 ALD 사이클은 원하는 두께의 실리콘 질화물 박막들을 형성하기 위하여 반복된다. 상기 ALD 사이클은 여기서 일반적으로 실리콘 시기로 시작하는 것으로 언급되지만, 다른 실시예들에서는 상기 사이클이 상기 반응성 종 시기로 시작할 수 있음이 고려된다. 당 기술분야의 통상의 기술자는 상기 제 1 전구체 시기가 일반적으로 이전 사이클의 마지막 시기에 의하여 잔존하는 종결과 반응함을 인식할 것이다. 따라서, 기판 표면 위에 이전에 흡착된 반응물이 없을 수 있고 반응 공간 내에 반응물이 존재하지 않을 수 있지만, 만일 상기 반응성 종 시기가 제 1 ALD 사이클에서 제 1 시기라면, 후속되는 사이클들에서 상기 반응성 종 시기는 실리콘 시기를 뒤따르는 효과를 가져올 것이다. 일부 실시예들에 있어서, 하나 이상의 상이한 ALD 사이클들이 퇴적 공정 내에 제공된다.
[0098] 본 개시의 일부 실시예들에 따르면, PEALD 반응들은 약 25℃ 내지 약 700℃, 바람직하게는 약 50℃ 내지 약 600℃, 더욱 바람직하게는 약 100℃ 내지 약 450℃, 그리고 가장 바람직하게는 약 200℃ 내지 약 400℃의 온도 범위에서 수행될 수 있다. 일부 실시예들에 있어서, 최적의 반응기 온도는 최대로 허용 가능한 열적 여유(thermal budget)에 의하여 제한될 수 있다. 따라서 일부 실시예들에 있어서, 상기 반응 온도는 약 300℃ 내지 약 400℃이다. 일부 실시예들에 있어서, 상기 최대 온도는 약 400℃ 근방이며, 따라서 상기 PEALD 공정은 그 반응 온도에서 진행된다.
[0099] 본 개시의 일부 실시예들에 따르면, 공정 동안 상기 반응 챔버의 압력은 약 0.01torr 내지 약 50torr, 바람직하게는 약 0.1torr 내지 약 10torr로 유지된다.
Si 전구체들
[0100] 수많은 적절한 실리콘 전구체들이 여기에 개시된 PEALD 공정들에서 사용될 수 있다. 적절한 전구체들의 적어도 일부는 다음의 일반식을 가질 수 있다:
(1) H2n+2-y-zSinXyAz
여기서, n=1-10, y=1 또는 그 이상 (상한은 2n+2-z), z=0 또는 그 이상 (상한은 2n+2-y), X는 I 또는 Br, 그리고 A는 X가 아닌 할로겐, 바람직하게는 n=1-5이고, 더욱 바람직하게는 n=1-3이고, 가장 바람직하게는 1-2이다.
[0101] 일부 실시예들에 따르면, 실리콘 전구체들은 하나 이상의 사이클릭 화합물들을 포함할 수 있다. 그러한 전구체들은 다음의 일반식을 가질 수 있다:
(2) H2n-y-zSinXyAz
상기 식 (2)의 화합물은 사이클릭 화합물이고, n=3-10, y=1 또는 그 이상 (상한은 2n-z), z=0 또는 그 이상 (상한은 2n-y), X는 I 또는 Br이고, 그리고 A는 X가 아닌 할로겐이고, 바람직하게는 n=3-6이다.
[0102] 일부 실시예들에 있어서, 실리콘 전구체들은 하나 이상의 요오도실란류를 포함할 수 있다. 그러한 전구체들은 다음 일반식을 가질 수 있다:
(3) H2n+2-y-zSinIyAz
여기서, n=1-10, y=1 또는 그 이상 (상한은 2n+2-z), z=0 또는 그 이상 (상한은 2n+2-y), 그리고 A는 I가 아닌 할로겐이고, 바람직하게는 n=1-5이고, 더욱 바람직하게는 n=1-3이고 가장 바람직하게는 1-2이다.
[0103] 일부 실시예들에 따르면, 일부 실리콘 전구체들은 하나 이상의 사이클릭 요오도실란류들을 포함할 수 있다. 그러한 전구체들은 다음 일반식을 가질 수 있다:
(4) H2n-y-zSinIyAz
여기서 식 (4)의 화합물은 사이클릭 화합물이고, n=3-10, y=1 또는 그 이상 (상한은 2n-z), z=0 또는 그 이상 (상한은 2n-y), 그리고 A는 I가 아닌 할로겐이고, 바람직하게는 n=3-6이다.
[0104] 일부 실시예들에 따르면, 일부 실리콘 전구체들은 브로모실란류를 하나 이상 포함할 수 있다. 이러한 전구체들은 다음 일반식을 가질 수 있다:
(5) H2n+2-y-zSinBryAz
여기서, n=1-10, y=1 또는 그 이상 (상한은 2n+2-z), z=0 또는 그 이상 (상한은 2n+2-y), 그리고 A는 Br이 아닌 할로겐이고, 바람직하게는 n=1-5이고 더욱 바람직하게는 n=1-3이고 가장 바람직하게는 1-2이다.
[0105] 일부 실시예들에 따르면, 일부 실리콘 전구체들은 하나 이상의 사이클릭 브로모실란류를 포함할 수 있다. 그러한 전구체들은 다음 일반식을 가질 수 있다:
(6) H2n-y-zSinBryAz
여기서 식 (6)의 화합물은 사이클릭 화합물이고, n=3-10, y=1 또는 그 이상 (상한은 2n-z), z=0 또는 그 이상 (상한은 2n-y), 그리고 A는 Br이 아닌 할로겐이고, 바람직하게는 n=3-6이다.
[0106] 일부 실시예들에 따르면, 바람직한 실리콘 전구체들은 하나 이상의 요오도실란류를 포함한다. 이러한 전구체들은 다음의 일반식을 가질 수 있다:
(7) H2n+2-ySinIy
여기서, n=1-5, y=1 또는 그 이상 (상한은 2n+2), 바람직하게는 n=1-3이고 더욱 바람직하게는 n=1-2이다.
[0107] 일부 실시예들에 따르면, 바람직한 실리콘 전구체들은 하나 이상의 브로모실란류를 포함한다. 그러한 전구체들은 다음의 일반식을 가질 수 있다:
(8) H2n+2-ySinBry
여기서, n=1-5, y=1 또는 그 이상 (상한은 2n+2), 바람직하게는 n=1-3이고 더욱 바람직하게는 n=1-2이다.
[0108] PEALD 공정의 일부 실시예들에 따르면, 적절한 실리콘 전구체들은 상기 일반식 (1) 내지 (8) 중의 어느 하나를 갖는 화합물을 적어도 포함할 수 있다. 일반식 (1) 내지 (8)에서, 할로겐화물/할로겐류는 F, Cl, Br 및 I를 포함할 수 있다. 일부 실시예들에 있어서, 실리콘 전구체는 SiI4, HSiI3, H2SiI2, H3SiI, Si2I6, HSi2I5, H2Si2I4, H3Si2I3, H4Si2I2, H5Si2I, 또는 Si3I8를 포함한다. 일부 실시예들에 있어서, 실리콘 전구체는 HSiI3, H2SiI2, H3SiI, H2Si2I4, H4Si2I2, 및 H5Si2I 중의 하나를 포함한다. 일부 실시예들에 있어서, 상기 실리콘 전구체는 HSiI3, H2SiI2, H3SiI, H2Si2I4, H4Si2I2, 및 H5Si2I과 이들의 임의의 조합들을 포함하는 중에서 둘, 셋, 넷, 다섯 또는 여섯을 포함한다.
[0109] 특정 실시예들에 있어서, 상기 Si 전구체는 H2SiI2이다.
[0110] 일부 실시예들에 있어서, 후술하는 화학식 (9) 내지 (28)의 Si 전구체들은 PEALD 공정들에서 사용될 수 있다.
N 전구체들
[0111] 위에서 논의된 바와 같이, 본 개시에 따른 제 2 반응물은 질소 전구체를 포함할 수 있다. 일부 실시예들에 있어서, PEALD 공정에서 상기 제 2 반응물은 반응성 종들을 포함할 수 있다. 적절한 플라스마 조성물들은 질소 플라스마, 질소의 라디칼들, 또는 원자 질소를 하나 이상의 형태로 포함한다. 일부 실시예들에 있어서, 상기 반응성 종들은 N-함유 플라스마 또는 N을 포함하는 플라스마를 포함할 수 있다. 일부 실시예들에 있어서, 상기 반응성 종들은 N-함유 종들을 포함하는 플라스마를 포함할 수 있다. 일부 실시예들에 있어서, 상기 반응성 종들은 질소 원자들 및/또는 N* 라디칼들을 포함할 수 있다. 일부 실시예들에 있어서, 수소의 플라스마, 수소의 라디칼들, 또는 원자 수소가 하나 또는 그 이상의 형태로 제공될 수 있다. 일부 실시예들에 있어서, 플라스마는 He, Ne, Ar, Kr, 및 Xe과 같은 불활성 가스, 바람직하게는 Ar 또는 He을 플라스마 형태로서, 또는 원자 형태로서 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 반응물은 Ar과 같이 불활성 가스로부터 유래한 임의의 종들을 포함하지 않는다. 따라서, 일부 실시예들에 있어서, 플라스마는 불활성 가스를 포함하는 가스에서는 생성되지 않는다.
[0112] 따라서, 일부 실시예들에 있어서, 상기 제 2 반응물은 NH3 및 N2H4와 같은 N과 H를 모두 갖는 화합물들, 의 혼합물, 또는 N-H 본드를 갖는 다른 전구체들로부터 형성된 플라스마를 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 2 반응물은, 적어도 부분적으로, N2로부터 형성될 수 있다. 일부 실시예들에 있어서, 상기 제 2 반응물은, 적어도 부분적으로, 로부터 형성될 수 있다. 여기서 상기 N2 및 H2는 약 20:1 내지 약 1:20, 바람직하게는 약 10:1 내지 약 1:10, 더욱 바람직하게는 약 5:1 내지 약 1:5, 그리고 가장 바람직하게는 약 1:2 내지 약 4:1, 그리고 일부 경우들에 있어서는 1:1의 흐름 비율(N2/H2)로 제공된다.
[0113] 일부 실시예들에 있어서, 상기 제 2 반응물은 기판 또는 반응 공간으로부터 떨어져서 플라스마 방전을 통하여 원격적으로("원격 플라스마") 형성될 수 있다. 일부 실시예들에 있어서, 상기 제 2 반응물은 상기 기판의 근처에서 또는 기판의 직접 위에서 형성될 수 있다.("직접 플라스마")
[0114] 도 3은 일부 실시예들에 따라 실리콘 질화물 박막을 퇴적시키기 위하여 사용될 수 있는 실리콘 질화물 PEALD 퇴적 사이클을 일반적으로 나타낸 흐름도이다. 특정 실시예들에 따르면, 실리콘 질화물 박막은 다중의 실리콘 질화물 퇴적 사이클들을 포함하는 PEALD-타입의 공정에 의하여 기판 위에 형성된다. 각 실리콘 질화물 퇴적 사이클(300)은 다음 단계들을 포함한다:
[0115] (1) 실리콘 종들이 기판 표면 위에 흡착되도록 기판을 기화된 실리콘 전구체와 접촉시키는 단계(310);
[0116] (2) 반응 공간 내부로 질소 전구체를 도입하는 단계(320);
[0117] (3) 질소 전구체로부터 반응성 종들을 생성하는 단계(330); 및
[0118] (4) 상기 기판을 상기 반응성 종들과 접촉시키고, 그에 의하여 흡착된 상기 실리콘 화합물을 실리콘 질화물로 전환시키는 단계(340).
[0119] 질소는 흡착된 실리콘 화합물을 실리콘 질화물로 전환시키기 적절한 시기들에 질소 플라스마가 형성되면서 상기 사이클 내내 연속적으로 유동될 수 있다.
[0120] 위에서 언급된 바와 같이, 일부 실시예들에 있어서, 상기 기판은 상기 실리콘 화합물 및 상기 반응성 종들과 동시에 접촉될 수 있다. 한편 다른 실시예들에서는 이들 반응물들이 별도로 제공된다.
[0121] 상기 접촉시키는 단계들은 원하는 두께 및 조성의 박막이 얻어질 때까지 반복된다(350). 과량의 반응물들은 각 접촉시키는 단계, 즉, 단계들 (310) 및 (340)의 이후에 반응 공간으로부터 퍼지될 수 있다.
[0122] 일부 실시예들에 따르면, 실리콘 질화물 박막은 PEALD 공정을 이용하여 FinFET 응용에서와 같이 3차원 지형들(features)을 갖는 기판 위에 퇴적된다. 상기 공정은 다음 단계들을 포함할 수 있다:
[0123] (1) 반응 공간 내에 3차원 구조물을 포함하는 기판이 제공되는 단계;
[0124] (2) SiI2H2와 같은 실리콘-함유 전구체를 실리콘-함유 종들이 상기 기판의 표면에 흡착되도록 상기 반응 공간 내부로 도입하는 단계;
[0125] (3) 상기 반응 공간으로부터 과량의 실리콘-함유 전구체 및 반응 부산물들을 제거하는 단계;
[0126] (4) 상기 반응 공간 내부로 N2, NH3, N2H4, 또는 N2 및 H2와 같은 질소-함유 전구체를 도입하는 단계;
[0127] (5) 상기 질소 전구체로부터 반응성 종들을 생성하는 단계;
[0128] (6) 상기 기판을 상기 반응성 종들과 접촉시키는 단계; 및
[0129] (7) 과량의 질소 원자들, 플라스마, 또는 라디칼들 및 반응 부산물들을 제거하는 단계;
[0130] 원하는 두께의 실리콘 질화물 막이 형성될 때까지 단계들 (2) 내지 (7)은 반복될 수 있다.
[0131] 일부 실시예들에 있어서, 단계들 (5) 및 (6)은 질소 원자들, 플라스마 또는 라디칼들이 원격적으로 형성되어 상기 반응 공간으로 제공되는 단계에 의하여 대체된다.
[0132] 일부 실시예들에 있어서, 상기 PEALD 공정은 약 200℃ 내지 약 400℃의 온도, 약 300℃ 내지 약 400℃의 온도, 또는 약 400℃의 온도에서 수행된다.
열적 ALD 공정들
[0133] 여기에 제공되는 방법들도 열적 ALD 공정들에 의하여 기판 표면들 위에 실리콘 질화물 막이 퇴적되는 것을 가능하게 한다. 3차원 구조물과 같은 기하학적으로 도전적인 응용들도 이러한 열적 공정들로 가능하다. 일부 실시예들에 따르면, 열적 원자층 증착(atomic layer deposition, ALD) 타입의 공정들은 집적 회로 작업 소재와 같은 기판들 위에 실리콘 질화물 막들을 형성하기 위하여 사용된다.
[0134] 반응 챔버 내에 기판 또는 작업 소재가 배치되고, 교대적으로 반복되고 자기-제한적인 표면 반응들이 시행된다. 바람직하게, 실리콘 질화물 막들을 형성하기 위하여 각 열적 ALD 사이클은 적어도 두 개의 구분되는 시기들을 포함한다. 상기 반응 공간으로부터 반응물을 제공하고 제거하는 것을 하나의 시기로 간주될 수 있다. 제 1 시기에서, 실리콘을 포함하는 제 1 반응물이 제공되고, 상기 기판 표면 위에 대략 하나보다 많지 않은 모노레이어를 형성한다. 이 반응물은 "실리콘 전구체" 또는 "실리콘 반응물"로 여기서 지칭될 수도 있으며, 예를 들면, H2SiI2일 수 있다. 제 2 시기에서, 질소-함유 화합물을 포함하는 제 2 반응물이 제공되고, 흡착된 실리콘 전구체와 반응하여 SiN을 형성한다. 이 제 2 반응물은 "질소 전구체" 또는 "질소 반응물"로 여기서 지칭될 수도 있다. 상기 제 2 반응물은 NH3 또는 다른 적절한 질소-함유 화합물을 포함할 수 있다. 추가적인 시기들이 추가될 수 있으며, 최종 막의 조성을 조절하기 위하여 원하는 바에 따라 시기들이 제거될 수도 있다.
[0135] 하나 이상의 반응물들이 Ar 또는 He와 같은 캐리어 가스의 도움으로 제공될 수 있다. 일부 실시예들에 있어서, 상기 실리콘 전구체 및 상기 질소 전구체는 캐리어 가스의 도움으로 제공된다.
[0136] 일부 실시예들에 있어서, 두 개의 상기 시기들이 오버랩될 수도 있고, 또는 결합될 수도 있다. 예를 들면, 상기 실리콘 전구체 및 상기 질소 전구체는 부분적으로 또는 완전히 오버랩되는 펄스들로 동시에 제공될 수 있다. 또한, 제 1 시기 및 제 2 시기, 그리고 상기 제 1 반응물 및 상기 제 2 반응물로 지칭되었지만, 상기 시기들의 순서 및 반응물들의 제공 순서는 변경될 수 있고, ALD 사이클은 상기 시기들의 임의의 하나 또는 상기 반응물들의 임의의 하나로 시작될 수 있다. 즉, 특별히 달리 특정되지 않는 한, 상기 반응물들은 임의의 순서로 제공될 수 있고, 상기 공정은 임의의 상기 반응물들로 시작될 수 있다.
[0137] 이하에서 더욱 상세하게 논의되는 바와 같이, 실리콘 질화물 막을 퇴적시키기 위한 일부 실시예들에 있어서, 하나 이상의 퇴적 사이클들은 통상 실리콘 전구체의 제공으로 시작하여 질소 전구체가 뒤따르게 된다. 일부 실시예들에 있어서, 하나 이상의 퇴적 사이클들은 질소 전구체의 제공으로 시작하여 실리콘 전구체가 뒤따르게 된다.
[0138] 다시, Ar 또는 He과 같은 캐리어 가스의 도움으로 하나 이상의 반응물들이 제공될 수 있다. 일부 실시예들에 있어서, 상기 질소 전구체가 캐리어 가스의 도움으로 제공된다. 일부 실시예들에 있어서, 비록 제 1 시기 및 제 2 시기, 그리고 제 1 반응물 및 제 2 반응물로 지칭되었지만, 상기 시기들의 순서와 그에 따른 반응물들의 제공 순서는 변화될 수 있으며 ALD 사이클은 상기 시기들의 임의의 하나로 시작될 수 있다.
[0139] 일부 실시예들에 있어서, 반도체 작업 대상물과 같이 그 위에 퇴적을 시키고자 하는 기판이 반응기 내로 장입된다. 상기 반응기는 집적 회로의 형성에 있어서 상이한 다양한 공정들이 수행되는 클러스터 툴의 일부 일 수 있다. 일부 실시예들에 있어서, 흐름-타입(flow-type) 반응기가 사용된다. 일부 실시예들에 있어서, 샤워헤드 타입의 반응기가 사용된다. 일부 실시예들에 있어서, 공간 분할된 반응기가 사용된다. 일부 실시예들에 있어서, 다량 생산 가능한 단일 웨이퍼 ALD 반응기가 사용된다. 다른 실시예들에 있어서, 다수의 기판들을 포함하는 뱃치(batch) 반응기가 사용된다. 뱃치 ALD 반응기들이 사용되는 실시예들에 있어서, 상기 기판들의 수는 10 내지 200의 범위에 있는 것이 바람직하고, 50 내지 150의 범위에 있는 것이 더욱 바람직하고, 100 내지 130의 범위에 있는 것이 가장 바람직하다.
[0140] 특히 ALD 공정들을 향상시키기 위하여 설계된, 예시적인 단일 웨이퍼 반응기들은 Pulsar 2000 및 Pulsar 3000의 상표명으로 ASM America, Inc. (Phoenix, AZ)로부터, 그리고 Eagle XP, XP8 및 Dragon의 상표명으로 ASM Japan K.K (Tokyo, Japan)로부터 상용으로 입수 가능하다. 특히 ALD 공정들을 향상시키기 위하여 설계된, 예시적인 뱃치 ALD 반응기들은 A400및 A412의 상표명으로 ASM Europe B.V (Almere, Netherlands)로부터 상용으로 입수 가능하다.
[0141] 일부 실시예들에 있어서, 만일 필요하다면, 상기 작업 소재의 노출된 표면들은 상기 ALD 공정의 제 1 시기와 반응할 반응점들을 제공하도록 전처리될 수 있다. 일부 실시예들에 있어서, 별도의 전처리 단계가 요구되지 않는다. 일부 실시예들에 있어서, 상기 기판은 원하는 표면 종결을 제공하도록 전처리된다.
[0142] 일부 실시예들에 있어서, 반응물 펄스들 사이에서 과량의 반응물 및, 만일 있다면, 반응 부산물들은 기판의 근처로부터, 예를 들면 기판 표면으로부터 제거된다. 일부 실시예들에 있어서, 과량의 반응물과 반응 부산물들은 반응물 펄스들 사이에서, 예를 들면 불활성 가스로 퍼지함으로써 상기 반응 챔버로부터 제거된다. 각 반응물의 흐름 속도 및 시간은 조정 가능하며, 이는 퍼지 단계도 마찬가지이고 이는 상기 막들의 품질과 다양한 성질들의 제어를 가능하게 한다. 일부 실시예들에 있어서, 과량의 반응물 및/또는 반응 부산물들을 제거하는 단계는 상기 기판을 이동시키는 단계를 포함한다.
[0143] 위에서 언급된 바와 같이, 일부 실시예들에 있어서, 각 퇴적 사이클 동안 또는 전체 ALD 공정 동안 상기 반응 챔버에 가스가 연속적으로 제공된다. 다른 실시예들에 있어서, 상기 가스는 질소, 헬륨 또는 아르곤일 수 있다.
[0144] 상기 ALD 사이클은 원하는 두께와 조성을 갖는 막이 얻어질 때까지 반복된다. 일부 실시예들에 있어서, 원하는 특성을 갖는 막을 얻기 위하여 흐름 속도, 흐름 시간, 퍼지 시간, 및/또는 전구체들 그 자체와 같은 퇴적 파라미터들은 상기 ALD 공정 동안 하나 이상의 퇴적 사이클들에서 변화될 수 있다.
[0145] 상기 용어 "펄스"는 소정 길이의 시간에 대하여 상기 반응 챔버 내부로 반응물을 공급하는 것을 포함하는 것으로 이해될 수 있다. 상기 용어 "펄스"는 펄스의 길이 또는 지속시간을 한정하지 않으며, 펄스는 임의의 길이의 시간일 수 있다.
[0146] 일부 실시예들에 있어서, 상기 실ㄹ콘 반응물이 먼저 제공된다. 최초의 표면 종결 이후에, 만일 필요하거나 원한다면 제 1 실리콘 반응물 펄스가 작업 소재로 공급된다. 일부 실시예들에 따라, 상기 제 1 반응물 펄스는 캐리어 가스의 흐름 및 원하는 작업 소재의 표면과 반응성을 갖는 H2SiI2와 같은 휘발성 실리콘 종들을 포함한다. 따라서, 상기 실리콘 반응물은 이들 작업 소재의 표면들 위에 흡착된다. 상기 제 1 반응물 펄스는 상기 제 1 반응물 펄스의 임의의 과량의 구성 성분들이 이 공정에 의하여 형성된 분자층과 실질적으로 추가 반응하지 않도록 상기 작업 소재의 표면을 자기-포화시킨다.
[0147] 상기 제 1 실리콘 펄스는 기체 상태로 공급되는 것이 바람직하다. 만일 공정 조건들 하에서 상기 종들이 노출된 표면들을 포화시키기 위하여 상기 종들을 상기 작업 소재로 충분한 농도로 전달하기에 충분한 증기압을 보인다면 상기 실리콘 전구체 가스는 본 설명의 목적을 위하여 "휘발성"인 것으로 고려된다.
[0148] 일부 실시예들에 있어서, 상기 실리콘 전구체 펄스는 약 0.05초 내지 약 5.0초이거나, 약 0.1초 내지 약 3초이거나, 또는 약 0.2초 내지 약 1.0초이다. 뱃치 공정에서 상기 실리콘 전구체 펄스들은 주어진 특정 환경들에서 통상의 기술자에 의하여 용이하게 결정될 수 있는 바와 같이 실질적으로 더 길 수 있다.
[0149] 기판 표면 위에 분자의 층이 흡착되기에 충분한 시간이 경과한 후, 과량의 제 1 전구체는 상기 반응 공간으로부터 제거된다. 일부 실시예들에 있어서, 상기 과량의 제 1 전구체는, 상기 반응 공간으로부터 과량의 반응물들 및, 만일 있다면, 반응 부산물들을 확산시키거나 퍼지하기에 충분한 시간 동안 캐리어 가스 또는 퍼지 가스의 흐름을 계속하면서, 상기 제 1 전구체의 흐름을 중단함으로써 퍼지된다.
[0150] 일부 실시예들에 있어서, 상기 제 1 전구체는 약 0.1초 내지 약 10초 동안, 약 0.3초 내지 약 5초 동안, 또는 약 0.3초 내지 약 1초 동안 퍼지된다. 상기 실리콘 전구체의 제공과 제거는 상기 ALD 사이클의 제 1 시기 또는 실리콘 시기로 고려될 수 있다. 뱃치 공정에서, 상기 제 1 전구체의 퍼지는 주어진 특정 환경들에서 통상의 기술자에 의하여 결정될 수 있는 바와 같이 실질적으로 더 길 수 있다.
[0151] 질소 전구체인 제 2 전구체는 상기 기판 표면과 접촉되기 위하여 상기 반응 공간으로 펄스화된다. 상기 질소 전구체는 캐리어 가스의 도움으로 제공될 수 있다. 상기 질소 전구체는, 예를 들면 NH3 또는 N2H4일 수 있다. 또한 상기 질소 전구체 펄스는 기체 상태로 공급되는 것이 바람직하다. 만일 공정 조건들 하에서 상기 종들이 노출된 표면들을 포화시키기 위하여 상기 종들을 상기 작업 소재로 충분한 농도로 전달하기에 충분한 증기압을 보인다면 상기 질소 전구체는 본 설명의 목적을 위하여 "휘발성"인 것으로 고려된다.
[0152] 일부 실시예들에 있어서, 상기 질소 전구체 펄스는 약 0.05초 내지 약 5.0초 동안, 약 0.1초 내지 약 3.0초 동안, 또는 약 0.2초 내지 약 1.0초이다. 뱃치 공정에서, 상기 질소 전구체의 펄스는 주어진 특정 환경들에서 통상의 기술자에 의하여 결정될 수 있는 바와 같이 실질적으로 더 길 수 있다.
[0153] 기판 표면 위의 가용한 결합 사이트들에서 분자의 층이 흡착되기에 충분한 시간이 경과한 후, 상기 제 2 전구체인 질소 전구체는 상기 반응 공간으로부터 제거된다. 일부 실시예들에 있어서, 바람직하게는 반응 챔버 부피의 2배보다 더 많은 퍼지 가스로, 더욱 바람직하게는 반응 챔버 부피의 3배보다 더 많은 퍼지 가스로, 상기 반응 공간으로부터 과량의 반응물들 및, 만일 있다면, 반응 부산물들을 확산시키거나 퍼지하기에 충분한 시간 동안 캐리어 가스의 흐름을 계속하면서, 상기 제 2 질소 전구체의 유동이 중단된다. 상기 질소 전구체의 제공과 제거는 상기 ALD 사이클의 제 2 시기 또는 질소 시기로 고려될 수 있다.
[0154] 일부 실시예들에 있어서, 상기 질소 전구체는 약 0.1초 내지 약 10.0초 동안, 약 0.3초 내지 약 5.0초 동안, 또는 약 0.3초 내지 약 1.0초 동안 퍼지된다. 뱃치 공정에서, 상기 제 1 전구체의 퍼지는 주어진 특정 환경들에서 통상의 기술자에 의하여 결정될 수 있는 바와 같이 실질적으로 더 길 수 있다.
[0155] 상기 질소 시기의 제거 또는 퍼지 단계뿐만 아니라 상기 질소 플라스마 펄스의 유동 시간과 속도는 상기실리콘 질화물 막 내의 원하는 조성을 달성하기 위하여 조절된다. 상기 기판 표면 위에서의 질소 전구체의 흡착은 결합 사이트들의 제한된 수로 인하여 통상 자기-제한적이지만, 하나 이상의 사이클들에서 하나 이내의 모노레이어의 질소가 흡착되도록 펄싱 파라미터들이 조절될 수 있다.
[0156] 상기 두 개의 시기들은 함께 하나의 ALD 사이클을 나타내며, 이는 원하는 두께의 실리콘 질화물 박막이 형성되도록 반복된다. 상기 ALD 사이클은 일반적으로 실리콘 시기로 시작되는 것으로 언급되었지만, 다른 실시예들에서는 상기 사이클이 질소 시기로 시작할 수 있음이 고려된다. 통상의 기술자는 상기 제 1 전구체가 일반적으로 이전 사이클에서 마지막 시기에 의하여 잔존하는 종결자와 반응함을 인식할 것이다. 일부 실시예들에 있어서, 상기 퇴적 공정에서 하나 이상의 상이한 ALD 사이클들이 제공된다.
[0157] 본 개시의 일부 실시예들에 따르면, ALD 반응들은 약 25℃ 내지 약 1000℃, 바람직하게는 약 100℃ 내지 약 800℃, 더욱 바람직하게는 약 200℃ 내지 약 650℃, 그리고 가장 바람직하게는 약 300℃ 내지 약 500℃의 온도에서 수행될 수 있다. 일부 실시예들에 있어서, 최적의 반응기 온도는 최대로 허용 가능한 열적 여유(thermal budget)에 의하여 제한될 수 있다. 따라서, 상기 반응 온도는 약 300℃ 내지 약 400℃일 수 있다. 일부 응용들에 있어서, 상기 최대 온도는 약 400℃ 근방이며, 따라서 상기 공정은 그 반응 온도에서 수행된다.
Si 전구체들
[0158] 수많은 적합한 실리콘 전구체들이, 열적 ALD 공정들과 같은 여기에 개시된 열적 공정들에서 사용될 수 있다. 일부 실시예들에 있어서, 이들 전구체들은 원하는 품질(이하에서 설명되는 원하는 WER, WERR, 패턴 로딩 효과 및/또는 스텝 커버리지 특성들 중 적어도 하나)을 갖는 막이 퇴적되는 플라스마 ALD 공정들에서 사용될 수도 있다.
[0159] 일부 실시예들에 따르면, 일부 실리콘 전구체들은 요오드를 포함하고 이러한 전구체를 이용하여 퇴적된 막은, 이하에서 설명되는 적어도 하나의 원하는 성질, 예를 들면 적어도 하나의 원하는 WER, WERR, 패턴 로딩 효과 및/또는 스텝 커버리지 특성을 갖는다.
[0160] 일부 실시예들에 따르면, 일부 실리콘 전구체들은 브롬을 함하고 이러한 전구체를 이용하여 퇴적된 막은, 이하에서 설명되는 적어도 하나의 원하는 성질, 예를 들면 적어도 하나의 원하는 WER, WERR, 패턴 로딩 효과 및/또는 스텝 커버리지 특성을 갖는다.
[0161] 적절한 전구체들의 적어도 일부는 다음의 일반식을 가질 수 있다:
(9) H2n+2-y-z-wSinXyAzRw
여기서, n=1-10, y=1 또는 그 이상 (그리고 상한은 2n+2-z-w), z=0 또는 그 이상 (그리고 상한은 2n+2-y-w), w=0 또는 그 이상 (그리고 상한은 2n+2-y-z), X는 I 또는 Br이고, A는 X가 아닌 할로겐이고, R은 유기 리간드로서 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게는 n=1-5이고 더욱 바람직하게는 n=1-3이고 가장 바람직하게는 1-2이다. 바람직하게는 R은 메틸, 에틸, n-프로필 또는 이소프로필과 같은 C1-C3 알킬리간드이다.
[0162] 일부 실시예들에 따르면, 일부 실리콘 전구체들은 하나 또는 그 이상 사이클릭 화합물들을 포함한다. 그러한 전구체들은 다음의 일반식을 가질 수 있다:
(10) H2n-y-z-wSinXyAzRw
여기서, n=3-10, y=1 또는 그 이상 (그리고 상한은 2n-z-w), z=0 또는 그 이상 (그리고 상한은 2n-y-w), w=0 또는 그 이상 (그리고 상한은 2n-y-z), X는 I 또는 Br이고, A는 X가 아닌 할로겐이고, R은 유기 리간드로서 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게는 n=3-6이다. 바람직하게는 R은 메틸, 에틸, n-프로필 또는 이소프로필과 같은 C1-C3 알킬리간드이다.
[0163] 일부 실시예들에 따르면, 일부 실리콘 전구체들은 하나 이상의 요오도실란들을 포함한다. 그러한 전구체들은 다음의 일반식을 가질 수 있다:
(11) H2n+2-y-z-wSinIyAzRw
여기서, n=1-10, y=1 또는 그 이상 (그리고 상한은 2n+2-z-w), z=0 또는 그 이상 (그리고 상한은 2n+2-y-w), w=0 또는 그 이상 (그리고 상한은 2n+2-y-z), A는 I가 아닌 할로겐이고, R은 유기 리간드로서 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게는 n=1-5이고 더욱 바람직하게는 n=1-3이고 가장 바람직하게는 1-2이다. 바람직하게는 R은 메틸, 에틸, n-프로필 또는 이소프로필과 같은 C1-C3 알킬리간드이다.
[0164] 일부 실시예들에 따르면, 일부 실리콘 전구체들은 하나 이상의 사이클릭 요오도실란들을 포함한다. 그러한 전구체들은 다음의 일반식을 가질 수 있다:
(12) H2n-y-z-wSinIyAzRw
여기서, n=3-10, y=1 또는 그 이상 (그리고 상한은 2n-z-w), z=0 또는 그 이상 (그리고 상한은 2n-y-w), w=0 또는 그 이상 (그리고 상한은 2n-y-z), A는 I가 아닌 할로겐이고, R은 유기 리간드로서 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게는 n=3-6이다. 바람직하게는 R은 메틸, 에틸, n-프로필 또는 이소프로필과 같은 C1-C3 알킬리간드이다.
[0165] 일부 실시예들에 따르면, 일부 실리콘 전구체들은 하나 이상의 브로모실란들을 포함한다. 그러한 전구체들은 다음의 일반식을 가질 수 있다:
(13) H2n+2-y-z-wSinBryAzRw
여기서, n=1-10, y=1 또는 그 이상 (그리고 상한은 2n+2-z-w), z=0 또는 그 이상 (그리고 상한은 2n+2-y-w), w=0 또는 그 이상 (그리고 상한은 2n+2-y-z), A는 Br이 아닌 할로겐이고, R은 유기 리간드로서 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게는 n=1-5이고 더욱 바람직하게는 n=1-3이고 가장 바람직하게는 1-2이다. 바람직하게는 R은 메틸, 에틸, n-프로필 또는 이소프로필과 같은 C1-C3 알킬리간드이다.
[0166] 일부 실시예들에 따르면, 일부 실리콘 전구체들은 하나 이상의 사이클릭 브로모실란들을 포함한다. 그러한 전구체들은 다음의 일반식을 가질 수 있다:
(14) H2n-y-z-wSinBryAzRw
여기서, n=3-10, y=1 또는 그 이상 (그리고 상한은 2n-z-w), z=0 또는 그 이상 (그리고 상한은 2n-y-w), w=0 또는 그 이상 (그리고 상한은 2n-y-z), A는 Br이 아닌 할로겐이고, R은 유기 리간드로서 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게는 n=3-6이다. 바람직하게는 R은 메틸, 에틸, n-프로필 또는 이소프로필과 같은 C1-C3 알킬 리간드이다.
[0167] 일부 실시예들에 따르면, 일부 실리콘 전구체들은 화합물 내에서 요오드 또는 브롬이 실리콘에 본딩되지 않는 하나 이상의 요오도실란들 또는 브로모실란들을 포함한다. 따라서 일부 적합한 화합물들은 요오드/브롬 치환된 알킬기들을 가질 수 있다. 그러한 전구체들은 다음의 일반식을 가질 수 있다:
(15) H2n+2-y-z-wSinXyAzRII w
여기서, n=1-10, y=0 또는 그 이상 (그리고 상한은 2n+2-z-w), z=0 또는 그 이상 (그리고 상한은 2n+2-y-w), w=1 또는 그 이상 (그리고 상한은 2n+2-y-z), X는 I 또는 Br이고, A는 X가 아닌 할로겐이고, RII는 I 또는 Br을 포함하는 유기 리간드로서 I 또는 Br 치환된 알콕사이드들, 알킬실릴들, 알킬들, 알킬아민들 및 불포화된 탄화수소들로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게는 n=1-5이고 더욱 바람직하게는 n=1-3이고 가장 바람직하게는 1-2이다. 바람직하게는 RII는 요오드 치환된 C1-C3 알킬 리간드이다.
[0168] 일부 실시예들에 따르면, 일부 실리콘 전구체들은 하나 이상의 사이클릭 요오도실란들 또는 브로모실란들을 포함한다. 따라서, 일부 적절한 사이클릭 화합물들은 요오도/브롬 치환된 알킬기들을 가질 수 있다. 그러한 전구체들은 다음의 일반식을 가질 수 있다:
(16) H2n-y-z-wSinXyAzRII w
여기서, n=3-10, y=0 또는 그 이상 (그리고 상한은 2n+2-z-w), z=0 또는 그 이상 (그리고 상한은 2n+2-y-w), w=1 또는 그 이상 (그리고 상한은 2n+2-y-z), X는 I 또는 Br이고, A는 X가 아닌 할로겐이고, RII는 I 또는 Br을 포함하는 유기 리간드로서 I 또는 Br 치환된 알콕사이드들, 알킬실릴들, 알킬들, 알킬아민들 및 불포화된 탄화수소들로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게는 n=3-6이다. 바람직하게는 R은 요오드 치환된 C1-C3 알킬 리간드이다.
[0169] 일부 실시예들에 따르면, 일부 적합한 실리콘 전구체들은 다음의 일반식들 중 적어도 하나를 가질 수 있다:
(17) H2n+2-y-z-wSinXyAz(NR1R2)w
여기서, n=1-10, y=1 또는 그 이상 (그리고 상한은 2n+2-z-w), z=0 또는 그 이상 (그리고 상한은 2n+2-y-w), w=1 또는 그 이상 (그리고 상한은 2n+2-y-z), X는 I 또는 Br이고, A는 X가 아닌 할로겐이고, N은 질소이고 R1 및 R2는 수소, 알킬, 치환된 알킬, 실릴, 알킬실릴 및 불포화된 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게는 n=1-5이고 더욱 바람직하게는 n=1-3이고 가장 바람직하게는 1-2이다. 바람직하게는 R1 및 R2는 수소 또는 메틸, 에틸, n-프로필, 이소프로필, t-부틸, 이소부틸, sec-부틸 및 n-부틸과 같은 C1-C4 알킬기들이다. 더욱 바람직하게는 R1 및 R2는 수소 또는 메틸, 에틸, n-프로필 또는 이소프로필과 같은 C1-C3 알킬기들이다. 상기 (NR1R2)w 리간드들 각각은 서로 독립적으로 선택될 수 있다.
(18) (H3-y-z-wXyAz(NR1R2)wSi)3-N
여기서, y=1 또는 그 이상 (그리고 상한은 3-z-w), z=0 또는 그 이상 (그리고 상한은 3-y-w), w=1 또는 그 이상 (그리고 상한은 3-y-z), X는 I 또는 Br이고, A는 X가 아닌 할로겐이고, N은 질소이고 R1 및 R2는 수소, 알킬, 치환된 알킬, 실릴, 알킬실릴, 및 불포화된 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있다. 바람직하게는 R1 및 R2는 수소 또는 메틸, 에틸, n-프로필, 이소프로필, t-부틸, 이소부틸, sec-부틸 및 n-부틸과 같은 C1-C4 알킬기들이다. 더욱 바람직하게는 R1 및 R2는 수소 또는 메틸, 에틸, n-프로필 또는 이소프로필과 같은 C1-C3 알킬기들이다. 상기 (NR1R2)w 리간드들 각각은 서로 독립적으로 선택될 수 있다. 상기 세 H3-y-z-wXyAz(NR1R2)wSi 리간드들 각각은 서로 독립적으로 선택될 수 있다.
[0170] 일부 실시예들에 있어서, 일부 적합한 전구체들은 다음의 더욱 구제적인 화학식들 중 적어도 하나를 가질 수 있다:
(19) H2n+2-y-wSinIy(NR1R2)w
여기서, n=1-10, y=1 또는 그 이상 (그리고 상한은 2n+2-w), w=1 또는 그 이상 (그리고 상한은 2n+2-y), N은 질소이고, R1 및 R2는 수소, 알킬, 치환된 알킬, 실릴, 알킬실릴, 및 불포화된 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게는 n=1-5이고 더욱 바람직하게는 n=1-3이고 가장 바람직하게는 1-2이다. 바람직하게는 R1 및 R2는 수소 또는 메틸, 에틸, n-프로필, 이소프로필, t-부틸, 이소부틸, sec-부틸 및 n-부틸과 같은 C1-C4 알킬기들이다. 더욱 바람직하게는 R1 및 R2는 수소 또는 메틸, 에틸, n-프로필 또는 이소프로필과 같은 C1-C3 알킬기들이다. 상기 (NR1R2)w 리간드들 각각은 서로 독립적으로 선택될 수 있다.
(20) (H3-y-wIy(NR1R2)wSi)3-N
여기서, y=1 또는 그 이상 (그리고 상한은 3-w), w=1 또는 그 이상 (그리고 상한은 3-y), N은 질소이고 R1 및 R2는 수소, 알킬, 치환된 알킬, 실릴, 알킬실릴, 및 불포화된 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있다. 바람직하게는 R1 및 R2는 수소 또는 메틸, 에틸, n-프로필, 이소프로필, t-부틸, 이소부틸, sec-부틸 및 n-부틸과 같은 C1-C4 알킬기들이다. 더욱 바람직하게는 R1 및 R2는 수소 또는 메틸, 에틸, n-프로필 또는 이소프로필과 같은 C1-C3 알킬기들이다. 상기 세 H3-y-wIy(NR1R2)wSi 리간드들 각각은 서로 독립적으로 선택될 수 있다.
[0171] 일부 실시예들에 따르면, 일부 적합한 실리콘 전구체들은 다음 일반식들 중 적어도 하나를 가질 수 있다:
(21) H2n+2-y-z-wSinXyAz(NR1R2)w
여기서, n=1-10, y=1 또는 그 이상 (그리고 상한은 2n+2-z-w), z=0 또는 그 이상 (그리고 상한은 2n+2-y-w), w=1 또는 그 이상 (그리고 상한은 2n+2-y-z), X는 I 또는 Br이고, A는 X가 아닌 할로겐이고, N은 질소이고, R1은 수소, 알킬, 치환된 알킬, 실릴, 알킬실릴, 및 불포화된 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고, R2는 알킬, 치환된 알킬, 실릴, 알킬실릴 및 불포화된 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게는 n=1-5이고 더욱 바람직하게는 n=1-3이고 가장 바람직하게는 1-2이다. 바람직하게는 R1은 수소 또는 메틸, 에틸, n-프로필, 이소프로필, t-부틸, 이소부틸, sec-부틸, 및 n-부틸과 같은 C1-C4 알킬기들이다. 더욱 바람직하게는 R1은 수소 또는 메틸, 에틸, n-프로필, 또는 이소프로필과 같은 C1-C3 알킬기들이다. 바람직하게는 R2는 메틸, 에틸, n-프로필, 이소프로필, t-부틸, 이소부틸, sec-부틸, 및 n-부틸과 같은 C1-C4 알킬기들이다. 더욱 바람직하게는 R2는 메틸, 에틸, n-프로필, 또는 이소프로필과 같은 C1-C3 알킬기들이다. 상기 (NR1R2)w 리간드들 각각은 서로 독립적으로 선택될 수 있다.
(22) (H3-y-z-wXyAz(NR1R2)wSi)3-N
여기서, y=1 또는 그 이상 (그리고 상한은 3-z-w), z=0 또는 그 이상 (그리고 상한은 3-y-w), w=1 또는 그 이상 (그리고 상한은 3-y-z), X는 I 또는 Br이고, A는 X가 아닌 할로겐이고, N은 질소이고, R1은 수소, 알킬, 치환된 알킬, 실릴, 알킬실릴, 및 불포화된 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고, R2는 알킬, 치환된 알킬, 실릴, 알킬실릴, 및 불포화된 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게는 n=1-5이고 더욱 바람직하게는 n=1-3이고 가장 바람직하게는 1-2이다. 바람직하게는 R1은 수소 또는 메틸, 에틸, n-프로필, 이소프로필, t-부틸, 이소부틸, sec-부틸, 및 n-부틸과 같은 C1-C4 알킬기들이다. 더욱 바람직하게는 R1은 수소 또는 메틸, 에틸, n-프로필, 또는 이소프로필과 같은 C1-C3 알킬기들이다. 바람직하게 R2는 메틸, 에틸, n-프로필, 이소프로필, t-부틸, 이소부틸, sec-부틸, 및 n-부틸과 같은 C1-C4 알킬기들이다. 더욱 바람직하게는 R2는 메틸, 에틸, n-프로필, 또는 이소프로필과 같은 C1-C3 알킬기들이다. 상기 (NR1R2)w 리간드들 각각은 서로 독립적으로 선택될 수 있다.
[0172] 일부 실시예들에 있어서, 일부 적합한 전구체들은 다음의 더욱 구체적인 화학식들 중의 적어도 하나를 가질 수 있다:
(23) H2n+2-y-wSinIy(NR1R2)w
여기서, n=1-10, y=1 또는 그 이상 (그리고 상한은 2n+2-w), w=1 또는 그 이상 (그리고 상한은 2n+2-y), N은 질소이고, R1은 수소, 알킬, 치환된 알킬, 실릴, 알킬실릴, 및 불포화된 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고, R2는 알킬, 치환된 알킬, 실릴, 알킬실릴, 및 불포화된 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게는 n=1-5이고 더욱 바람직하게는 n=1-3이고 가장 바람직하게는 1-2이다. 바람직하게는 R1은 수소이거나 메틸, 에틸, n-프로필, 이소프로필, t-부틸, 이소부틸, sec-부틸, 및 n-부틸과 같은 C1-C4 알킬기들이다. 더욱 바람직하게는 R1은 수소이거나 메틸, 에틸, n-프로필, 또는 이소프로필과 같은 C1-C3 알킬기들이다. 바람직하게는 R2는 메틸, 에틸, n-프로필, 이소프로필, t-부틸, 이소부틸, sec-부틸, 및 n-부틸과 같은 C1-C4 알킬기들이다. 더욱 바람직하게는 R2는 메틸, 에틸, n-프로필, 또는 이소프로필과 같은 C1-C3 알킬기들이다. 상기 (NR1R2)w 리간드들 각각은 서로 독립적으로 선택될 수 있다.
(24) (H3-y-wIy(NR1R2)wSi)3-N
여기서, y=1 또는 그 이상 (그리고 상한은 3-w), w=1 또는 그 이상 (그리고 상한은 3-y), N은 질소이고, R1은 수소, 알킬, 치환된 알킬, 실릴, 알킬실릴, 및 불포화된 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고, R2는 알킬, 치환된 알킬, 실릴, 알킬실릴, 및 불포화된 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게는 n=1-5이고 더욱 바람직하게는 n=1-3이고 가장 바람직하게는 1-2이다. 바람직하게는 R1은 수소이거나 메틸, 에틸, n-프로필, 이소프로필, t-부틸, 이소부틸, sec-부틸, 및 n-부틸과 같은 C1-C4 알킬기들이다. 더욱 바람직하게는 R1은 수소이거나 메틸, 에틸, n-프로필, 또는 이소프로필과 같은 C1-C3 알킬기들이다. 바람직하게는 R2는 메틸, 에틸, n-프로필, 이소프로필, t-부틸, 이소부틸, sec-부틸, 및 n-부틸과 같은 C1-C4 알킬기들이다. 더욱 바람직하게는 R2는 메틸, 에틸, n-프로필, 또는 이소프로필과 같은 C1-C3 알킬기들이다. 상기 (NR1R2)w 리간드들 각각은 서로 독립적으로 선택될 수 있다.
[0173] 열적 ALD 공정의 일부 실시예들에 따르면, 적절한 실리콘 전구체들은 상기 일반식 (9) 내지 (24) 중의 어느 하나를 갖는 화합물들을 적어도 포함할 수 있다. 일반식 (21) 및 (22)에서 뿐만 아니라 일반식 (9) 내지 (18)에서 할로겐화물들/할로겐들은 F, Cl, Br 및 I를 포함할 수 있다
[0174] 일부 실시예들에 있어서, 실리콘 전구체는 다음 중 하나 이상을 포함한다: SiI4, HSiI3, H2SiI2, H3SiI, Si2I6, HSi2I5, H2Si2I4, H3Si2I3, H4Si2I2, H5Si2I, Si3I8, HSi2I5, H2Si2I4, H3Si2I3, H4Si2I2, H5Si2I, MeSiI3, Me2SiI2, Me3SiI, MeSi2I5, Me2Si2I4, Me3Si2I3, Me4Si2I2, Me5Si2I, HMeSiI2, HMe2SiI, HMeSi2I4, HMe2Si2I3, HMe3Si2I2, HMe4Si2I, H2MeSiI, H2MeSi2I3, H2Me2Si2I2, H2Me3Si2I, H3MeSi2I2, H3Me2Si2I, H4MeSi2I, EtSiI3, Et2SiI2, Et3SiI, EtSi2I5, Et2Si2I4, Et3Si2I3, Et4Si2I2, Et5Si2I, HEtSiI2, HEt2SiI, HEtSi2I4, HEt2Si2I3, HEt3Si2I2, HEt4Si2I, H2EtSiI, H2EtSi2I3, H2Et2Si2I2, H2Et3Si2I, H3EtSi2I2, H3Et2Si2I, 및 H4EtSi2I.
[0175] 일부 실시예들에 있어서, 실리콘 전구체는 다음 중 하나 이상을 포함한다: EtMeSiI2, Et2MeSiI, EtMe2SiI, EtMeSi2I4, Et2MeSi2I3, EtMe2Si2I3, Et3MeSi2I2, Et2Me2Si2I2, EtMe3Si2I2, Et4MeSi2I, Et3Me2Si2I, Et2Me3Si2I, EtMe4Si2I, HEtMeSiI, HEtMeSi2I3, HEt2MeSi2I2, HEtMe2Si2I2, HEt3MeSi2I, HEt2Me2Si2I, HEtMe3Si2I, H2EtMeSi2I2, H2Et2MeSi2I, H2EtMe2Si2I, H3EtMeSi2I.
[0176] 일부 실시예들에 있어서, 실리콘 전구체는 다음 중 하나 이상을 포함한다: HSiI3, H2SiI2, H3SiI, H2Si2I4, H4Si2I2, H5Si2I, MeSiI3, Me2SiI2, Me3SiI, Me2Si2I4, Me4Si2I2, HMeSiI2, H2Me2Si2I2, EtSiI3, Et2SiI2, Et3SiI, Et2Si2I4, Et4Si2I2, 및 HEtSiI2. 일부 실시예들에 있어서, 실리콘 전구체는 HSiI3, H2SiI2, H3SiI, H2Si2I4, H4Si2I2, H5Si2I, MeSiI3, Me2SiI2, Me3SiI, Me2Si2I4, Me4Si2I2, HMeSiI2, H2Me2Si2I2, EtSiI3, Et2SiI2, Et3SiI, Et2Si2I4, Et4Si2I2, 및 HEtSiI2 및 이들의 임의의 조합으로부터 선택된 둘, 셋, 넷, 다섯, 여섯, 일곱, 여덟, 아홉, 열, 열하나, 열둘, 열셋, 열넷, 열다섯, 열여섯, 열일곱, 열여덟, 열아홉 또는 그 이상의 화합물들을 포함한다.
[0177] 일부 실시예들에 있어서, 실리콘 전구체는 실리콘에 결합된 하나의 아민 또는 알킬아민 리간드들 및 세 개의 요오드들을 포함한다. 일부 실시예들에 있어서, 실리콘 전구체는 다음 중의 하나 이상을 포함한다: (SiI3)NH2, (SiI3)NHMe, (SiI3)NHEt, (SiI3)NHiPr, (SiI3)NHtBu, (SiI3)NMe2, (SiI3)NMeEt, (SiI3)NMeiPr, (SiI3)NMetBu, (SiI3)NEt2, (SiI3)NEtiPr, (SiI3)NEttBu, (SiI3)NiPr2, (SiI3)NiPrtBu, 및 (SiI3)NtBu2. 일부 실시예들에 있어서, 실리콘 전구체는 (SiI3)NH2, (SiI3)NHMe, (SiI3)NHEt, (SiI3)NHiPr, (SiI3)NHtBu, (SiI3)NMe2, (SiI3)NMeEt, (SiI3)NMeiPr, (SiI3)NMetBu, (SiI3)NEt2, (SiI3)NEtiPr, (SiI3)NEttBu, (SiI3)NiPr2, (SiI3)NiPrtBu, (SiI3)NtBu2, 및 이들의 조합으로부터 선택된 둘, 셋, 넷, 다섯, 여섯, 일곱, 여덟, 아홉, 열, 열하나, 열둘, 열셋, 열넷, 열다섯 또는 그 이상의 화합물들을 포함한다. 일부 실시예들에 있어서, 실리콘 전구체는 실리콘에 결합된 두 개의 아민 또는 알킬아민 리간드들 및 두 개의 요오드들을 포함한다. 일부 실시예들에 있어서, 실리콘 전구체는 다음 중의 하나 이상을 포함한다: (SiI2)(NH2)2, (SiI2)(NHMe)2, (SiI2)(NHEt)2, (SiI2)(NHiPr)2, (SiI2)(NHtBu)2, (SiI2)(NMe2)2, (SiI2)(NMeEt)2, (SiI2)(NMeiPr)2, (SiI2)(NMetBu)2, (SiI2)(NEt2)2, (SiI2)(NEtiPr)2, (SiI2)(NEttBu)2, (SiI2)(NiPr2)2, (SiI2)(NiPrtBu)2, 및 (SiI2)(NtBu)2. 일부 실시예들에 있어서, 실리콘 전구체는 (SiI2)(NH2)2, (SiI2)(NHMe)2, (SiI2)(NHEt)2, (SiI2)(NHiPr)2, (SiI2)(NHtBu)2, (SiI2)(NMe2)2, (SiI2)(NMeEt)2, (SiI2)(NMeiPr)2, (SiI2)(NMetBu)2, (SiI2)(NEt2)2, (SiI2)(NEtiPr)2, (SiI2)(NEttBu)2, (SiI2)(NiPr2)2, (SiI2)(NiPrtBu)2, (SiI2)(NtBu)2, 및 이들의 조합으로부터 선택된 둘, 셋, 넷, 다섯, 여섯, 일곱, 여덟, 아홉, 열, 열하나, 열둘, 열셋, 열넷, 열다섯 또는 그 이상의 화합물들을 포함한다.
[0178] 일부 실시예들에 있어서, 실리콘 전구체는 실리콘에 결합된 하나의 아민 또는 알킬아민 리간드, 두 개의 요오드들, 및 하나의 수소를 포함한다. 일부 실시예들에 있어서, 실리콘 전구체는 다음 중 하나 이상을 포함한다: (SiI2H)NH2, (SiI2H)NHMe, (SiI2H)NHEt, (SiI2H)NHiPr, (SiI2H)NHtBu, (SiI2H)NMe2, (SiI2H)NMeEt, (SiI2H)NMeiPr, (SiI2H)NMetBu, (SiI2H)NEt2, (SiI2H)NEtiPr, (SiI2H)NEttBu, (SiI2H)NiPr2, (SiI2H)NiPrtBu, 및 (SiI2H)NtBu2. 일부 실시예들에 있어서, 실리콘 전구체는 (SiI2H)NH2, (SiI2H)NHMe, (SiI2H)NHEt, (SiI2H)NHiPr, (SiI2H)NHtBu, (SiI2H)NMe2, (SiI2H)NMeEt, (SiI2H)NMeiPr, (SiI2H)NMetBu, (SiI2H)NEt2, (SiI2H)NEtiPr, (SiI2H)NEttBu, (SiI2H)NiPr2, (SiI2H)NiPrtBu, (SiI2H)NtBu2, 및 이들의 조합으로부터 선택된 둘, 셋, 넷, 다섯, 여섯, 일곱, 여덟, 아홉, 열, 열하나, 열둘, 열셋, 열넷, 열다섯, 또는 그 이상의 화합물들을 포함한다.
[0179] 일부 실시예들에 있어서, 실리콘 전구체는 실리콘에 결합된 두 개의 아민 또는 알킬아민 리간드, 하나의 요오드, 및 하나의 수소를 포함한다. 일부 실시예들에 있어서, 실리콘 전구체는 다음 중 하나 이상을 포함한다: (SiIH)(NH2)2, (SiIH)(NHMe)2, (SiIH)(NHEt)2, (SiIH)(NHiPr)2, (SiIH)(NHtBu)2, (SiIH)(NMe2)2, (SiIH)(NMeEt)2, (SiIH)(NMeiPr)2, (SiIH)(NMetBu)2, (SiIH)(NEt2)2, (SiIH)(NEtiPr)2, (SiIH)(NEttBu)2, (SiIH)(NiPr2)2, (SiIH)(NiPrtBu)2, 및 (SiIH)(NtBu)2. 일부 실시예들에 있어서, 실리콘 전구체는 (SiIH)(NH2)2, (SiIH)(NHMe)2, (SiIH)(NHEt)2, (SiIH)(NHiPr)2, (SiIH)(NHtBu)2, (SiIH)(NMe2)2, (SiIH)(NMeEt)2, (SiIH)(NMeiPr)2, (SiIH)(NMetBu)2, (SiIH)(NEt2)2, (SiIH)(NEtiPr)2, (SiIH)(NEttBu)2, (SiIH)(NiPr2)2, (SiIH)(NiPrtBu)2, 및 (SiIH)(NtBu)2, 및 이들의 조합들로부터 선택된 둘, 셋, 넷, 다섯, 여섯, 일곱, 여덟, 아홉, 열, 열하나, 열둘, 열셋, 열넷, 열다섯, 또는 그 이상의 화합물들을 포함한다.
[0180] 일부 실시예들에 있어서, 실리콘 전구체는 실리콘에 결합된 하나의 아민 또는 알킬아민 리간드, 하나의 요오드, 및 두 개의 수소들을 포함한다. 일부 실시예들에 있어서, 실리콘 전구체는 다음 중 하나 이상을 포함한다: (SiIH2)NH2, (SiIH2)NHMe, (SiIH2)NHEt, (SiIH2)NHiPr, (SiIH2)NHtBu, (SiIH2)NMe2, (SiIH2)NMeEt, (SiIH2)NMeiPr, (SiIH2)NMetBu, (SiIH2)NEt2, (SiIH2)NEtiPr, (SiIH2)NEttBu, (SiIH2)NiPr2, (SiIH2)NiPrtBu, 및 (SiIH2)NtBu2. 일부 실시예들에 있어서, 실리콘 전구체는 (SiIH2)NH2, (SiIH2)NHMe, (SiIH2)NHEt, (SiIH2)NHiPr, (SiIH2)NHtBu, (SiIH2)NMe2, (SiIH2)NMeEt, (SiIH2)NMeiPr, (SiIH2)NMetBu, (SiIH2)NEt2, (SiIH2)NEtiPr, (SiIH2)NEttBu, (SiIH2)NiPr2, (SiIH2)NiPrtBu, (SiIH2)NtBu2, 및 이들의 조합들로부터 선택된 둘, 셋, 넷, 다섯, 여섯, 일곱, 여덟, 아홉, 열, 열하나, 열둘, 열셋, 열넷, 열다섯, 또는 그 이상의 화합물들을 포함한다.
[0181] 일부 실시예들에 있어서, 실리콘 전구체는 실리콘에 결합된 세 개의 아민 또는 알킬아민 리간드, 및 하나의 요오드를 포함한다. 일부 실시예들에 있어서, 실리콘 전구체는 다음 중 하나 이상을 포함한다: (SiI)(NH2)3, (SiI)(NHMe)3, (SiI)(NHEt)3, (SiI)(NHiPr)3, (SiI)(NHtBu)3, (SiI)(NMe2)3, (SiI)(NMeEt)3, (SiI)(NMeiPr)3, (SiI)(NMetBu)3, (SiI)(NEt2)3, (SiI)(NEtiPr)3, (SiI)(NEttBu)3, (SiI)(NiPr2)3, (SiI)(NiPrtBu)3, 및 (SiI)(NtBu)3. 일부 실시예들에 있어서, 실리콘 전구체는 (SiI)(NH2)3, (SiI)(NHMe)3, (SiI)(NHEt)3, (SiI)(NHiPr)3, (SiI)(NHtBu)3, (SiI)(NMe2)3, (SiI)(NMeEt)3, (SiI)(NMeiPr)3, (SiI)(NMetBu)3, (SiI)(NEt2)3, (SiI)(NEtiPr)3, (SiI)(NEttBu)3, (SiI)(NiPr2)3, (SiI)(NiPrtBu)3, (SiI)(NtBu)3, 및 이들의 조합들로부터 선택된 둘, 셋, 넷, 다섯, 여섯, 일곱, 여덟, 아홉, 열, 열하나, 열둘, 열셋, 열넷, 열다섯, 또는 그 이상의 화합물들을 포함한다.
[0182] 일부 실시예들에 있어서, 실리콘 전구체는 실리콘에 결합된 두 개의 알킬아민 리간드들 및 두 개의 요오드들, 또는 하나의 아민 또는 알킬아민 리간드, 두 개의 요오드들과 수소를 포함한다. 여기서 아민 또는 알킬아민 리간드들은 아민 NH2-, 메틸아민 MeNH-, 디메틸아민 Me2N-, 에틸메틸아민 EtMeN-, 에틸아민 EtNH-, 및 디에틸아민 Et2N-으로부터 선택된다. 일부 실시예들에 있어서, 실리콘 전구체는 다음 중의 하나 이상을 포함한다: (SiI2H)NH2, (SiI2H)NHMe, (SiI2H)NHEt, (SiI2H)NMe2, (SiI2H)NMeEt, (SiI2H)NEt2, (SiI2)(NH2)2, (SiI2)(NHMe)2, (SiI2)(NHEt)2, (SiI2)(NMe2)2, (SiI2)(NMeEt)2, 및 (SiI2)(NEt2)2. 일부 실시예들에 있어서, 실리콘 전구체는 (SiI2H)NH2, (SiI2H)NHMe, (SiI2H)NHEt, (SiI2H)NMe2, (SiI2H)NMeEt, (SiI2H)NEt2, (SiI2)(NH2)2, (SiI2)(NHMe)2, (SiI2)(NHEt)2, (SiI2)(NMe2)2, (SiI2)(NMeEt)2, (SiI2)(NEt2)2, 및 이들의 조합들로부터 선택된 둘, 셋, 넷, 다섯, 여섯, 일곱, 여덟, 아홉, 열, 열하나, 열둘, 또는 그 이상의 화합물들을 포함한다.
요오드 또는 브롬을 포함하는 실리콘-전구체들의 다른 형태들
[0183] 요오드 또는 브롬 치환된 실라잔들 또는 유황과 같은 질소를 포함하는 다수의 적합한 실리콘 전구체들은 현재 공개된 열적 및 플라즈마 ALD 공정들에서 사용될 수 있다. 일부 실시예들에서, 요오드 또는 브롬 치환된 실라잔들과 같은 질소를 포함하는 실리콘 전구체들은 현재 공개된 열적 및 플라즈마 ALD 공정들에서 사용될 수 있으며, 여기서 원하는 품질, 예를 들어 아래에 기술된 원하는 WER, WERR, 패턴 로딩 효과 또는/및 스텝커버리지 특성 중의 적어도 하나를 갖는 막이 퇴적될 수 있다.
[0184] 상기 적합한 요오드 또는 브롬 치환된 실리콘 전구체들 중의 적어도 일부는 다음의 일반적인 화학식을 가질 수 있다.
(25) H2n+2-y-wSin(EH)n-1XyAzRw
여기서, n=2 내지 10, y=1 또는 그 이상(그리고 2n+2-z-w까지), z=0 또는 그 이상(그리고 2n+2-y-w까지), w=0 또는 그 이상(그리고 2n+2-y-z까지), X는 요오드 또는 브롬, E는 N 또는 S, 바람직하게는 N, A는 X 외의 할로겐, R은 유기 리간드이며 그리고 알콕시드, 알킬실릴들, 알킬, 치환된 알킬, 알킬아민들 및 불포화 탄화수소로 구성된 그룹으로부터 독립적으로 선택될 수 있다; 바람직하게는 n=2 내지 5, 보다 바람직하게는 n=2 내지 3, 가장 바람직하게는 1 내지 2이다. 바람직하게는 R은 메틸, 에틸, n-프로필 또는 이소프로필과 같은 C1-C3 알킬 리간드이다.
[0185] 상기 적합한 요오드 또는 브롬 치환된 실라잔 전구체들의 적어도 일부는 다음 일반적인 화학식을 가질 수 있다.
(26) H2n+2-y-Z-wSin(NH)n-1XyAzRw
여기서, n=2 내지 10, y=1 또는 그 이상(그리고 2n+2-z-w까지), z=0 또는 그 이상(그리고 2n+2-y-w까지), w=0 또는 그 이상(그리고 2n+2-y-z까지), X는 요오드 또는 브롬, A는 X 외의 할로겐, R은 유기 리간드이며 그리고 알콕시드, 알킬실릴들, 알킬, 치환된 알킬, 알킬아민들 및 불포화 탄화수소로 구성된 그룹으로부터 독립적으로 선택될 수 있다; 바람직하게는 n=2 내지 5, 보다 바람직하게는 n=2 내지 3, 가장 바람직하게는 2이다. 바람직하게는 R은 메틸, 에틸, n-프로필 또는 이소프로필과 같은 C1-C3 알킬 리간드이다.
[0186] 일부 실시예들에서, 상기 실리콘 전구체는 이종 고리의 실리콘 화합물과 같은 실리콘-화합물을 포함하며, 이것은 요오드 또는 브롬을 포함한다. 이러한 고리의 전구체들은 다음의 하부구조를 포함할 수 있다.
(27) -Si-E-Si-
여기서, E는 N 또는 S이며, 바람직하게는 N이다.
[0187] 일부 실시예들에서, 상기 실리콘 전구체는 화학식 (27)에 따른 하부구조를 포함하며, 이러한 종류의 화합물의 예는 예를 들어, 요오드 또는 브롬 치환된 사이클로트리실라잔과 같은 요오드 또는 브롬 치환된 사이클로실라란들이다.
[0188] 일부 실리예들에서, 상기 실리콘 전구체는 시릴아민계 화합물과 같은 실리콘-화합물을 포함하며, 이것은 요오드 또는 브롬을 포함한다. 이러한 시릴아민계 실리콘-전구체들은 다음의 일반적 화학식을 가질 수 있다:
(28) (H3-y-Z-wXyAzRwSi)3-N
여기서, y=1 또는 그 이상(그리고 3-z-w까지), z=0 또는 그 이상(그리고 3-y-w까지), w=0 또는 그 이상(그리고 3-y-z까지), X는 요오드 또는 브롬, A는 X 외의 할로겐, R은 유기 리간드이며 그리고 알콕시드, 알킬실릴들, 알킬, 치환된 알킬, 알킬아민들 및 불포화 탄화수소로 구성된 그룹으로부터 독립적으로 선택될 수 있다; 바람직하게는 R은 메틸, 에틸, n-프로필 또는 이소프로필과 같은 C1-C3 알킬 리간드이다. 상기 세개의 H3-y-Z-wXyAzRwSi 리간드들의 각각은 서로로부터 독립적으로 선택될 수 있다.
N 전구체들
[0189] 일부 실시예들에 따르면, 열적 ALD 공정에서 제2 반응물 또는 질소 전구체는 NH3, N2H4, 또는 N-H 결합을 갖는 많은 다른 적합한 질소 화합물들일 수 있다.
[0190] 도 4는 일부 실시예들에 따라 실리콘 질화물 박막을 퇴적하기 위해 사용될 수 있는 실리콘 질화물 열적 ALD 퇴적 사이클을 일반적으로 나타내는 플로우챠트이다. 어떤 실시예에 따르면, 실리콘 질화물 박막이 다중의 실리콘 질화물 퇴적 사이클들을 포함하는 ALD-타입 공정에 의해 기판 상에 형성되며, 각 실리콘 질화물 퇴적 사이클(400)은 다음을 포함한다:
[0191] (1) 상기 실리콘 화합물이 기판 표면 상에 흡착하도록, 기판을 기화된 실리콘 전구체와 접촉시킴(410);
[0192] (2) 과량의 실리콘 전구체 및 어떠한 부산물들을 제거함(420);
[0193] (3) 상기 기판을 질소 전구체와 접촉시킴(430); 및
[0194] (4) 과량의 질소 전구체 및 반응 부산물들을 제거함(440).
[0195] 원하는 두께 및 조성의 박막이 얻어질 때까지 상기 접촉 단계들은 반복된다(450). 위에서 언급된 바와 같이, 일부 실시예들에서, 상기 기판은 상기 실리콘 화합물 및 상기 질소 전구체와 동시에 접촉될 수 있으며, 반면에 다른 실시예들에서는 이들 반응물들이 별도로 제공된다.
[0196] 일부 실시예들에 따르면, FinFET 응용에서와 같이, 3차원적 특성을 갖는 기판 상에 열적 ALD 공정을 사용하여 실리콘 질화물 박막이 퇴적된다. 상기 공정은 반드시 이러한 순서로 수행되는 것은 아니지만, 다음 단계들을 포함할 수 있다.
[0197] (1) 기판이 반응 공간 내로 로드(load)된다;
[0198] (2) 실리콘-함유 전구체가 상기 기판의 표면에 흡착되도록, H2SiI2와 같은 실리콘-함유 전구체가 상기 반응 공간 내로 도입된다;
[0199] (3) 퍼지에 의해서와 같이, 과량의 실리콘-함유 전구체 및 반응 분산물들이 제고된다;
[0200] (4) NH3 또는 N2H4와 같은 질소-함유 전구체가 상기 기판 상에서 상기 실리콘-함유 전구체와 반응하도록 상기 반응 공간 내로 도입된다;
[0201] (5) 퍼지에 의해서와 같이, 과량의 질소-함유 전구체 및 반응 부산물을 제거한다; 및
[0202] (6) 원하는 두께의 실리콘 질화물 막이 형성될 때까지 (2) 내지 (5)의 단계들이 반복될 수 있다.
[0203] 일부 실시예들에서, 상기 ALD 공정은 약 100℃ 내지 약 800℃ 사이, 또는 약 200℃ 내지 약 600℃ 사이 또는 약 300℃ 내지 약 500℃ 사이의 온도에서 수행된다. 일부 적용들에서, 상기 반응 온도는 약 400℃이다.
SiN막 특성들
[0204] 여기서 논의된 실시예들의 일부에 따라 퇴적된 실리콘 질화물 박막들(상기 실리콘 전구체가 브롬 또는 요오드를 함유하고 있는지 여부에 상관없이)은 약 3 원자% 아래, 바람직하게는 약 1 원자% 아래, 보다 바람직하게는 약 0.5 원자% 아래, 그리고 가장 바람직하게는 약 0.1 원자% 아래의 불순물 수준들 또는 농도들을 얻을 수 있다. 일부 박막들에서, 수소를 제외한 전체 불순물 수준은 약 5 원자% 아래, 바람직하게는 약 2 원자% 아래, 보다 바람직하게는 약 1 원자% 아래, 그리고 가장 바람직하게는 약 0.2 원자% 아래일 수 있다. 그리고 일부 박막들에서, 수소 수준은 약 30 원자% 아래, 바람직하게는 약 20 원자% 아래, 보다 바람직하게는 약 15 원자% 아래, 그리고 가장 바람직하게는 약 10 원자% 아래일 수 있다.
[0205] 일부 실시예들에서, 상기 퇴적된 SiN 막들은 주목할만한 양의 탄소를 포함하지 않는다. 그러나, 일부 실시예들에서 탄소를 포함하는 SiN 막이 퇴적된다. 예를 들어, 일부 실시예들에서 ALD 반응이 탄소를 포함하는 실리콘 전구체를 사용하여 수행되며, 탄소를 포함하는 실리콘 질화물 박막이 퇴적된다. 일부 실시예들에서 탄소를 포함하는 SiN 막이 알킬기 또는 다른 탄소-함유 리간드를 포함하는 전구체를 사용하여 퇴적된다. 일부 실시예들에서, 탄소를 포함하는 SiN 막을 퇴적하기 위해 화학식 (9) 내지 (28) 중의 하나의 알킬기를 포함하는 실리콘 전구체가 전술한 바와 같이 PEALD 또는 열적 ALD 공정에서 사용된다. Me 또는 Et와 같은 상이한 알킬기들 또는 다른 탄소-함유 리간드들은 상이한 반응 메카니즘들로 인하여 상기 막들 내에서 상이한 탄소 농도들을 만들어낼 수 있다. 따라서, 퇴적된 SiN 막들에서 상이한 탄소 농도를 만들어 내기 위해서 상이한 전구체들이 선택될 수 있다. 일부 실시예들에서, 탄소를 포함하는 상기 SiN 박막은 예를 들어, 로우-k(low-k) 스페이서로서 사용될 수 있다. 일부 실시예들에서, 상기 박막은 아르곤을 포함하지 않는다.
[0206] 도 5a 내지 5b는 본 공개물에 따라 퇴적된 다양한 실리콘 질화물 박막들의 FESEM 이미지들을 보여준다. 상기 막들이 퇴적된 후, 그것들은 2분 동안 HF에 담가진다. 도 6a 내지 6c는 dHF 드립(drip) 공정 후에 동일한 실리콘 질화물 막들을 보여준다.
[0207] 일부 실시예들에 따르면, 상기 실리콘 질화물 박막들은 약 50%보다 큰, 바람직하게는 약 80%보다 큰, 보다 바람직하게는 약 90%보다 큰, 그리고 가장 바람직하게는 약 95%보다 큰 스텝커버리지 및 패턴 로딩 효과들을 보여준다. 일부 경우들에서는 스텝커버리지 및 패턴 로딩 효과들은 약 98%보다 클 수 있으며, 일부 경우에서는 약 100%보다 클 수 있다(측정 도구 또는 방법의 정확성 내에서). 이들 값들은 2보다 큰 어스펙트비들, 바람직하게는 3보다 큰 어스펙트비들, 보다 바람직하게는 5보다 큰 어스펙트비들 그리고 가장 바람직하게는 8보다 큰 어스펙트비들에서 얻어질 수 있다.
[0208] 여기서 사용된 바와 같이, "패턴 로딩 효과(pattern loading effect)"는 본 분야에서 그것의 통상적인 의미에 따라 사용된다. 패턴 로딩 효과는 불순물 함유량, 밀도, 전기적 성질들 및 식각비와 관련하여 보여질 수 있지만, 다르게 지시되지 않는다면, 여기에서 사용될 경우의 상기 패턴 로딩 효과의 용어는 구조물들이 존재하는 상기 기판의 영역에서 막 두께에서의 변화를 지칭한다. 따라서, 상기 패턴 로딩 효과는 개방 영역(open field)을 마주보는 3차원 구조물/피쳐(feature)의 측벽 또는 바닥 상에서의 상기 막 두께에 대하여 3차원 구조물 내측에서 피쳐의 측벽 또는 바닥에서의 상기 막 두께로서 주어질 수 있다. 여기서 사용된 바와 같이, 100% 패턴 로딩 효과(또는 1의 비율)는 피쳐들에 상관없이 상기 기판 전체를 통하여 거의 완전하게 균일한 막 특성을 보여주는 것일 수 있으며, 즉 환언하면 패턴 로딩 효과(피쳐들 대 개방 영역에서, 두께와 같은 특정한 막 성질에서 편차)가 없다.
[0209] 일부 실시예들에서, 실리콘 질화물 막들은 약 3 nm 내지 약 50 nm, 바람직하게는 약 5 nm 내지 약 30 nm, 보다 바람직하게는 약 5 nm 내지 약 20 nm의 두께로 퇴적된다. 이들 두께들은 약 100nm 아래, 바람직하게는 약 50nm 아래, 보다 바람직하게는 약 30nm 아래, 가장 바람직하게는 약 20nm 아래, 그리고 일부 경우들에서는 약 15nm 아래의 피쳐 크기들(폭)에서 얻어질 수 있다. 일부 실시예들에 따르면, SiN 막은 3차원 구조물 상에 퇴적되며, 측벽에서의 두께는 10nm 보다 약간 더 클 수 있다.
[0210] 일부 실시예들에 따르면, 다양한 습식 식각비들(wet etch rates(WER))을 갖는 실리콘 질화물 막들이 퇴적될 수 있다. 0.5% dHF(nm/min)에서 블랭킷 WER을 사용하는 경우, 실리콘 질화물 막들은 약 5보다 작은, 바람직하게는 약 4보다 작은, 보다 바람직하게는 약 2보다 작은, 그리고 가장 바람직하게는 약 1보다 작은 WER 값들을 가질 수 있다. 일부 실시예들에서, 이것은 약 0.3보다 작을 수도 있다.
[0211] 열 산화물의 상기 WER에 대하여 0.5% dHF(nm/min)에서의 상기 블랭킷 WER은 약 3보다 작은, 바람직하게는 약 2보다 작은, 보다 바람직하게는 약 1보다 작은, 그리고 가장 바람직하게는 약 0.5보다 작을 수 있다.
[0212] 그리고 일부 실시예들에서, 핀(fin) 또는 트랜치(trench)와 같은 3차원 피쳐의 상부 영역 WER에 대하여 핀 또는 트랜치와 같은 3차원 피쳐의 측벽 WER은 약 4보다 작은, 바람직하게는 약 3보다 작은, 보다 바람직하게는 약 2보다 작은, 가장 바람직하게는 약 1보다 작을 수 있다.
[0213] 본 공개물에서의 상기 실리콘 질화물 박막들을 사용함에 있어서, 상부와 측부 사이의 두께 차이들은 개선된 막 품질 및 식각 특성들 때문에 일부 적용들에 대해서는 중대하지 않을 수 있다. 그럼에도 불구하고, 일부 실시예들에서는, 상기 측벽을 따른 두께 변화도는 후속되는 적용들 또는 공정들에 대해 매우 중요할 수 있다.
[0214] 일부 실시예들에서, 본 공개물에 따른 실리콘 질화물 막들의 식각 양은 0.5% HF-딥 공정에서 열 SiO2(TOX)에 대해 관찰되는 식각 양보다 약 일 또는 이 배 작을 수 있다(예를 들어, 약 2 내지 약 3 nm의 TOX가 제거되는 공정에서, 여기에서 개시된 방법들에 따라 퇴적될 경우 일 또는이 배 작은 SiN이 제거된다). 바람직한 실리콘 질화물의 WER은 종래의 열 산화물 막들의 WER보다 작을 수 있다.
*SiN 막들의 사용을 위한 특정한 맥락들
[0215] 여기에서 기술된 상기 방법들 및 재료들은 수평적인 소오스/드레인(S/D) 및 게이트 표면들을 갖는 전통적인 횡형 트랜지스터 설계들을 위한 증가된 품질 및 향상된 식각 성질들을 갖는 막들을 제공할 수 있을 뿐만 아니라, 비수평적(예를 들어, 수직적) 표면들 상에서 그리고 복잡한 3차원(3D) 구조물들 상에서 사용하기 위한 향상된 SiN 막들을 제공할 수 있다. 어떤 실시예들에서는, SiN 막들은 집적회로 제조 동안에 3차원 구조물 상에 상기 개시된 방법들에 의해 퇴적된다. 상기 3차원 트랜지스터는 예를 들어, 이중-게이트 필드 효과 트랜지스터들(DG-FET), 그리고 FinFET들을 포함하는 다른 형태의 다중 게이트 FET들을 포함할 수 있다. 예를 들어, 본 개시물의 상기 실리콘 질화물 박막들은 FinFET들과 같은 비평면 다중 게이트 트랜지스터들에서 유용할 수 있으며, 여기서 상기 게이트, 소오스, 및 드레인 영역들의 상부들에 부가하여 수직 벽들 상에 실리사이드를 형성하는 것이 바람직할 수 있다.
[0216] 여기에서 교시된 상기 SiN 퇴적 기술들이 특별히 유용한 다른 3D 구조물은 Shifren 등에 의한 미국 특허 공개번호 2009/0315120 A1에 교시된 바와 같이 3D 상승된(elevated) 소오스/드레인 구조물이며, 이것의 개시 내용은 전체로서 참조로써 본 명세서에 통합된다. Shifren 등은 수직 측벽들을 포함하는 상승된 소오스/드레인 구조물들을 알려준다.
예 1
[0217] 실리콘 질화물 박막이 실란 전구체로써 H2SiI2 및 질소 전구체로써 H2+N2 플라즈마를 사용하는 PEALD 공정에 의해 본 개시물에 따라 400℃에서 퇴적된다. 상기 막은 양쪽 ALD 반응 형태들의 최고 품질들 중의 일부의 조합을 보여준다: PEALD SiN 막들의 전형적인 고품질 및 열적 ALD 막들의 등방성 식각 거동. 이들 결과들은 완전히 이해되는 것은 아니지만, 상기 막 성질들 및 식각 거동은 그럼에도 불구하고 고품질 스페이서층 적용을 위한 사양들(specs) 내에 있다.
[0218] 이런 적용을 위해서, 2의 어스펙트비를 갖는 트랜치 구조물 상에서 상기 스텝 커버리지 및 패턴 로딩 효과는 95% 위가 되어야 하고, 상기 습식 식각 속도(WER)은 열적으로 산화된 실리콘(SiO2, TOX)의 WER의 50%보다 작아야 하며, 상기 식각 속도는 상기 트랜치 구조물의 수평 및 수직 벽들 상에서 거의 동일해야 한다. 최종적으로, 상기 성장 속도는 0.5 nm/min 위가 되어야 하고, 불순물은 가능한 한 낮게 포함되어야 한다.
[0219] 400℃에서, 상기 막 성장 속도는 0.52 Å/사이클이며, 두께 비균일도는 6.2%(1-σ)이다. 굴절률은 0.7%(1-σ)의 비균일도와 함께 2.04이다. 분당 성장 속도는 아직 최적화되지 않았지만, 0.13 nm/min이다.
[220] 평탄 막의 상기 습식 식각 속도는 1.13 nm/min이며, 이것은 Tox의 WER(2.43 nm/min)의 46.7%이다. 트랜치 구조물 상에서 막 컨포말리티(conformality)는 약 91.0 내지 약 93.1% 사이이며, 상기 패턴 로딩 효과는 퇴적 상태에서(식각 전에) 약 95.7 내지 약 99.3% 사이이다. 2분간의 희석(0.5%) HF 식각 후에, 상기 컨포말리티 값은 약 91.5 내지 약 94.6% 사이이며, 상기 패턴 로딩 효과는 약 97.4 내지 약 99.5% 사이이다. 상기 트랜치의 상부 영역 A의 습식 식각 속도는 4.32 nm/min, 트랜치 측벽 B는 2.98 nm/mim, 트랜치 바닥 C는 3.03 nm/min이었다. D로 나타나는 필드 영역들은 2.63 nm/min의 식각 속도이었다.
[0221] 어떠한 특별한 이론에 매임이 없이, 요오드 또는 브롬의 상기 리간드 제거 단계가 상기 플라즈마 방전 전에 완성된다는 것은 유익할 수 있다고 믿어진다. 그것은 잔류하는 리간드들이 분해되어 불순물들로써 재입장하는 것을 방지할 수 있으며, 할라이드들의 경우 플라즈마 활성화된 할라이드들의 형성이 또한 방지될 수 있다.
[0222] 본 개시물에 따라 퇴적된 실리콘 질화물 박막의 조성은 HFS-RBS에 의해 분석되었다. 그 결과들이 아래의 표 1에 보여진다. 부가적으로, XRR 데이터가 동일한 막으로부터 얻어졌다. 상기 막의 두께는 약 117 nm인 것으로 결정되었다. 질량 밀도는 2.63 (± 0.1) g/㎤인 것으로 결정되었다. 그리고 표면 RMS 거칠기는 1.76 (±0.1)nm인 것으로 결정되었다.
HFS-RBS에 의해 측정된 막 조성
성분 함량 / 원자-% 불확실성 / 원자-%
Si 32.7 1
N 48.9 3
H 18.3 2
조합된 불순물들 ~ 0.15 0.15
최대. 개별 불순물 0.1 0.1
예 2
[0223] 향상된 식각 성질들 및 불순물 함유량(예 1과 비교하여)을 갖는 실리콘 질화물 박막이 본 개시물에 따른 PEALD 공정들에 의해 직접(direct) 플라즈마 ALD 샤워헤드 반응기 내에서 퇴적된다. 200℃ 및 400℃의 서셉터 온도들이 사용되었다. 실리콘 전구체로써 H2SiI2가 사용되었고, 질소 전구체로써 H2+N2 플라즈마가 사용되었다. 플라즈마 파워는 약 200W 내지 약 220W이었으며, 상기 샤워헤드 플레이트와 서셉터 사이의 갭(즉, 플라즈마가 발생되는 공간)은 10mm이었다. 상기 플라즈마는 아르곤을 포함하지 않는다. 질소가 캐리어 가스로써 사용되었으며, 상기 퇴적 공정 내내 플로우되었다. H2SiI2 소비는 약 9.0 mg/사이클이었다.
[0224] 400℃에서, 상기 막 성장률은 0.7Å/사이클이었으며, 퇴적된 막들은 컨포말(conformal)하였다. 굴절률은 1.92-1.93이었다. 100:1 dHF에서 평탄 막들의 습식 식각 속도들(WER)은 열 산화물(SiO2)의 WER의 약 20 내지 30%이었다. 트랜치 구조물에서, 트랜치 상부에 대한 트랜치 측벽의 막 습식 식각비는 약 0.8 내지 약 1.0으로 변화되었다.
[0225] 200℃에서 퇴적된 실리콘 질화물 박막들의 불순물 함유량은 TXRF에 의해 분석되었다. 막들은 8.43 x 1012 요오드 원자/㎠을 포함하며, 이것은 H2+N2 플라즈마에 부가하여 아르곤을 함유하는 플라즈마를 사용하여 퇴적된 막들의 불순물 함유량(1.418 x 1013 요오드 원자/㎠) 보다 약간 작다. 부가적으로, 아르곤-함유 플라즈마를 사용하여 400℃에서 퇴적된 막들은 TXRF 분석에 의해 입증된 바와 같이 불순물(8.067 x 1013 아르곤 원자/㎠)로써 아르곤을 갖는다. 어떤 특정한 이론에 매임이 없이, 아르곤은 상기 막 내측에 트랩될 수 있고, 그리고 아르곤을 함유하지 않는 플라즈마를 사용함으로써 이것이 방지될 수 있다고 믿어진다.
플라즈마 처리
[0226] 여기에서 기술된 바와 같이, 플라즈마 처리 단계들은 막 성질들을 증진시키기 위해 다양한 재료들의 형성에 사용될 수 있다. 특히, 예를 들어 질소 플라즈마를 사용하는, 플라즈마 치밀화 단계의 활용은 SiN 막들과 같은 질화물 막들의 성질들을 증진시킬 수 있을 것이다. 일부 실시예들에서, SiN 막들을 형성시키기 위한 공정은 상기 SiN을 퇴적시키고 그리고 플라즈마 처리로 상기 퇴적된 SiN을 처리하는 것을 포함한다. 일부 실시예들에서, 상기 SiN은 열적 ALD 공정에 의해 퇴적되며, 연속적으로 플라즈마 처리를 받는다. 예를 들어, SiN은 실리콘 종들이 기판의 표면 상에 흡착되도록 기판이 실리콘 전구체와 접촉하는 제1 단계, 및 상기 기판 표면 상에 흡착된 상기 실리콘 종들이 질소 전구체와 접촉되는 제2 단계를 포함하는 복수의 퇴적 사이클들을 포함하는 열적 ALD 공정에 의해 퇴적될 수 있다. 여기에서 기술된 바와 같이, 상기 열적 ALD 공정에 의해 퇴적된 상기 SiN은 예를 들어, 각 퇴적 사이클 후에, 상기 퇴적 공정 동안 또는 이어지는 SiN 퇴적 공정의 완성 동안의 간격에서 플라즈마 처리를 받을 수 있다. 일부 실시에들에서, SiN은 PEALD 공정에 의해 퇴적된다. 일부 실시예들에서, PEALD 퇴적 공정은 제1 단계 및 제2 단계를 포함한다. 예를 들어, SiN PEALD 공정의 제1 단계는 실리콘 종들이 타겟 기판의 표면 상에 흡착되도록 타겟 기판을 실리콘 전구체와 접촉시키는 단계를 포함할 수 있으며, SiN PEALD 공정의 제2 단계는 SiN을 형성하기 위하여 상기 타겟 기판의 상기 표면 상에 흡착된 상기 실리콘 종들을 질소를 포함하는 플라즈마와 접촉시키는 단계를 포함할 수 있다. 상기 퇴적 공정의 이 부분에서, 상기 플라즈마는 수소 이온들을 포함할 수 있다. 예를 들어, PEALD 실리콘 질화물 퇴적 사이클은 상기 타겟 기판을 여기에서 기술된 것들과 같은 실리콘 전구체, 그리고 활성화된 질소 전구체, 예를 들어 질소 및 수소 가스의 플라즈마와 접촉시키는 단계를 포함한다. 상기 타겟 기판은 본 단계에서 활성화된 수소 함유 종들(예를 들어, H+ 및/또는 H3 + 이온들)에 노출될 수 있으며, 이것은 예를 들어 상기 표면 반응들을 촉진시킬 수 있다. 그러나, 실리콘 질화물 막을 활성화된 수소 함유 종들에 노출시키는 것은 실리콘 질화물 막들의 퇴적을 촉진시키는(예를 들어, 실리콘 질화물 막들의 컨포말 퇴적을 위해 하나 또는 그 이상의 표면 반응들을 촉진시킴) 반면에, 이러한 노출은 상기 막의 박리 및/또는 상기 실리콘 질화물 막에서 기포 결함들의 형성과 같은 하나 이상의 결함들의 형성을 초래할 수 있다. 따라서, 일부 실시예들에서, 상기 PEALD 실리콘 질화물 퇴적 사이클에서 상기 제1 플라즈마 단계(또한 질소 플라즈마 전구체 단계로서 지칭됨)가 심각한 결함 형성 또는 박리를 방지하기에 충분히 낮은 플라즈마 파워 및 지속 시간에서 수행된다.
[0227] 일부 실시예들에서, PEALD에 의한 SiN의 퇴적에 이어서 제2 플라즈마 처리 단계가 수행된다. 상기 제2 플라즈마 처리 단계는 각 PEALD 사이클 후에, SiN 퇴적 동안의 간격들에서, 또는 상기 PEALD SiN 퇴적 공정이 완료된 후에 수행될 수 있다. 상기 제2 플라즈마 처리 단계는 질소 플라즈마 처리 단계일 수 있다. 상기 제2 플라즈마 단계는 예를 들어, 상기 퇴적된 SiN 막의 치밀화에 이르게 되거나, 그렇지 않으면 막 성질들의 향상에 이르게 된다. 따라서, 상기 제2 질소 플라즈마 처리 단계는 또는 치밀화 단계로 지칭될 수 있다. 상기 플라즈마 파워 및/또는 지속 시간은, 아래에서 보다 상세하게 논의되는 바와 같이, 상기 제1 질소 플라즈마 전구체 단계 보다도 상기 치밀화 단계(제2 질소 플라즈마 처리 단계)에서 더 클 수 있다. 중요하게는, 상기 제2 질소 플라즈마 처리 단계는 H+ 및/또는 H3 + 와 같은 활동적인 수소 종들의 제공을 포함하지 않는다. 상기 치밀화 단계는 PEALD 공정의 모든 사이클 후에, 또는 아래에서 보다 상세하게 논의되는 바와 같이, PEALD 퇴적 공정의 다양한 간격들 후에 수행될 수 있다.
[0228] 따라서, 일부 실시예들에서, 하나 이상의 실리콘 질화물 막 퇴적 사이클들에 이어서 질소 플라즈마 처리가 후속될 수 있다. 질소 플라즈마 처리를 활용하는 것은 실리콘 질화물 막 박리 및/또는 상기 실리콘 질화물 막 내에서의 기포 결함들의 형성을 감소시키거나 방지하면서 어떤 원하는 특성들을 갖는 실리콘 질화물 막들의 형성을 촉진할 수 있다. 질소 플라즈마 처리의 사용은, 상기 막 내에 심각한 결함들의 도입이 없이 원하는 습식 식각 비들(예를 들어, 희석 HF 에서의 습식 식각 비들)과 같은 원하는 특성들을 나타내는 막을 얻으면서도, 실리콘 질화물 막들의 컨포말한 퇴적을 위해 상기 실리콘 질화물 막들의 퇴적에서 활성화된 수소 함유 종들을 사용하는 것을 허용할 수 있다. 어떤 특별한 이론 또는 동작 모드에 의해 제한됨이 없이, 질소 플라즈마 처리의 적용은 상기 실리콘 질화물 막 퇴적 사이클들에 의해 형성된 상기 실리콘 질화물 막의 밀도를 증가시킬 수 있다. 일부 실시예들에서, 질소 플라즈마 처리의 적용은 습식 식각에 대한 증가된 저항을 나타내는 실리콘 질화물 막의 형성을 촉진시킬 수 있다(예를 들어, 질소 플라즈마 처리 없이 형성된 실리콘 질화물 막과 비교하여, 여기서 상부 층은 쉽게 산화될 수 있고 그리고 열적 산화물의 것과 유사한 WERR을 보여준다). 일부 실시예들에서, 질소 플라즈마 처리의 적용은 3-D 피쳐들 상의 수직적 표면들에 비하여 수평적 표면들의 증가된 식각 속도 균일도, 열적 산화물(TOX)에 비하여 감소된 습식 식각 속도(WER), 및/또는 감소된 습식 식각 속도 비(WERR)를 갖는 실리콘 질화물의 형성을 촉진시킬 수 있다.
[0229] 일부 실시예들에서, 여기에 기술된 하나 이상의 공정들에 따라 3-D 피쳐들 상에 형성된 실리콘 질화물 박막은, 0.5% dHF에서 상기 3-D 피쳐들의 상부 영역들 상의 상기 실리콘 질화물 박막의 습식 식각 속도(WER)에 대한 상기 3-D 피쳐들의 상기 측벽들 상의 상기 실리콘 질화물 박막의 습식 식각 속도(WER)의 비가 약 1보다 작다는 것을 보여줄 수 있다. 일부 실시예들에서, 상기 비는 0.5% dHF에서 약 0.75 내지 약 1.5이며, 일부 실시예들에서는 약 0.9 내지 약 1.1이다.
[0230] 일부 실시예들에서, 질소 플라즈마 처리를 활용하는 것은 FinFET들 및 다른 다중게이트 트랜지스터들과 같은 최첨단의 반도체 소자들에서 희생층들, 게이트 스페이서들 및/또는 SDDP/SDQP(spacer defined double/quadruple patterning)와 같은 적용들에서 유용한 실리콘 질화물 막들의 형성을 촉진시킬 수 있다.
[0231] 비록 여기서 기술된 실시예들이 실리콘 질화물 막들의 PEALD 퇴적을 언급하고 있지만, 다른 퇴적 기술들도 또한 적용될 수 있을 것이다(예를 들어, 열적 ALD 및/또는 라디칼 강화 ALD). 나아가, 상기 질소 플라즈마 처리는 다른 재료들의 퇴적에 적용될 수 있을 것이다(예를 들어, 금속 재료들, 유전체 재료들, 및/또는 티타늄 질화물(TiN)과 같은 다른 질화물 재료들).
[0232] 도 7은 일부 실시예들에 따라서 실리콘 질화물 PEALD 퇴적 공정(760)에 후속되는 질소 플라즈마 처리(740)를 포함하는 실리콘 질화물 막 형성 공정(770)의 예를 일반적으로 보여주는 플로우챠트(700)이다. 여기에 기술된 바와 같이, 실리콘 질화물 막 퇴적 공정(760)은 타겟 기판을 하나 이상의 실리콘 전구체들과 접촉시키는 단계(710)(예를 들어, 실리콘 전구체 단계), 이에 후속되는 상기 타겟 기판을 하나 이상의 질소 전구체들에 접촉시키는 단계(720)(예를 들어, 질소 전구체 단계)의 하나 이상의 사이클들을 포함할 수 있다. 일부 실시예들에서, 상기 질소 전구체는 활성화된 수소 종들을 포함할 수 있는 질소 플라즈마이다.
[0233] 상기 타겟 기판을 질소 플라즈마 처리에 노출시키는 단계(740)가 타겟 기판을 하나 이상의 실리콘 전구체들과 접촉시키는 단계(710) 및 상기 타겟 기판을 하나 이상의 질소 전구체들과 접촉시키는 단계(720)의 하나 이상의 사이클들(730)에 후속될 수 있다. 상기 질소 플라즈마 처리(740)는 각 퇴적 사이클(730) 후에 수행될 수 있거나, 또는 상기 퇴적 공정을 통해 간헐적으로, 예를 들어 매 2,3,4,5,6,7, 등등의 사이클들 후에 수행될 수 있다.
[0234] 일부 실시예들에서, 상기 실리콘 질화물 퇴적 공정(760)에 이어 상기 타겟 기판을 질소 플라즈마 처리(740)에 노출시키는 단계(740)가 후속되며, 이 공정은 몇 번이고 반복된다(750). 예를 들어, 완성된 실리콘 질화물 막 형성 공정(770)은, 타겟 기판을 하나 이상의 실리콘 전구체들과 접촉시키는 단계(710) 및 상기 타겟 기판을 하나 이상의 질소 전구체들과 접촉시키는 단계(70)의 다수의 사이클들(730), 이어 후속되는 상기 타겟 기판을 질소 플라즈마 처리에 노출시키는 단계(740)를 포함할 수 있다.
[0235] 어떤 실시예들에 따르면, 반응 공간 내의 기판 상에 실리콘 질화물 막을 형성하는 공정은 다음 단계들의 다수의 반복을 포함할 수 있다:
[0236] (1) 실리콘-함유 종들이 상기 기판의 표면에 흡착되도록, SiI2H2와 같은 실리콘-함유 전구체가 상기 반응 공간 내로 도입된다;
[0237] (2) 과량의 실리콘-함유 전구체 및/또는 반응 부산물들이 만약에 있다면, 상기 반층 공간으로부터 제거된다;
[0238] (3) N2, NH3, N2H4, 또는 N2와 같은 질소-함유 전구체 및 H2가 상기 반응 공간 내로 도입된다;
[0239] (4) 상기 질소 전구체로부터 반응성 종들을 발생시킨다;
[0240] (5) 상기 기판을 상기 반응성 종들과 접촉시킨다; 그리고
[0241] (6) 가량의 질소 원자들, 플라즈마, 또는 라디칼들 및/또는 반응 부산물들을 만약 있다면 제거한다;
[0242] (7) 상기 기판을 질소 플라즈마 처리에 적용시킨다.
[0243] 단계들 (1) 내지 (6)이 원하는 두께의 실리콘 질화물 막이 형성될 때까지 반복될 수 있다.
[0244] 일부 실시예들에서, 단계 (6)은 상기 질소 플라즈마 처리의 적용 이전에 수행되지 않는다. 예를 들어, 상기 기판을 상기 질소 전구체로부터 발생된 반응성 종들과 접촉시키는 것에 이어 퍼지 공정 없이 또는 실질적으로 퍼지 공정 없이 상기 질소 플라즈마 처리의 적용이 후속될 수 있으며, 상기 질소 전구체 단계로부터 하나 이상의 반응성 종들의 잔류 량은 상기 질소 플라즈마 처리에서 존재할 수도 있다. 예를 들어, 수소 종들의 잔류 량은 존재할 수 있다.
[0245] 일부 실시예들에서, 단계들 (4) 및 (5)가 어떤 단계에 의해 대체될 수도 있으며, 여기서 상기 질소 원자들, 플라즈마 또는 라디칼들이 원격적으로 형성되고 상기 반응 공간에 제공된다.
[0246] 도 8은 일부 실시예들에 따라서 실리콘 질화물 PEALD 퇴적 공정(860)에 이어 후속되는 질소 플라즈마 처리(840)를 포함하는 실리콘 질화물 막 형성 공정(870)의 다른 예를 일반적으로 보여주는 플로우챠트(800)이다. 여기에 기술된 바와 같이, 실리콘 질화물 막 퇴적 공정(860)은 타겟 기판을 하나 이상의 실리콘 전구체들과 접촉시키는 단계(810), 이어 후속되는 상기 타겟 기판을 활성화된 수소 함유 종들을 포함하는 질소 플라즈마와 접촉시키는 단계(820)(예를 들어, 상기 기판을 하나 이상의 질소 전구체들과 접촉시키는 단계의 일부로써)의 하나 이상의 사이클들(830)을 포함할 수 있다.
[0247] 상기 타겟 기판을 활성화된 수소 함유 종들이 없거나 또는 실질적으로 없는 질소 플라즈마와 접촉시키는 단계(840)(예를 들어, 질소 플라즈마 처리의 일부로써)는 상기 타겟 기판을 하나 이상의 실리콘 전구체들과 접촉시키는 단계(810) 및 상기 타겟 기판을 활성화된 수소 함유 종들을 포함하는 질소 플라즈마와 접촉시키는 단계(820)의 하나 이상의 사이클들(830)에 후속될 수 있다. 상기 타겟 기판을 활성화된 수소 함유 종들이 없거나 또는 실질적으로 없는 질소 플라즈마와 접촉시키는 단계(840)는 각 퇴적 사이클(830) 후에 수행될 수 있거나, 또는 상기 퇴적 공정을 통해 간헐적으로, 예를 들어 매 2,3,4,5,6,7, 등등의 사이클들 후에 수행될 수 있다.
[0248] 일부 실시예들에서, 상기 타겟 기판을 활성화된 수소 종들이 없거나 또는 실질적으로 없는 질소 플라즈마와 접촉시키는 단계9840)가 후속되는 상기 실리콘 질화물 퇴적 공정(860)이 여러 번 반복될 수 있다(850). 예를 들어, 완성된 실리콘 질화물 막 형성 공정(870)은, 상기 타겟 기판을 활성화된 수소 함유 종들이 없거나 또는 실질적으로 없는 질소 플라즈마와 접촉시키는 단계(840)가 후속되는 상기 실리콘 질화물 퇴적 공정(860)의 다수의 사이클들을 포함할 수 있다.
[0249] 여기서 기술된 바와 같이, 상기 PEALD 공정은 약 200℃ 내지 약 400℃ 사이의, 약 300℃ 내지 약 400℃ 사이의, 또는 약 400℃의 온도에서 수행될 수 있다.
[0250] 일부 실시예들에서, 상기 질소 플라즈마 처리는 상기 기판을 질소 및 하나 이상의 불활성 가스들을 포함하는 반응물로부터 형성된 플라즈마와 접촉시키는 것을 포함할 수 있다. 예를 들어, 상기 질소 플라즈마 처리는 상기 기판을 질소 및 아르곤과 같은 하나 이상의 불활성 가스들로부터 형성된 플라즈마와 접촉시키는 단계를 포함할 수 있다. 상기 질소 플라즈마 처리는 직접 플라즈마 공정을 포함할 수 있다. 예를 들어, PEALD 실리콘 질화물 퇴적 공정의 하나 이상의 사이클들에 이어 질소 플라즈마 처리가 후속될 수 있다. 플라즈마 질소 전구체 단계를 포함하는 PEALD 실리콘 질화물 퇴적 공정의 하나 이상의 사이클들은 원하는 화학적 및/또는 물리적 성질들(예를 들어, 원하는 습식 식각 특성들 및 박리 결함이 없거나 또는 실질적으로 없는)을 갖는 컨포말한 실리콘 질화물 막의 형성을 촉진시키기 위해 질소 플라즈마 처리와 조합될 수 있다.
[0251] 일부 실시예들에서, 상기 질소 플라즈마 처리는 유의미한 양의 활성화된 수소 함유 종들(예를 들어, 수소 이온들(H+))을 포함하지 않는다. 일부 실시예들에서, 상기 질소 플라즈마 처리는 활성화된 수소 함유 종들이 없거나 또는 실질적으로 없을 수 있다. 일부 실시예들에서, 상기 질소 처리는 상기 반응 챔버 내에서 수행된 이전의 공정으로부터, 예를 들어 상기 타겟 기판을 질소 전구체들과 접촉시키기 위한 실리콘 질화물 퇴적 공정(예를 들어, 질소 전구체 단계)에서의 사이클로부터 남아있는 활성화된 수소 함유 종들의 잔류 량을 포함할 수 있다. 여기서 기술된 바와 같이, 일부 실시예들에서 퍼지 공정이 상기 질소 플라즈마 처리의 이전에 수행되지 않을 수도 있으며, 그리하여 수소 함유 종들의 일부 양이 상기 반응 챔버 내에 남아있는다. 예를 들어, 상기 질소 플라즈마 처리는 상기 반응 챔버에 수소 함유 요소를 공급하지 않을 수도 있지만, 이전 공정 단계로부터의 수소 함유 종들의 일부 양이 상기 질소 플라즈마 처리의 적어도 일부 동안에 상기 공정 챔버 내에 남아있을 수도 있다.
[0252] 일부 실시예들에서, 실리콘 질화물을 퇴적하기 위한 PEALD 공정에서 플라즈마 파워는 막 결함들의 형성 및/또는 박리를 감소시키거나 또는 방지하기에 충분히 낮다. 그러나, 상기 플라즈마 파워는 상기 질소 플라즈마 처리에서 더욱 높을 수도 있다. 따라서, 일부 실시예들에서, 질소 플라즈마 처리에서 사용된 플라즈마 파워는 실리콘 질화물의 퇴적을 위한 PEALD 공정(예를 들어, 상기 PEALD 공정의 질소 전구체 단계)에서 사용된 것보다 크거나 또는 그것과 동일하다. 예를 들어, SiN을 형성하기 위한 PEALD 사이클에서, 플라즈마가 감소된 플라즈마 파워를 사용하여 질소 및 수소를 포함하는 가스와 함께 형성될 수 있으며, 반면에 수소 함유 종들이 없거나 또는 단지 잔류 량만을 포함하는 질소 플라즈마 처리에서는 상대적으로 높은 플라즈마 파워가 사용될 수 있다. 일부 실시예들에서, 질소 플라즈마 처리 동안에 적용된 플라즈마 파워는, 활성화된 수소 종들이 형성되는 SiN을 형성하기 위한 PEALD 공정 동안에(예를 들어, 상기 PEALD 공정의 질소 전구체 단계 동안에) 적용된 플라즈마 파워의 약 900%에 이른다. 일부 실시예들에서, 질소 플라즈마 처리를 위한 플라즈마 파워는 바람직하게는 상기 질소 전구체 단계에서 사용된 플라즈마 파워의 약 400%에 이르며, 보다 바람직하게는 상기 질소 전구체 단계에서 사용된 플라즈마 파워의 약 100% 내지 약 250%에 이르며, 그리고 가장 바람직하게는 상기 질소 전구체 단계에서 사용된 플라즈마 파워의 약 100% 내지 약 200%에 이른다.
[0253] 일부 실시예들에서, 질소 플라즈마 처리에서 사용된 플라즈마 파워는 질소 전구체 단계에서 사용된 것 보다 작다. 예를 들어, 질소 플라즈마 처리에서 사용된 플라즈마 파워는 상기 질소 전구체 단계에서 사용된 플라즈마 파워의 약 50% 내지 100% 사이일 수 있다.
[0254] PEALD 실리콘 질화물 퇴적 공정에서 사용된 플라즈마 파워는, 구조물들의 기하학 및/또는 상기 실리콘 질화물이 퇴적되는 상기 타겟 기판의 재료를 포함하여, 다양한 팩터들에 의존할 수 있다. 여기서 기술된 바와 같이, PEALD 실리콘 질화물 퇴적의 사이클에서 사용된 플라즈마 파워는, 예를 들어 약 100W(Watts) 내지 약 300W 및 약 150W 내지 약 250W를 포함하여 약 50W 내지 약 600W (예를 들어, 300 mm 웨이퍼 기판을 처리하기 위해 구성된 반응 챔버 내에서)일 수 있다. 여기서 기술된 바와 같이, 질소 플라즈마 처리 동안에 적용된 플라즈마 파워는, 예를 들어 약 100W 내지 약 1000W, 바람직하게는 약 125W 내지 약 600W, 보다 바람직하게는 약 150W 내지 약 300W를 포함하여, 상기 질소 전구체 단계 동안에 적용된 플라즈마 파워보다 크거나 또는 동일할 수 있다. 일부 실시예들에서, 질소 플라즈마 처리 동안에 적용된 파워의 파워 밀도는(예를 들어, 300mm 웨이퍼 기판을 처리하기 위해 구성된 반응 챔버에서) 약 0.07 W/㎤ 내지 약 70 W/㎤, 바람직하게는 약 0.08 W/㎤ 내지 약 0.4 W/㎤, 그리고 보다 바람직하게는 약 0.1 W/㎤ 내지 약 0.2 W/㎤일 수 있다.
[0255] 질소 플라즈마 처리의 지속 시간은 원하는 결과들을 얻기 위해 선택될 수 있다. 일부 실시예들에서, 상기 지속 시간은 부분적으로는 처리되는 실리콘 질화물의 두께에 기초한다. 예를 들어, 더욱 짧은 질소 플라즈마 처리가 각 PEALD 사이클 후에 적용된 상기 질소 플라즈마 처리에 사용될 수 있으며, 반면에 더욱 긴 질소 플라즈마 처리가 상기 질소 플라즈 처리가 덜 빈번하게 적용될 경우 사용될 수 있다.
[0256] 여기에서 기술된 바와 같이, 실리콘 질화물 형성 공정은 상기 실리콘 질화물 막을 퇴적하기 위한 복수의 퇴적 사이클들 및 하나 이상의 질소 플라즈마 처리 단계들을 포함할 수 있으며, 여기서 각 퇴적 사이클은 질소 전구체 단계가 후속되는 실리콘 전구체 단계를 포함할 수 있다. 일부 실시예들에서, 복수의 퇴적 사이클들(예를 들어, 질소 전구체 단계가 후속되는 실리콘 전구체 단계를 포함하는 퇴적 사이클) 및 하나 이상의 질소 플라즈마 처리 단계들을 포함하는 사이클이 여러 번 반복될 수 있다. 일부 실시예들에서, 복수의 퇴적 사이클들이 원하는 실리콘 질화물 막 두께를 얻기 위해 반복될 수 있으며, 이것은 이어서 하나 이상의 질소 플라즈마 처리 단계들이 후속될 수 있다.
[0257] 일부 실시예들에서, PEALD 실리콘 질화물 막 퇴적에서 질소 전구체 단계들의 전체 지속 시간은 상기 질소 플라즈마 처리들의 전체 지속 시간보다 크거나 또는 같다. 상기 질소 플라즈마 처리의 전체 지속 시간은 실리콘 질화물 막 형성 공정에서 수행된 모든 질소 플라즈마 처리들의 기간들의 합일 수 있으며, 상기 질소 전구체 단계의 전체 지속 시간은 상기 실리콘 질화물 막 형성 공정에서 수행된 질소 전구체 단계들의 모든 기간들의 합일 수 있다.
[0258] 질소 전구체 단계들(예를 들어, H+ 이온들과 같은 활성화된 수소 종들을 포함하는 플라즈마 공정들)의 지속 시간은, 막 결함들(예를 들어, 기포 결함들)을 감소시키거나 또는 방지하면서 원하는 막 성장 및/또는 상기 막의 원하는 컨포말한 퇴적을 얻기 위해 선택될 수 있다. 일부 실시예들에서, 질소 전구체 단계의 지속 시간은 상기 질소 전구체 단계에서 사용된 플라즈마 파워의 크기, 및/또는 상기 플라즈마 파워가 적용되는 지속 시간에 의존할 수 있다. 일부 실시예들에서, 기포(blistering) 및/또는 박리 없이 또는 실질적으로 없이 실리콘 질화물 막들의 형성을 촉진하기 위해서 더욱 큰 플라즈마 파워가 더욱 짧은 지속 시간 동안 적용될 수 있다. 예를 들어, 어떤 특별한 이론 또는 동작 모드에 제한됨이 없이, 적용된 플라즈마 파워 및/또는 상기 질소 전구체 단계의 지속 시간에서의 증가는 기포 및/또는 박리와 같은 막 결함들의 형성에 기여할 수 있다. 예를 들어, 약 200W의 파워에서 질소 전구체 단계를 위한 6초의 플라즈마 지속 시간은 기포들을 발생시키지 않거나 실질적으로 발생시키지 않을 수 있지만, 반면에 약 200W의 동일한 플라즈마 파워로 8초의 지속 시간은 기포들의 형성을 초래할 수 있다. 예를 들어, 약 400W의 파워에서 6초의 플라즈마 지속 시간은 기포들의 형성을 초래할 수 있다.
[0259] 일부 실시예들에서, 수소를 포함하는 질소 전구체 단계는 약 5000 W*s보다 작은, 바람직하게는 약 2500 W*s보다 작은, 그리고 가장 바람직하게는 약 1000 W*s보다 작은, 초(s) 단위의 플라즈마 지속 시간에 의해 곱해진 와트(W)의 플라즈마 에너지를 가질 수 있다.
[0260] 일부 실시예들에서, 실리콘 질화물 퇴적 공정의 질소 플라즈마 처리는 상기 질소 전구체 단계에서 활성화된 수소 함유 종들이 제공되는 전체 지속 시간의 약 1% 내지 약 100%, 바람직하게는 상기 질소 전구체 단계에서 활성화된 수소 함유 종들이 제공되는 전체 지속 시간의 약 5% 내지 약 75%, 그리고 보다 바람직하게는 약 10% 내지 약 50%의 전체 지속 시간을 가질 수 있다.
[0261] 일부 실시예들에서, 실리콘 질화물 퇴적 공정의 질소 플라즈마 처리는 활성화된 수소 함유 종들이 제공되는 상기 질소 전구체 단계의 전체 지속 시간의 약 40%의 전체 지속 시간을 가질 수 있다. 예를 들어, 실리콘 질화물 퇴적 공정은 질소 전구체 단계에 의해 후속되는 실리콘 전구체 단계의 25 사이클들을 포함할 수 있으며, 실리콘 질화물 공정이 활성화된 수소 함유 종들이 제공되는 전체 150초의 질소 전구체 단계들을 포함한다면 각 사이클은 활성화된 수소 함유 종들이 제공되는 6초의 질소 전구체 단계를 포함한다. 활성화된 수소 함유 종들이 제공되는 상기 질소 전구체 단계의 40%가 되는 상기 질소 플라즈마 처리의 전체 지속 시간은 약 60초일 수 있다.
[0262] 상기 타겟 기판이 상기 질소 플라즈마 처리에 노출되는 빈도는 원하는 최종 막 특성들을 얻기 위해 선택될 수 있다. 예를 들어, 하나 이상의 질소 플라즈마 처리들은 실리콘 질화물 막 성장을 위해 상기 타겟 기판이 질소 전구체들에 의해 후속되는 하나 이상의 실리콘 전구체들에 노출되는 사이클들의 다수의 반복들을 뒤따를 수 있다. 일부 실시예들에서, 상기 타겟 기판을 질소 전구체들에 의해 후속되는 하나 이상의 실리콘 전구체들에 노출시키는 사이클들은 각 질소 플라즈마 처리 전에 25회 반복될 수 있다. 예를 들어, 질소 플라즈마 처리가 상기 타겟 기판을 질소 전구체들에 의해 후속되는 하나 이상의 실리콘 전구체들에 노출시키는 매 25회 사이클들의 반복을 뒤따를 수 있다. 일부 실시예들에서, 질소 플라즈마 처리는 상기 타겟 기판을 질소 전구체들에 의해 후속되는 하나 이상의 실리콘 전구체들에 노출시키는 매 50회 사이클들의 반복을 뒤따를 수 있다. 일부 실시예들에서, 질소 플라즈마 처리는 상기 타겟 기판을 질소 전구체들에 의해 후속되는 하나 이상의 실리콘 전구체들에 노출시키는 매 100회 사이클들의 반복을 뒤따를 수 있다.
[0263] 어떤 특정한 이론 또는 동작 모드에 제한됨에 없이, 플라즈마 질소 처리가 예를 들어, 상기 실리콘 질화물 막의 이온 충격을 통하여 상기 실리콘 질화물 막의 치밀화를 위해 적용될 수 있다. 예를 들어, 상기 질소 플라즈마 처리 동안에 상기 실리콘 막을 상기 플라즈마에 노출시키는 것을 증가하는 것은 이온 충격의 도즈를 증가시킬 수 있으며, 상기 막의 치밀화를 증가시킬 수 있다. 수소가 없는 또는 실질적으로 없는 질소 플라즈마 처리는 박리 결함들이 없이 또는 실질적으로 없이 실리콘 질화물 막들의 형성을 용이하게 하면서 증가된 플라즈마 파워의 사용을 용이하게 할 수도 있다. 한편, 수소 함유 종들은 표면 반응들 및/또는 상기 실리콘 질화물 막의 컨포말한 퇴적을 용이하게 하기 위하여 실리콘 질화물 성장 사이클에 사용될 수도 있다. 치밀화된 실리콘 질화물 막은, 예를 들어 3-D 피쳐들의 수직적 표면 및 수평적 표면 상에서 피쳐들의 식각 속도들에서 증가된 균일도를 포함하여, 감소된 습식 식각 속도 및/또는 증가된 습식 식각 균ㅇ리도를 나타낼 수 있다.
[0264] 일부 실시예들에서, -NH 표면 작용기들을 제공하기 위한 공정이 질소 플라즈마 처리에 후속하여 수행될 수 있다. 어떤 특정한 이론 또는 동작 모드에 제한됨이 없이, 실리콘 질화물 막 성장을 위한 다량의 -NH 표면 작용기들이 질소 플라즈마 처리 동안에 발생하는 상기 타겟 기판 표면의 이온 충격에 의해 제거될 수도 있다. -NH 표면 작용기들을 제공하기 위한 공정이 상기 타겟 기판에 질소 플라즈마 처리 동안에 제거되었던 표면 -NH 작용기들을 제공하기 위해 질소 플라즈마 처리에 후속하여 수행될 수 있다. 일부 실시예들에서, 상기 표면 -NH 작용기들을 제공하기 위한 공정은 플라즈마 공정, 그리고 하나 이상의 질소 함유 및 수소 함유 요소들을 포함할 수 있다. 일부 실시예들에서, 표면 -NH 작용기들을 제공하기 위한 공정은 질소 전구체들을 제공하기 위한 공정과 동일할 수 있다. 예를 들어, -NH 표면 작용기들을 제공하기 위한 상기 플라즈마 공정은 상기 타겟 기판을 질소 함유 가스에서 발생된 플라즈마에 노출시키는 것을 포함할 수 있다. 일부 실시예들에서, 상기 플라즈마는, 예를 들어 활성화된 수소 함유 및 질소 함유 종들을 제공하기 위해, 또한 수소를 함유할 수도 있다. 예를 들어, PEALD 실리콘 질화물 공정의 질소 전구체 단계와 유사하거나 또는 동일한 공정이 -NH 표면 작용기들을 제공하기 위해 질소 플라즈마 처리에 후속하여 형성될 수 있다.
PEALD 실리콘 질화물 퇴적과 조합된 질소 처리 공정의 예들
[0265] 실리콘 질화물 막 형성 공정들의 사이클의 두 예들이 아래에 제공된다. 각 공정은 질소 플라즈마 처리와 PEALD 실리콘 질화물 퇴적 공정의 조합을 포함하며, 각 시퀀스를 사용하여 형성된 실리콘 질화물 막들의 습식 식각 성능이 아래에서 논의되는 바와 같이, 도 9에 도표화되었다.
[0266] 예 a)
[0267] 실리콘 질화물 막 형성 공정의 한 사이클은 전체 18초 동안 타겟 기판을 플라즈마에 노출시키는 것을 포함하였다: 타겟 기판을 2초 동안 실리콘 전구체에 노출시키고, 후속하여 6초 동안 상기 타겟 기판을 약 50W의 파워에서 질소 및 수소에 의한 질소 전구체들을 위해 발생된 플라즈마에 노출시키고, 후속하여 6초 동안 상기 타겟 기판을 약 200W의 플라즈마 파워에서 질소 플라즈마 처리를 위하여 질소 및 아르곤에 의해 발생된 플라즈마에 노출시키고, 그리고 후속하여 6초 동안 상기 타겟 기판을 약 50W의 플라즈마 파워에서 질소 및 수소로부터 발생된 플라즈마에 노출시킨다(예를 들어, 상기 타겟 기판 표면에 -NH 표면 작용기들을 제공하기 위하여).
[0268] 예 b)
[0269] 실리콘 질화물 막 형성 공정의 한 사이클은 전체 30초 동안 타겟 기판을 플라즈마에 노출시키는 것을 포함하였다: 타겟 기판을 2초 동안 실리콘 전구체에 노출시키고, 후속하여 12초 동안 상기 타겟 기판을 약 50W의 파워에서 질소 및 수소를 사용하여 질소 전구체들을 위해 발생된 플라즈마에 노출시키고, 후속하여 6초 동안 상기 타겟 기판을 약 200W의 플라즈마 파워에서 질소 플라즈마 처리를 위하여 질소 및 아르곤에 의해 발생된 플라즈마에 노출시키고, 그리고 후속하여 12초 동안 상기 타겟 기판을 약 50W의 플라즈마 파워에서 질소 및 수소로부터 발생된 플라즈마에 노출시킨다(예를 들어, 상기 타겟 기판 표면에 -NH 표면 작용기들을 제공하기 위하여).
[0270] 상기 예의 사이클들의 각각은 원하는 실리콘 질화물 막의 두께를 얻기 위해 그리고 원하는 성질들을 갖는 실리콘 질화물 막을 달성하기 위해 여러 번 반복되었다. 상기 질소 플라즈마 처리를 수행하기 이전에 그리고 상기 타겟 기판을 상기 질소 전구체와 접촉시키는 단계에 후속하여 퍼지 공정이 생략되었으며, 반면에 퍼지 공정들은 실리콘 전구체 단계와 질소 전구체 단계 사이에서 수행되었다.
[0271] 상기 두 예들의 사이클들을 사용하여 형성된 실리콘 질화물 막은 블리스터 및/또는 막 박리 결함들이 없거나 또는 실질적으로 없는 막들을 제공한다는 것을 알 수 있었다. 상기 두 예들에 대하여 사이클 당 상기 실리콘 질화물 막 성장 속도는 약 0.2 Å/사이클이었으며, 이것은 막 성장을 위한 표면 반응이 전체 12초의 질소 및 수소 50W 공정을 포함하는 사이클 동안 포화되었다는 것을 보여준다. 어떤 이론 또는 동작 모드에 제한됨이 없이, 상기 예 a)에서 제공된 것을 넘는 부가적인 플라즈마 노출은 부가적인 막 성장보다도 차라리 상기 실리콘 질화물 막의 치밀화에 기여할 수 있다.
[0272] 도 9는 상기 예 a) 및 b)에서 보여지는 시퀀스들의 복수의 사이클들을 사용하여 형성된 두 실리콘 질화물 막들의, 희석 HF 용액(dHF)에서 분(min) 단위의 침지 시간의 함수로써, 열적 실리콘 산화물(TOX)과 비교한 nm/min 단위의 습식 식각 속도(WER) 및 습식 식각 속도 비(WERR)를 보여준다. 상기 WER 및 WERR 데이터는 질소 플라즈마 처리를 포함하는 공정들을 사용하여 형성된 실리콘 질화물 막이 우수한 WER(예를 들어, 약 0.1 nm/min보다 작은) 및 WERR(예를 들어, 약 0.06보다 작은)을 가질 수 있다는 것을 보여준다. 이러한 WER 및 WERR 값들은 질소 플라즈마 처리를 포함하지 않는 종래의 공정들을 사용하여 형성된 실리콘 질화물 막들에 의해 보여지는 것보다 약 1 오더의 크기만큼 작을 수 있다.
예 2
[0273] 도 10은 라디칼 유도된 막 성장과는 별도로 이온 충격에 의해 유도된 막 성장을 보여주기 위해 구성된 직접 플라즈마 반응 챔버에서의 테스트 설정을 보여준다. 상기 플라즈마(예를 들어, 상기 반응 챔버 내의 샤워헤드)로부터 떨어져 마주하는 연마된 표면을 갖는 실리콘 쿠폰(coupon)이 실리콘 웨이퍼 위에 장착될 수 있다. 상기 실리콘 쿠폰과 상기 웨이퍼 사이에서 갭이 유지될 수 있다. 상기 갭은 약 0.7 mm 내지 약 2.1 mm 사이에서 변화될 수 있다. 예를 들어, 이러한 설정에서, 라디칼들이 상기 연마된 실리콘 쿠폰 표면으로 확산될 수 있는 동안에 거의 또는 아무 이온들도 상기 연마된 실리콘 쿠폰 표면에 도달할 수 없다. 상기 테스트 설정을 사용하여 진행된 SiI2H2 + N2/H2 PEALD 실리콘 질화물 퇴적 공정은 아래를 바라보는 상기 실리콘 쿠폰 표면 상에 막을 성장시킬 수 있다. 이러한 성장은 예를 들어, N*, H*, NH* 및/또는 NH2*와 같은 라디칼들에 의해 주로 기인한다고 믿어진다. 상기 연마된 실리콘 쿠폰 표면 상에서의 막 성장은 라디칼 유도된 막 성장을 결정하기 위해 측정될 수 있다.
[0274] 도 11은 nm/min 단위의 습식 식각 속도(WER) 및 SiI2H2 + N2/H2 PEALD 공정을 사용하여 성장된 실리콘 질화물 막에 대한 열적 실리콘 산화물의 습식 식각 속도들을 비교한 습식 식각 속도 비(WERR)을 보여주며, 여기서 상기 실리콘 질화물 막은 도 10의 실험적 설정에서 보여진 설정에서의 상기 실리콘 쿠폰의 연마된 표면 상에서 성장된다. 상기 실리콘 웨이퍼와 상기 실리콘 쿠폰 사이의 갭은 약 1.4mm이다. 비록 막의 초기 두께는 다르지만, 그 결과들은 매우 균일한 막 품질을 보여주며, 이것은 아마도 상기 확산 동안에 감소하는 라디칼 플럭스에 기인될 수 있다. 도 11은 라디칼 강화된 ALD로 상기 실리콘 쿠폰 상에 성장된 실리콘 질화물 막이, 예를 들어 상기 막의 품질에서 균일도를 나타내는, 균일한 WER을 나타낼 수 있다는 것을 보여준다. 도 11 내의 삽화는 30초 dHF 습식 식각 전의 그리고 후의 상기 실리콘 질화물 막 두께를 보여준다. 상기 쿠폰을 가로지르는 막 두께는, 상기 실리콘 질화물이 예를 들어, SiI2H2의 높은 반응성으로 인하여 SiI2H2 전구체를 사용하는 라디칼들 만으로 또는 실질적으로 이 라디칼들 만으로 성장될 수 있다는 것을 보여준다.
[0275] 도 10에서 보여지는 상기 설정을 사용하여, SiI2H2 대신에 다른 실리콘 전구체, 비즈(트리클로로실릴)에탄(bis(trichlorosilyl)ethane)이 사용될 경우, 상기 연마된 실리콘 쿠폰 표면 상에서 아무 막 성장도 관찰되지 않는다. 막 성장은 상기 직접 이온 충격에 노출된 상기 실리콘 웨이퍼의 상부 표면들 상에서만 관찰되었다. 따라서 SiI2H2은 비즈(트리클로로실릴)에탄 보다 더욱 반응성이 있는 전구체이며, 라디칼 강화 ALD가 가능하다는 것으로 결론을 내릴 수 있다.
예 3
[0276] 여기서 기술된 바와 같이, 실리콘 질화물 막의 품질은 증가된 공정 온도, 증가된 플라즈마 파워 및/또는 길어진 플라즈마 펄스 지속 시간을 적용함으로써 개선될 수 있을 것이다. 그러나, 예를 들어 활성화된 수소 함유 종들을 포함하는 플라즈마와 함께, 플라즈마 파워 및/또는 플라즈마 펄스 지속시간의 증가는 기포 및/또는 박리 결함들을 나타내는 실리콘 질화물 막들을 제공할 수도 있다.
[0277] 도 12는 상기 실리콘 질화물 막들이 사후-수소 플라즈마 처리에서 활성화된 수소 종들(예를 들어, H+ 이온)의 변화하는 도즈들에 노출된, 상이한 두께들의 실리콘 질화물 막들에서 기포 결함들의 형성을 보여준다. 상기 실리콘 질화물 막들은 상이한 플라즈마 파워들 및 상이한 지속 시간들을 가지고 수소 플라즈마에 노출되며, 상기 각 실리콘 질화물 막들 상에서의 기포 결함 형성의 정도가 관찰되었다. 도 12는 다음 공정들에 대응하는, 다양한 두께들을 갖는 SiN 막들의 SEM에 의한 상부도들을 보여준다: (1) 20 nm의 SiN + 30분 동안, 200W의 H2 플라즈마, (2) 20 nm의 SiN + 15분 동안, 200W의 H2 플라즈마, (3) 20 nm의 SiN + 30분 동안, 100W의 H2 플라즈마, (4) 10 nm의 SiN + 30분 동안, 100W의 H2 플라즈마.
[0278] 도 12에서 보여지듯이, 예를 들어 실리콘 질화물 막의 박리에 의해 기인된 증가된 기포 결함들은 증가된 플라즈마 파워 및/또는 활성화된 수소 종들의 도즈와 함께 증가할 수 있다. 기포 결함들을 감소시키는 것과 같은, 막 품질을 향상시키는 것은 높은 에너지 및 높은 도즈의 활성화된 수소 종들에 의한 막의 충격을 감소시킴으로써 용이하게 될 수 있다.
예 4
*[0279] 여기에서 기술된 바와 같이, 상기 질소 플라즈마 처리가 적용되는 빈도는 원하는 실리콘 질화물 막 특성들을 얻기 위해 변화될 수 있다. 질소 플라즈마 처리 빈도의 실리콘 질화물 막 특성들에 대한 효과들은 막 퇴적 후에 단지 한번 처리된 실리콘 질화물 막을 식각함으로써 근사치로 계산되며, 여기서 상기 한번의 질소 플라즈마 처리는 30분이었다. 도 13a는 희석 HF(dHF)에서 분 단위의 침지 시간의 함수로서 nm 단위의 막 두께를 보여주며, 도 13b는 희석 HF(dHF)에서 분 단위의 침지 시간의 함수로서 열적 실리콘 산화물(TOX)과 비교한 상기 막의 습식 식각 속도 비(WERR)을 보여준다. 도 13b는 상기 막의 식각 속도가 거의 1 nm가 식각된 식각 시간의 처음 4분 동안에 매우 낮다는 것을 보여준다. 10분간의 침지 시간 후에, 상기 식각 속도는 질소 플라즈마 처리를 받지 않은 실리콘 질화물 막과 같은 수준으로 증가되었다. 실리콘 질화물 막의 적어도 약 1 nm 내지 약 2 nm는 질소 플라즈마 처리를 사용하여 높은 식각 저항력(예를 들어, "스킨 효과(skin effect)")이 있게 되었다고 결론 내릴 수 있다. 일부 실시예들에서, 상기 질소 처리 공정의 "스킨 효과"는 약 2 nm 내지 약 3 nm의 깊이까지 달성될 수 있다.
[0280] 예를 들어, 실리콘 질화물 퇴적 공정의 성장 속도는 약 0.4 Å/사이클이 될 수 있으며, 실리콘 질화물 퇴적의 25 내지 50 사이클수는 약 1 nm 내지 약 2n의 막을 퇴적하기 위해 적용될 수 있다. 실리콘 질화물 막 형성 공정 동안에 질소 플라즈마 처리가 적용될 수 있는 빈도는 실리콘 질화물 막 퇴적의 약 매 25번째 내지 약 매 50번째 후에 적용될 수 있으며(예를 들어, 각 사이클은 질소 전구체 단계가 후속되는 실리콘 전구체 단계를 포함한다), 그리하여 상기 실리콘 질화물 두께의 대부분의 또는 모두의 dHF에서의 식각 속도는 상기 질소 플라즈마 처리의 적용 후에 감소될 수 있다.
[0281] 일부 실시예들에서, 실리콘 질화물 막 형성 공정 동안에 질소 플라즈마 처리가 적용될 수 있는 빈도는 실리콘 질화물 막 퇴적의 약 적어도 매 100번째 사이클 후, 바람직하게는 적어도 매 50번째 사이클 후 그리고 가장 바람직하게는 적어도 매 25번째 사이클 후가 될 수 있다.
[0282] 일부 실시예들에서, 형성된 상기 실리콘 질화물 막의 두께는 약 3 nm보다 작으며, 바람직하게는 약 2nm 보다 작으며, 보다 바람직하게는 약 1nm 보다 작으며, 예를 들어 상기 실리콘 질화물 막 두께의 대부분의 또는 모두의 식각 속도는 질소 플라즈마 처리에 의해 처리된 후에 향상될 수 있다. 일부 실시예들에서, 실리콘 질화물 막 두께는 약 0.5 nm 보다 작을 수 있다.
[0283] 도 14a 및 14b는 실리콘 질화물 막 퇴적의 매 25번째, 50번째, 또는 100번째 후에 1분의 질소 플라즈마 처리를 사용하여 처리된 상기 막들의 막 조성 및 dHF 습식 식각 비(WERR)를 각각 보여준다. 도 14a는 질소 플라즈마 처리를 적용하는 빈도가 증가할 경우 수소 함량이 상당히 감소되는 것을 보여준다. 실리콘 및 질소 함량들은 거의 동일하게 남아 있다. 질소 플라즈마 처리들 사이의 사이클들의 수가 증가할 경우 상기 WERR은 증가한다. 예를 들어, 실리콘 질화물 막 퇴적의 100 사이클은 약 4nm의 막 퇴적에 상당할 수 있으며, 상기 처리는 각각 매 25번째 및 50번째 사이클들 후, 약 1 nm 및 2 nm 막 퇴적 후에 적용된 질소 플라즈마 처리들에 비하여 습식 식각 속도를 감소시키는데 효과적이지 않다.
[0284] 일부 실시예들에서, 질소 플라즈마 처리들 사이의 다수의 사이클들이 실리콘 질화물 막 식각 성질들과 처리량 사이의 트레이드오프(trade-off)에 기초하여 선택될 수 있다. 예를 들어, 매 퇴적 사이클 후에 적용된 질소 플라즈마 처리로 양호한 식각 성질들이 달성될 수 있는 반면에, 이것은 심각하게 처리량을 감소시킬 것이다. 따라서, 통상의 기술자는 가장 효율적인 방식으로 적합한 막들을 형성하기 위해 상기 처리의 비를 조절할 수 있다. 일부 실시예들에서, 기포 형성을 감소시키거나 또는 방지하기 위해 각 사이클에서 질소 플라즈마 처리를 적용하기 전에 H2를 퍼지하기 위한 퍼지 공정이 적용될 수 있으며, 반면에 다른 실시예들에서는 원하는 막 품질을 유지하면서 처리량을 증가시키기 위해 상기 퍼지 공정 및/또는 질소 플라즈마 처리가 감소된 빈도로 제공된다.
예 5
[0285] 도 15는 실리콘 질화물 형성 공정의 하나의 사이클에 포함된 초 단위의 질소 플라즈마 처리의 지속 시간 대 3-D 피쳐의 수평적(도 15에서 "상부"로 표시된) 표면 및 수직적(도 15에서 "측부"로 표시된) 표면 상에 형성된 실리콘 질화물 막의 열적 산화물(TOX)에 대하여 비교한 습식 식각 비(WERR)을 보여준다. 상기 실리콘 질화물 막은 질소 플라즈마 처리와 조합하여 PEALD 실리콘 질화물 막 퇴적 공정을 사용하여 성장된다. 상기 실리콘 질화물 형성 공정의 하나의 사이클은 다음과 같은 시퀀스를 갖는다: 실리콘 전구체, 그리고 수소 및 질소가 상기 반응 챔버에 공급되는 0.3초의 실리콘 전구체 단계, 후속하여 질소 및 수소가 상기 반응 챔버로 공급되는 0.5초의 퍼지 공정, 후속하여 약 165W의 플라즈마 파워에서(약 0.11 W/㎤의 파워 밀도) 질소 및 수소가 상기 반응 챔버에 공급되는 3.3초의 플라즈마 질소 전구체 단계, 후속하여 질소가 상기 반응 챔버에 공급되는 10초의 퍼지 공정, 후속하여 약 220W의 플라즈마 파워에서(약 0.15 W/㎤) 질소가 상기 반응 챔버로 공급되는 질소 플라즈마 처리, 그리고 후속하여 수소 및 질소가 상기 반응 챔버로 공급되는 10초의 퍼지 공정. 도 15에 대응하는 상기 실리콘 질화물 막은 550℃의 온도에서 상기 시퀀스의 약 190 사이클수를 사용하여 형성되었다.
[0286] 도 15에서 보여지는 바와 같이, 수평적 및 수직적 표면들의 WERR은 각 사이클에서 질소 플라즈마 처리의 지속 시간을 변화시킴으로써 적어도 부분적으로 조정될(tune) 수 있다. 도 15는 위의 시퀀스에서 약 0.9초의 지속 시간을 갖는 질소 플라즈마 처리를 사용하여 수평적 표면 및 수직적 표면의 균일한 식각 속도가 달성될 수 있다는 것을 보여준다.
다-단계 플라즈마 노출(Multi-step plasma exposure)
[0287] 일부 실시예들에서, SiN 박막을 퇴적하기 위한 공정은 다-단계의 플라즈마 노출을 포함한다. 예를 들어, SiN 박막을 퇴적하기 위한 PEALD공정의 하나의 사이클은 상기 기판을 실리콘 전구체와 접촉시키는 것을 포함하는 제1 단계를 포함한다. 일부 실시예들에서, 상기 실리콘 전구체는 여기에서 기술된 바와 같이 하나 이상의 실리콘 전구체들을 포함한다. 일부 실시예들에서, 상기 실리콘 전구체는 옥타클로로트리실란(OCTS)과 같은 염소-함유 전구체를 포함한다.
[0288] SiN 박막을 퇴적하기 위한 PEALD 공정은 상기 기판을 질소 전구체와 접촉시키는 것을 포함하는 제2 단계를 포함한다. 일부 실시예들에서, 상기 PEALD 공정 사이클의 상기 제2 단계는 다-단계 플라즈마 노출을 포함한다. 일부 실시예들에서, 상기 실리콘 전구체는 염소-함유 전구체를 포함한다. 예를 들어, 일부 실시예들에서 상기 실리콘 전구체는 디클로로실란(DCS), 헥사클로로디실란(HCDS) 및/또는 테트라클로로실란(SiCl4)를 포함할 수 있다.
[0289] 도 16을 참조하면, 반응 챔버 내에서 기판 상에 SiN 박막을 형성하기 위한 퇴적 공정(1600)의 한 예가 보여진다. 상기 퇴적 공정(1600)은 PEALD 공정을 포함할 수 있다. 블록 1602에서, 상기 기판은 실리콘 전구체에 노출될 수 있다. 예를 들어, 상기 기판은 옥타클로로트리실란(OCTS)에 노출될 수 있다. 일부 실시예들에서, 상기 기판은 여기에서 기술된 하나 이상의 다른 실리콘 전구체들에 노출될 수도 있다. 상기 기판은 연속적으로 다-단계 플라즈마 노출에 노출될 수 있다. 블록 1604에서, 상기 기판은 적어도 하나의 질소-함유 플라즈마 및 적어도 하나의 다른 상이한 플라즈마에 노출될 수 있다. 상기 다-단계 플라즈마 노출은 상기 기판을 하나보다 많은 질소-함유 플라즈마에 노출시키는 것을 포함할 수 있다. 예를 들어, 상기 다-단계 플라즈마 노출의 하나 이상의 단계들은 상기 기판을 질소 가스(N2)에 의해 생성된 플라즈마에 노출시키는 것을 포함할 수 있다.
[0290] 일부 실시예들에서, PEALD 공정의 한 사이클은 블록들 1602 및 1604를 포함한다. 예를 들어, 상기 사이클의 제1 단계는 블록 1602를 포함할 수 있으며, 상기 사이클의 제2 단계는 블록 1604를 포함할 수 있다. 일부 실시예들에서, 블록들 1602 및 1604를 포함하는 상기 사이클은 원하는 두께의 SiN 막을 얻기 위해 여러 번 반복될 수 있다(1606).
[0291] 일부 실시예들에서, 상기 다-단계 플라즈마 노출의 하나 이상의 플라즈마 단계들은 질소-함유 및/또는 수소-함유 가스들을 사용하여 플라즈마를 생성시키는 것과, 상기 기판을 상기 플라즈마와 접촉시키는 것을 포함한다. 예를 들어, 상기 다-단계 플라즈마 노출의 하나 이상의 플라즈마 단계들은 상기 기판을 질소 가스(N2) 및/또는 수소 가스(H2)를 사용하여 생성된 플라즈마에 노출시키는 것을 포함할 수 있다. 일부 실시예들에서, 상기 플라즈마 단계들 중의 하나 이상은 상기 기판을 질소 가스 및 수소 가스 모두를 사용하여 생성된 플라즈마들과 접촉시키는 것을 포함한다. 일부 실시예들에서, 상기 플라즈마 단계들 중의 하나 이상은 수소 가스만을 또는 실질적으로 수소가스만을 사용하여 생성된 플라즈마들을 포함한다.
[0292] 다-단계 플라즈마 노출은 상기 기판이 플라즈마 반응물과 접촉되는 두 개 이상의 단계들을 포함할 수 있다. 일부 실시예들에서, 다-단계 플라즈마 노출은 세 개의 플라즈마 단계들을 포함할 수 있다. 예를 들어, 상기 다-단계 플라즈마 노출은 각각 상기 기판을 질소 가스 및 수소 가스 모두를 사용하여 생성된 플라즈마에 노출시키는 것을 포함하는 두 개의 플라즈마 단계, 그리고 상기 기판을 수소 가스를 사용하여 생성된 플라즈마에 노출시키는 것을 포함하는 하나의 플라즈마 단계를 포함한다.
[0293] 도 17을 참조하면, 반응 챔버 내에서 기판 상에 SiN 박막을 형성하는 다른 예의 퇴적 공정(1700)이 보여진다. 상기 퇴적 공정(1700)은 PEALD 공정을 포함할 수 있다. 블록 1702에서, 상기 기판은 실리콘 전구체에 노출될 수 있다. 예를 들어, 실리콘 전구체는 옥타클로로트리실란(OCTS)을 포함할 수 있다. 일부 실시예들에서, 상기 기판은 여기에서 기술된 하나 이상의 다른 실리콘 전구체들에 노출될 수 있다. 상기 기판은 연속적으로 다중의 플라즈마들에 노출될 수도 있다. 볼록 1704에서, 상기 기판은 질소 가스 및 수소 가스 모두를 사용하여 생성된 제1 플라즈마에 노출될 수 있다. 이어서, 블록 1706에서, 상기 기판은 수소 가스를 사용하여 생성된 제2 플라즈마에 노출될 수 있다. 예를 들어, 상기 제2 플라즈마는 수소 가스만을 또는 실질적으로 수소가스만을 사용하여 생성될 수 있다. 블록 1708에서, 상기 기판은 질소 가스 및 수소 가스 모두를 사용하여 생성된 제3 플라즈마에 노출될 수 있다.
[0294] 일부 실시예들에서, PEALD 공정의 한 사이클은 블록들 1702, 1704, 1706 및 1708을 포함한다. 예를 들어, 상기 사이클의 제1 단계는 블록 1702를 포함할 수 있으며, 상기 제2 단계는 볼록들 1704, 1706 및 1708을 포함할 수 있다. 일부 실시예들에서, 블록들 1702, 1704, 1706 및 1708을 포함하는 상기 사이클은 원하는 두께의 SiN 막을 얻기 위해 여러 번 반복될 수 있다(1710). 일부 실시예들에서, 상기 다-단계 플라즈마 노출이 상기 기판을 비-플라즈마 반응물에 노출시키기 이전에 완료된다. 일부 실시예들에서, 상기 다-단계 플라즈마 노출이 완료되고, 다른 비-플라즈마 반응물은 상기 기판을 상기 실리콘 반응물과 접촉시키기 이전에 상기 반응 챔버 내로 제공되지 않는다. 예를 들어, SiN 막을 퇴적하기 위한 상기 공정은 상기 기판을 볼록 1702에서 상기 실리콘 전구체에 다시 노출시키기 이전에 상기 기판을 볼록들 1704, 1706 및 1708에서 상기 플라즈마에 노출시키는 것을 완료하는 것을 포함할 수 있다.
[0295] 일부 실시예들에서, 아무 플라즈마도 생성되지 않는 간격이 플라즈마 노출에 이어질 수 있다. 일부 실시예들에서, 상기 간격은 상기 반응 챔버로부터 과량의 반응물들 및/또는 반응 부산물들을 제거하는 단계를 포함할 수 있다. 예를 들어, 상기 기판의 부근으로부터 과량의 반응물들 및/또는 반응 부산물들을 제거하는 단계가 상기 다-단계 플라즈마 노출에서 하나 이상의 상기 플라즈마 단계들에 이어질 수 있다. 일부 실시예들에서, 상기 제거 단계는 상기 반응 챔버를 배기시키는 것 및/또는 퍼지 가스를 상기 반응 챔버를 통해 플로우시켜주는 것을 포함할 수 있다. 일부 실시예들에서, 상기 기판은 상기 제거 단계 동안에 상기 반응물들이 없는 또는 실질적으로 없는 공간으로 이동될 수도 있다.
[0296] 일부 실시예들에서, 각 플라즈마 단계는 퍼지 단계가 후속될 수 있다. 예를 들어, 상기 기판을 볼록 1704에서 상기 제1 플라즈마에 노출시키는 것, 상기 기판을 볼록 1706에서 상기 제2 플라즈마에 노출시키는 것, 및 상기 기판을 블록 1708에서 상기 제3 플라즈마에 노출시키는 것의 각각은 퍼지 단계가 후속될 수 있다. 일부 실시예들에서, 상기 다-단계 플라즈마 노출의 플라즈마 단계들 중의 단지 일부가 퍼지 단계에 의해 후속될 수도 있다. 예를 들어, 다-단계 플라즈마 노출의 마지막 플라즈마 단계는 퍼지 단계가 후속되지 않을 수도 있다.
[0297] 일부 실시예들에서, 퍼지 단계의 퍼지 가스는 불활성(inert) 가스를 포함할 수 있다. 예를 들어, 퍼지 가스는 비활성(noble) 가스를 포함할 수 있다. 일부 실시예들에서, 퍼지 가스는 아르곤(Ar)을 포함할 수 있다.
[0298] 일부 실시예들에서, 퍼지 단계의 퍼지 가스는 플라즈마 단계에서 플로우된 하나 이상의 가스들을 포함할 수 있다. 예를 들어, 퍼지 단계에서 플로우된 퍼지 가스는 바로 계속되는 플라즈마 단계에서 사용된 가스에 기초하여 선택될 수 있다. 일부 실시예들에서, 퍼지 단계에서 플로우된 가스는 바로 계속되는 플라즈마 단계에서 플라즈마를 생성시키기 위해 사용된 동일한 가스일 수 있다. 예를 들어, 플라즈마 파워는 상기 퍼지 단계 동안에 턴오프될 수 있으며, 반면에 상기 퍼지 단계에 선행하는 플라즈마 단계 및 상기 퍼지 단계에 바로 이어지는 플라즈마 단계에서 플라즈마를 생성시키기 위해 사용된 하나 이상의 가스들의 플로우가 상기 퍼지 단계 동안에 계속될 수 있으며, 반면에 상기 퍼지 단계에 바로 이어지는 플라즈마 단계에서 플라즈마를 발생시키기 위해 사용되지 않는 하나 이상의 가스들은 만약 있다면 상기 퍼지 단계 동안에 감소되거나(ramped down) 및/또는 턴오프(turn-off)될 수 있다.
[0299] 일부 실시예들에서, 다-단계 플라즈마 노출에서 상기 플라즈마 단계들의 각각의 지속 시간은 하나 이상의 원하는 SiN 막 품질들을 얻기 위해 선택될 수 있다. 일부 실시예들에서, 플라즈마 단계의 지속 시간은 바로 이어지는 플라즈마 단계의 그것보다 더 길다. 예를 들어, 제1 플라즈마 단계는 약 4초에서 약 8초, 예를 들어 약 6초의 지속 시간을 가질 수 있다. 예를 들어, 제2 플라즈마 단계는 약 2초에서 약 6초, 예를 들어 약 4초의 지속 시간을 가질 수 있다. 예를 들어, 제3 플라즈마 단계는 약 1초에서 약 3초, 예를 들어 약 2초의 지속 시간을 가질 수 있다. 일부 실시예들에서, 플라즈마 단계의 지속 시간은 바로 이어지는 플라즈마 단계의 그것보다 더 길지 않을 수도 있다. 예를 들어, 다-단계 플라즈마 노출에서 각 플라즈마 단계의 지속 시간은 동일한 또는 실질적으로 동일한 지속 시간을 가질 수도 있다. 일부 실시예들에서, 플라즈마 단계의 지속 시간은 바로 이어지는 플라즈마 단계의 그것 보다 더 짧을 수 있다.
[0300] 일부 실시예들에서, 상기 다-단계 플라즈마 노출의 하나 이상의 단계들 동안에 상기 반응 챔버의 압력은 약 2 torr 내지 약 6 torr, 또는 약 2 torr 내지 약 4 torr을 포함하여, 약 2 torr 내지 약 8 torr일 수 있다.
[0301] 일부 실시예들에서, 상기 다-단계 플라즈마 노출의 하나 이상의 단계들을 위한 플라즈마 파워는 약 50W 내지 약 800W, 예를 들어 약 100W 내지 약 800W, 약 100W 내지 약 600W, 그리고 약 100W 내지 약 500W일 수 있다. 상기 플라즈마 파워는 사용된 상기 실리콘 전구체, 상기 플라즈마 단계의 반응 챔버 압력 및/또는 상기 플라즈마 단계의 지속 시간에 기초하여 선택될 수 있다. 일부 실시예들에서, 다-단계 플라즈마 노출에서 어느 하나의 단계의 플라즈마 파워는 상기 다-단계 플라즈마 노출의 하나 이상의 다른 단계들과 동일할 수도 있다. 일부 실시예들에서, 다-단계 플라즈마 노출에서 어느 하나의 단계의 플라즈마 파워는 상기 다-단계 플라즈마 노출의 다른 단계들과 다를 수도 있다.
[0302] SiN 박막들을 퇴적하기 위한 PEALD 공정에서 하나의 다-단계 플라즈마 노출을 위한 예시적 시퀀스는 세 개의 플라즈마 단계들 및 상기 플라즈마가 턴오프되는 각 플라즈마 단계 후의 간격을 포함할 수 있다. 각 간격은 퍼지 단계를 포함할 수 있다. 예를 들어, 상기 다-단계 플라즈마 노출은 상기 기판을 질소 가스 및 수소 가스 모두를 사용함으로써 생성된 제1 플라즈마에 노출시키는 것을 포함한다. 상기 제1 플라즈마 단계는 플라즈마가 턴오프되는 간격이 이어지며, 제1 퍼지 단계가 수행된다. 상기 제1 퍼지 단계는 수소 가스 및/또는 하나 이상의 다른 퍼지 가스들의 플로우를 포함할 수 있다. 이어서 상기 기판은 단지 수소 가스로부터만 생성된 제2 플라즈마에 노출된다. 상기 제2 플라즈마 단계는 플라즈마가 턴오프되는 간격이 이어지며, 제2 퍼지 단계가 수행된다. 상기 제2 퍼지 단계는 질소 가스 및 수소 가스 모두의 플로우, 및/또는 하나 이상의 다른 퍼지 가스들의 플로우를 포함할 수 있다. 이어서, 상기 기판은 질소 가스 및 수소 가스 모두를 사용하여 생성된 제3 플라즈마에 노출된다. 상기 제3 플라즈마 단계는 플라즈마가 턴오프되는 간격이 이어지며, 제3 퍼지 단계가 수행된다. 상기 제3 퍼지 단계는 질소 가스 및 수소 가스 모두의 플로우, 및/또는 하나 이상의 다른 퍼지 가스들의 플로우를 포함할 수 있다. 일부 실시예들에서, 상기 제3 퍼지 단계가 생략될 수도 있다.
[0303] 퍼지 단계 동안의 가스들의 유량 및 상기 퍼지 단계의 지속 시간은 과량의 반응물들 및/또는 반응 부산물들에 대한 원하는 제거를 용이하게 하기 위해 선택될 수 있다. 일부 실시예들에서, 퍼지 단계의 하나 이상의 가스들의 유량은 바로 선행하는 또는 바로 후행하는 플라즈마 단계 동안의 상기 가스의 유량과 동일할 수 있다. 일부 실시예들에서, 상기 퍼지 단계는 약 2 초 내지 약 8초를 포함하여, 약 1초 내지 약 10초의 지속 시간을 가질 수 있다. 예를 들어, 퍼지 단계의 지속 시간은 약 4초 또는 약 6초의 지속 시간을 가질 수 있다.
[0304] 도 18은 다-단계 플라즈마 노출의 예에 대한 시간의 함수로써 플라즈마 파워 및 가스 플로우를 보여주는 그래프이다. y-축은 기판에서의 반응성 가스의 양을 나타내며, x-축은 시간을 나타낸다. 도 18은 상기 기판이 수소-함유 및 질소-함유 플라즈마에 노출되고, 후속하여 수소-함유 플라즈마에 노출되고, 그리고 이어서 수소-함유 및 질소-함유 플라즈마에 노출되는 3-단계 플라즈마 노출의 예를 보여준다. 도 18에서 보여준 예는 수소 가스 및/또는 질소 가스를 사용하여 생성된 플라즈마들을 포함하며, 여기서 플라즈마 파워는 상기 3개의 플라즈마 단계들의 각각 동안에 턴온되며, 질소 가스 플로우는 상기 제1 및 제3 플라즈마 단계들 동안에 턴온되고, 반면에 수소 가스 플로우는 상기 다-단계 플라즈마 노출 내내 계속된다.
[0305] 여기에서 기술된 바와 같이, 도 18의 그래프는 플라즈마가 온(on)되는 3개의 지속 시간들 또는 3개의 플라즈마 단계들 보여준다. 도 18은 각 플라즈마 단계가 바로 선행하는 플라즈마 단계의 것보다 짧은 지속 시간을 가질 수 있다는 것을 보여준다. 예를 들어, 시간 0에서 시작하는 제1 플라즈마 단계는 후속되는 제2 플라즈마 단계의 것보다 긴 지속 시간을 가질 수 있으며, 그리고 상기 제2 플라즈마 단계는 상기 제3 플라즈마 단계의 것보다 긴 지속 시간을 가질 수 있다. 일부 실시예들에서, 각 플라즈마 단계는 다른 플라즈마 단계들과 동일한 지속 시간을 가질 수 있다. 일부 실시예들에서, 각 플라즈마 단계는 바로 선행하는 플라즈마 단계보다 짧은 지속 시간을 갖는다.
[0306] 도 18은 상기 제1 및 제3 플라즈마 단계들이 수소 가스 및 질소 가스 모두의 플로우를 포함할 수 있고, 반면에 상기 제2 플라즈마 단계는 수소 가스의 플로우를 포함할 수 있다는 것을 보여준다. 도 18에서 보여준 바와 같이, 수소 가스의 플로우는 상기 다-단계 플라즈마 노출 동안 내내 계속될 수도 있다. 예를 들어, 수소 가스의 상기 플로우는 상기 다-단계 플라즈마 노출 내내 일정하거나 또는 실질적으로 일정할 수 있으며, 반면에 질소 가스의 상기 플로우는 플라즈마 단계들 사이의 간격 동안에 상승(ramp up) 또는 하강(ramp down) 수 있다. 예를 들어, 도 18에서 보여준 바와 같이, 상기 질소 가스는 상기 제1 및 제2 플라즈마 단계들 사이의 간격 동안에 선형 경사로와 같이 하강될 수 있으며, 상기 제2 및 제3 플라즈마 단계들 사이의 간격 동안에는 선형 경사로와 같이 상승될 수 있다. 예를 들어, 플라즈마 단계들 사이의 간격은 증가하는 질소 가스 량의 플로우 또는 감소하는 질소 가스 량의 플로우, 그리고 일정한 또는 실질적으로 일정한 수소 가스의 플로우를 포함할 수 있다.
[0307] 도 18에서 보여준 바와 같이, 상기 제1 플라즈마 단계와 제2 플라즈마 단계 사이의 간격은 수소 가스와 질소 가스 모두의 플로우로 시작될 수 있으며, 질소 가스의 플로우가 하강하고 이어서 턴오프됨에 따라서 유일하게 또는 실질적으로 유일하게 수소 가스만의 플로우로 종료될 수 있다. 상기 제2 플라즈마 단계에 후속하는 상기 제2 간격 동안에 상기 질소 가스의 플로우는 상승될 수 있다. 예를 들어, 상기 제2 플라즈마 단계와 상기 제3 플라즈마 단계 사이의 간격은 질소 가스의 플로우가 상승하는 것으로 시작하고, 수소 가스 및 질소 가스 양자의 플로우와 함께 종료될 수 있다. 예를 들어, 상기 제2 간격 동안에 상기 질소 가스의 플로우는 상기 제3 플라즈마 단계를 위해 사용된 유량까지 상승될 수 있다.
[0308] 일부 실시예들에서, 3-단계 플라즈마 노출의 상기 제1 및 제3 플라즈마 단계들은 질소 가스 및 수소 가스 양자의 플로우를 포함할 수 있으며, 반면에 상기 제2 플라즈마 단계는 질소 가스의 플로우를 포함할 수 있다. 예를 들어, 질소 가스의 플로우는 상기 다-단계 플라즈마 노출 동안 내내 계속될 수 있다. 일부 실시예들에서, 상기 질소 가스의 플로우는 상기 노출 동안 내내 일정하거나 또는 실질적으로 일정할 수 있으며, 반면에 상기 수소 가스의 플로우는 플라즈마 단계들 사이의 간격 동안에 상승되거나 하강될 수 있다. 예를 들어, 상기 수소 가스는 상기 제1 및 제2 플라즈마 단계들 사이의 간격 동안에 선형 경사로와 같이 하강될 수 있으며, 상기 제2 및 제3 플라즈마 단계들 사이의 간격 동안에는 선형 경사로와 같이 상승될 수도 있다. 플라즈마 단계들 사이의 간격은 증가하는 수소 가스 량의 플로우 또는 감소하는 수소 가스 량의 플로우, 그리고 일정한 또는 실질적으로 일정한 질소 가스의 플로우를 포함할 수 있다. 예를 들어, 상기 제1 플라즈마 단계와 제2 플라즈마 단계 사이의 간격은 수소 가스와 질소 가스 양자 모두의 플로우로 시작될 수 있으며, 수소 가스의 플로우가 하강하고 이어서 턴오프됨에 따라서 유일하게 또는 실질적으로 유일하게 질소 가스만의 플로우로 종료될 수 있다. 상기 제2 플라즈마 단계에 후속하는 상기 제2 간격 동안에 상기 수소 가스의 플로우는 상승될 수 있다. 예를 들어, 상기 제2 플라즈마 단계와 상기 제3 플라즈마 단계 사이의 간격은 수소 가스의 플로우가 상승하는 것으로 시작하고, 수소 가스 및 질소 가스 양자의 플로우와 함께 종료될 수 있다. 예를 들어, 상기 제2 간격 동안에 상기 수소 가스의 플로우는 상기 제3 플라즈마 단계를 위해 사용된 유량까지 상승될 수 있다.
[0309] 일부 실시예들에서, 3-단계 플라즈마 노출은 수소 가스의 플로우를 포함하는 제1 플라즈마 단계, 질소 가스의 플로우를 포함하는 제2 플라즈마 단계, 그리고 수소 가스 및 질소 가스 양자의 플로우를 포함하는 제3 플라즈마 단계를 포함할 수 있다. 일부 실시예들에서, 상기 제1 플라즈마 단계와 상기 제2 플라즈마 단계 사이의 간격 동안에 상기 질소 가스는 상승되고 상기 수소 가스는 하강될 수 있으며, 따라서 상기 제2 플라즈마 단계 동안에 유일하게 또는 실질적으로 유일하게 질소 가스만이 플로우된다. 상기 제2 플라즈마 단계와 상기 제3 플라즈마 단계 사이의 간격 동안에 상기 질소 가스는 계속되고, 반면에 상기 수소 가스는 상기 간격 동안에 하강될 수 있으며, 따라서 질소 가스 및 수소 가스 양자 모두가 제3 플라즈마 단계 동안에 공급된다. 일부 실시예들에서, 질소 가스의 플로우는 상기 제2 플라즈마 단계의 시작부터 상기 제3 플라즈마 단계의 종료까지 일정하게 또는 실질적으로 일정하게 유지될 수 있다. 일부 실시예들에서, 상기 수소 가스 및/또는 질소 가스의 플로우의 경사(ramping)는 선형 경사로(linear ramp)일 수 있다.
[0310] 일부 실시예들에서, 3-단계 플라즈마 노출은 수소 가스 및 질소 가스 양자의 플로우를 모두 포함하는 제1 플라즈마 단계, 수소 가스의 플로우를 포함하는 제2 플라즈마 단계, 그리고 질소 가스의 플로우를 포함하는 제3 플라즈마 단계를 포함할 수 있다. 일부 실시예들에서, 상기 제1 플라즈마 단계와 상기 제2 플라즈마 단계 사이의 간격 동안에 상기 질소 가스는 하강되고, 반면에 상기 수소 가스는 계속될 수 있으며, 따라서 상기 제2 플라즈마 단계 동안에 유일하게 또는 실질적으로 유일하게 수소 가스만이 플로우된다. 일부 실시예들에서, 수소 가스의 플로우는 상기 제1 플라즈마 단계의 시작부터 상기 제2 플라즈마 단계의 종료까지 일정하게 또는 실질적으로 일정하게 유지될 수 있다. 일부 실시예들에서, 상기 제2 플라즈마 단계와 상기 제3 플라즈마 단계 사이의 간격 동안에 상기 수소 가스는 하강되고, 반면에 상기 질소 가스는 상승될 수 있으며, 따라서 상기 제3 단계는 유일하게 또는 실질적으로 유일하게 질소 가스 만이 플로우된다. 일부 실시예들에서, 상기 질소 가스 및/또는 수소 가스의 플로우의 경사는 선형 경사로일 수 있다.
[0311] 일부 실시예들에서, 다-단계 플라즈마 노출을 포함하는 SiN 박막을 퇴적하기 위한 PEALD 공정은 위에서 기술된 하나 이상의 다른 플라즈마 처리들에 의해 후속될 수 있다.
다-단계 플라즈마 노출을 사용하여 퇴적된 SiN 막의 예시적 막 특성들
[0312] 도 19a는 2개의 SiN 박막들의 특성들을 보여주는 표이다. 도 19a에서 상기 표는 상기 SiN 막들의 각각의 Å/사이클 단위의 성장 속도, 굴절률, nm/min 단위의 습식 식각 속도(WER)를 기재한다. 상기 표는 또한 열적 산화물(TOX)의 것에 대한 상기 SiN 막들의 각각의 습식 식각 속도를 비교한 습식 식각 속도비(WERR)를 기재한다. 도 19a의 표에서 보여준 상기 습식 식각 속도들 및 습식 식각 속도비들은 0.5 웨이트 %의 플루오르화 수소산(HF) 용액(희석 HF, 또는 dHF)에 상기 막들을 노출시킨 후에 측정되었다.
[0313] 도 19a의 상기 표의 제1 열에서 보여준 상기 SiN 막은 약 120℃의 공정 온도를 갖는 PEALD 공정을 사용하여 형성된다. 상기 PEALD 공정의 한 사이클은 상기 기판이 옥타클로로트리실란(OCTS)을 포함하는 실리콘 전구체와 접촉되는 제1 단계를 포함한다. 상기 PEALD 공정의 제2 단계는 상기 기판이 질소 가스 및 수소 가스 모두를 사용하여 생성된 플라즈마와 접촉되는 단일 플라즈마 단계를 포함한다.
[0314] 도 19a의 상기 표의 제2 열에서 보여준 상기 SiN 막은 약 120℃의 공정 온도를 갖는 PEALD 공정을 사용하여 형성된다. 상기 PEALD 공정의 한 사이클은 상기 기판이 옥타클로로트리실란(OCTS)을 포함하는 실리콘 전구체와 접촉되는 제1 단계를 포함한다. 상기 PEALD 공정의 제2 단계는 상기 기판이 3개의 플라즈마 단계들에 노출되는 다-단계 플라즈마 노출을 포함한다. 상기 표의 제2 열에서 보여준 상기 SiN 막을 형성하기 위해 사용된 상기 다-단계 플라즈마 노출의 시퀀스가 도 19b의 표에 제공된다. 도 19b에서 보여주는 바와 같이, 상기 PEALD 공정의 제2 단계는 플라즈마가 질소 가스 및 수소 가스 양자 모두를 상요하여 생성되는 약 6초의 지속 시간을 갖는 제1 플라즈마 단계를 포함한다. 제1 플라즈마 단계는 약 4초의 지속 시간을 갖는 제1 퍼지 단계가 후속된다. 상기 플라즈마는 상기 제1 퍼지 단계 동안에 턴오프되고, 상기 제1 퍼지 단계는 수소 가스의 플로우를 포함한다. 이어서, 상기 기판은 약 4초의 지속 시간 동안 제2 플라즈마에 노출되며, 여기서 상기 제2 플라즈마는 수소 가스를 사용하여 생성된다. 이어서, 약 4초의 지속 시간을 갖는 제2 퍼지 단계가 수행되며, 여기서 상기 제2 퍼지 단계는 질소 가스 및 수소 가스의 플로우를 포함한다. 상기 제2 퍼지 단계에 이어서 제3 플라즈마 단계가 후속된다. 상기 제3 플라즈마 단계는 약 2초의 지속 시간을 가지며, 여기서 상기 기판은 질소 가스 및 수소 가스를 사용하여 생성된 플라즈마에 노출된다. 상기 PEALD 공정의 완성된 사이클은 약 37 나노미터의 두께를 갖는 SiN 막을 얻기 위해 약 500회 반복된다.
[0315] 도 19a의 표에서 보여주는 바와 같이, 상기 2개의 PEALD 사이클들의 사이클당 SiN 막 성장 속도들 및 상기 2개의 SiN 막들의 굴절률들은 유사하다. 상기 제1 열에서 보여주는 상기 SiN 막을 형성하기 위한 상기 PEALD 공정은 약 0.73Å/사이클의 막 성장 속도를 보여주며, 상기 퇴적된 SiN 막은 약 1.78의 굴절률을 보여준다. 상기 제2 열에서 보여주는 상기 SiN 막을 형성하기 위한 상기 PEALD 공정은 약 0.74Å/사이클의 막 성장 속도를 보여주며, 상기 퇴적된 SiN 막은 약 1.80의 굴절률을 보여준다. 한편, 도 19a의 표의 제2 열에서 보여주며 상기 다-단계 플라즈마 노출을 사용하여 퇴적되는 상기 SiN 막은 상기 제1 열에서 보여주는 상기 SiN 막의 것과 비교하여, dHF(0.5%)에서 상당히 낮은 습식 식각 속도를 보여준다. 도 19a의 표에서 보여주는 바와 같이, 상기 다-단계 플라즈마 노출을 사용하여 형성된 상기 제2 열에서의 상기 SiN 막의 습식 식각 속도는 상기 제1 열에서 보여주는 상기 SiN막의 것의 약 반이다. 또한 도 19a의 표는 다-단계 플라즈마 노출을 사용하여 셩성된 상기 SiN 막의 습식 식각 속도비가 상기 다-단계 플라즈마 노출을 사용하지 않고 형성된 상기 제1 열의 상기 SiN 막의 것의 약 반이다.
[0316] 어떠한 특정 이론이나 동작 모드에 의해 제한됨이 없이, 다-단계 플라즈마 노출을 포함하는 SiN 박막 형성을 위한 PEALD 공정은 0.5 웨이트% HF 용액에서 원하는 습식 식각 속도들을 포함하여, 원하는 습식 식각 속도들을 갖는 SiN 막들의 형성을 용이하게 할 수 있다. 예를 들어, 다-단계 플라즈마 노출을 포함하는 PEALD 공정은, 원하는 막 퇴적 속도들 및/또는 막 굴절률들과 같은 다른 원하느 막 특성들을 제공하면서, 원하는 습식 식각 속도들을 갖는 SiN 막들의 형성을 용이하게 할 수 있다.
[0317] 많은 그리고 다양한 변경들이 본 발명의 사상으로부터 벗어나지 않고 만들어질 수 있다는 것은 통상의 기술자에게 이해될 것이다. 상기 기술된 피쳐들, 구조물들, 특성들 및 전구체들은 어떠한 적합한 방식으로 조합될 수 있다. 따라서, 본 발명의 형태들은 본 발명의 범위를 제한하려는 의도가 아니라 단지 예시적이라는 것은 명확하게 이해되어야 할 것이다. 모든 변경들 및 변화들은 첨부된 청구항들에 의해 정의된 바와 같이, 본 발명의 범위 내로 들어올 것으로 의도된다.

Claims (20)

  1. 반응 공간 내의 기판의 표면 상에 SiN 박막을 형성하는 방법으로서,
    상기 기판을 SiI2H2를 포함하는 실리콘 전구체와 접촉시키는 단계;
    상기 기판을 제1 플라즈마 파워를 사용하여 생성된 활성화된 수소 종들을 포함하는 제1 플라즈마와 접촉시키는 단계; 및
    상기 기판을 상기 제1 파워보다 작은 제2 파워를 사용하여 N2를 포함하는 가스로부터 형성된 제2 플라즈마와 접촉시키는 단계를 포함하고,
    상기 제2 플라즈마에는 실질적으로 수소 종들이 없는 것을 특징으로 하는 SiN 박막을 형성하는 방법.
  2. 청구항 1에 있어서,
    상기 제1 플라즈마는 수소, 수소 원자들, 수소 플라즈마, 수소 라디칼들, N* 라디칼들, NH* 라디칼들 및 NH2* 라디칼들 중 적어도 하나를 포함하는, SiN 박막을 형성하는 방법.
  3. 청구항 1에 있어서,
    상기 제2 플라즈마는 N2 및 비활성 가스를 포함하는 가스로부터 형성되는, SiN 박막을 형성하는 방법.
  4. 청구항 1에 있어서,
    상기 제2 파워는 상기 제 1 파워의 50% 내지 100% 사이인 SiN 박막을 형성하는 방법.
  5. 청구항 1에 있어서,
    상기 제1 파워는 50 W 내지 600 W인 것을 특징으로 하는 SiN 박막을 형성하는 방법.
  6. 청구항 1에 있어서,
    상기 제2 파워는 100 W 내지 1000 W인 것을 특징으로 하는 SiN 박막을 형성하는 방법.
  7. 청구항 1에 있어서,
    상기 제1 플라즈마는 2500 W*s보다 작은 플라즈마 에너지를 갖는 것을 특징으로 하는 SiN 박막을 형성하는 방법.
  8. 청구항 1에 있어서,
    상기 제2 플라즈마는 약 0.07 W/㎤ 내지 약 70 W/㎤ 파워 밀도를 갖는 것을 특징으로 하는 SiN 박막을 형성하는 방법.
  9. 청구항 1에 있어서,
    상기 기판은 제1 지속 시간 동안 상기 제1 플라즈마와 접촉되고 상기 제1 지속 시간보다 작은 제2 지속 시간 동안 상기 제2 플라즈마와 접촉되는 SiN 박막을 형성하는 방법.
  10. 청구항 9에 있어서,
    상기 제2 지속 시간은 상기 제1 지속 시간의 5% 내지 75%인 SiN 박막을 형성하는 방법.
  11. 청구항 1에 있어서,
    상기 기판을 SiI2H2를 포함하는 상기 실리콘 전구체와 접촉시키는 단계 및 상기 기판을 상기 제1 플라즈마와 접촉시키는 단계를, 상기 기판을 상기 제2 플라즈마와 접촉시키는 단계 이전에 2회 이상 반복시키는 단계를 더 포함하는 것을 특징으로 하는 SiN 박막을 형성하는 방법.
  12. 청구항 11에 있어서,
    상기 기판을 상기 실리콘 전구체와 접촉시키는 단계 및 상기 기판을 상기 제1 플라즈마와 접촉시키는 단계는 상기 기판을 상기 제2 플라즈마와 접촉시키는 단계 이전에 적어도 25회 반복되는 SiN 박막을 형성하는 방법.
  13. 청구항 1에 있어서,
    상기 기판이 상기 제1 플라즈마와 접촉되는 전체 지속 시간은 상기 기판이 상기 제2 플라즈마와 접촉되는 전체 지속 시간 이상인 것을 특징으로 하는 SiN 박막을 형성하는 방법.
  14. 청구항 1에 있어서,
    상기 기판을 상기 제1 플라즈마 및 상기 제2 플라즈마 중 적어도 하나와 상이한 제3 플라즈마에 노출시키는 단계를 더 포함하는 것을 특징으로 하는 SiN 박막을 형성하는 방법.
  15. 청구항 1에 있어서,
    상기 SiN 박막을 형성하기 위해 사용되는 추가적인 반응물들이 부존재하는, SiN 박막을 형성하는 방법.
  16. 청구항 1에 있어서,
    상기 반응 공간으로부터 과량의 반응물을 제거하는 단계를 더 포함하는, SiN 박막을 형성하는 방법.
  17. 청구항 1에 있어서,
    상기 SiN 박막은 측벽과 상부 영역을 포함하는 3차원 구조물 위에 형성되고 상기 SiN 박막의 상기 상부 영역 위에서의 습식 식각율(wet etch rate, WER)에 대한 상기 SiN 박막의 상기 측벽 위에서의 습식 식각율(WER)의 비율은 0.5% dHF 내에서 0.75 내지 1.5인 SiN 박막을 형성하는 방법.
  18. 청구항 1에 있어서,
    상기 SiN 박막은 0.5% dHF 내에서 5 nm/분 미만의 블랭킷 WER을 갖는, SiN 박막을 형성하는 방법.
  19. 청구항 1에 있어서,
    상기 SiN 박막의 식각량은 0.5 dHF 침지 프로세스에서 열적 SiO2 막의 식각량보다 1 내지 2배 작은, SiN 박막을 형성하는 방법.
  20. 청구항 1에 있어서,
    열적 실리콘 산화물 막의 식각 속도에 대한 상기 SiN 박막의 식각 속도의 식각 속도 비율은 0.5% HF 수용액 내에서 0.5 미만인 SiN 박막을 형성하는 방법.
KR1020210139519A 2014-09-17 2021-10-19 SiN 박막의 형성 방법 KR102546225B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462051867P 2014-09-17 2014-09-17
US62/051,867 2014-09-17
US201562180511P 2015-06-16 2015-06-16
US62/180,511 2015-06-16
KR1020210049908A KR102317181B1 (ko) 2014-09-17 2021-04-16 SiN 박막의 형성 방법

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020210049908A Division KR102317181B1 (ko) 2014-09-17 2021-04-16 SiN 박막의 형성 방법

Publications (2)

Publication Number Publication Date
KR20210129625A true KR20210129625A (ko) 2021-10-28
KR102546225B1 KR102546225B1 (ko) 2023-06-21

Family

ID=55455420

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020150131423A KR102243442B1 (ko) 2014-09-17 2015-09-17 SiN 박막의 형성 방법
KR1020210049908A KR102317181B1 (ko) 2014-09-17 2021-04-16 SiN 박막의 형성 방법
KR1020210139519A KR102546225B1 (ko) 2014-09-17 2021-10-19 SiN 박막의 형성 방법

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020150131423A KR102243442B1 (ko) 2014-09-17 2015-09-17 SiN 박막의 형성 방법
KR1020210049908A KR102317181B1 (ko) 2014-09-17 2021-04-16 SiN 박막의 형성 방법

Country Status (4)

Country Link
US (5) US9576792B2 (ko)
JP (4) JP6752004B2 (ko)
KR (3) KR102243442B1 (ko)
TW (3) TWI716334B (ko)

Families Citing this family (337)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9564309B2 (en) * 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP6600074B2 (ja) * 2015-07-31 2019-10-30 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 窒化ケイ素膜を堆積するための組成物及び方法
US20170040158A1 (en) * 2015-08-06 2017-02-09 Applied Materials, Inc. Low temperature ald on semiconductor and metallic surfaces
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
WO2017056188A1 (ja) * 2015-09-29 2017-04-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US20170178899A1 (en) 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
JP6544232B2 (ja) * 2015-12-25 2019-07-17 東京エレクトロン株式会社 成膜方法及び成膜装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
JP6656103B2 (ja) * 2016-07-15 2020-03-04 東京エレクトロン株式会社 窒化膜の成膜方法および成膜装置
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9929006B2 (en) 2016-07-20 2018-03-27 Micron Technology, Inc. Silicon chalcogenate precursors, methods of forming the silicon chalcogenate precursors, and related methods of forming silicon nitride and semiconductor structures
WO2018016871A1 (ko) * 2016-07-22 2018-01-25 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
KR102014175B1 (ko) * 2016-07-22 2019-08-27 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US9865456B1 (en) 2016-08-12 2018-01-09 Micron Technology, Inc. Methods of forming silicon nitride by atomic layer deposition and methods of forming semiconductor structures
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
KR20180034798A (ko) * 2016-09-28 2018-04-05 삼성전자주식회사 유전막 형성 방법 및 반도체 장치의 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) * 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) * 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6733516B2 (ja) * 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10153156B2 (en) * 2016-12-15 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma enhanced atomic layer deposition
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6767885B2 (ja) * 2017-01-18 2020-10-14 東京エレクトロン株式会社 保護膜形成方法
KR101884555B1 (ko) 2017-02-07 2018-08-01 연세대학교 산학협력단 플라즈마 강화 원자층 증착을 이용한 금속 산화물막 증착 방법
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP6778144B2 (ja) * 2017-04-25 2020-10-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US20190067014A1 (en) * 2017-08-30 2019-02-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor device structures
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
JP2020534692A (ja) * 2017-09-21 2020-11-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高アスペクト比堆積
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11955331B2 (en) * 2018-02-20 2024-04-09 Applied Materials, Inc. Method of forming silicon nitride films using microwave plasma
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
US11393674B2 (en) * 2018-05-18 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Forming low-stress silicon nitride layer through hydrogen treatment
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10720526B2 (en) * 2018-06-29 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Stress modulation for dielectric layers
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7003011B2 (ja) * 2018-07-27 2022-01-20 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US11600530B2 (en) * 2018-07-31 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) * 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
CN112930581A (zh) * 2018-10-19 2021-06-08 朗姆研究公司 沉积氮化硅膜的方法
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
JP7200880B2 (ja) * 2019-08-19 2023-01-10 東京エレクトロン株式会社 成膜方法及び成膜装置
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202117052A (zh) 2019-09-19 2021-05-01 美商應用材料股份有限公司 Peald氮化物膜
US11562901B2 (en) * 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
CN114651088A (zh) * 2019-11-08 2022-06-21 朗姆研究公司 具有射频功率渐变的等离子体增强原子层沉积
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
JP7300970B2 (ja) * 2019-11-19 2023-06-30 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP7321085B2 (ja) * 2019-12-26 2023-08-04 東京エレクトロン株式会社 膜形成方法及びシステム
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
KR20210094462A (ko) * 2020-01-20 2021-07-29 에이에스엠 아이피 홀딩 비.브이. 전처리를 사용하여 실리콘 질화물 층을 증착하는 방법, 상기 방법을 사용하여 형성된 구조체, 및 상기 방법을 수행하기 위한 시스템
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023114641A1 (en) * 2021-12-14 2023-06-22 Lam Research Corporation Conformal deposition of silicon nitride

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030228770A1 (en) * 2002-06-05 2003-12-11 Samsung Electronics Co., Ltd. Method of forming a thin film with a low hydrogen content on a semiconductor device
US20050181633A1 (en) * 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
JP2008517479A (ja) * 2004-10-20 2008-05-22 アプライド マテリアルズ インコーポレイテッド SiN低温堆積法
JP2010103484A (ja) * 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
JP2013093551A (ja) * 2011-10-07 2013-05-16 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR20130057409A (ko) * 2010-04-15 2013-05-31 노벨러스 시스템즈, 인코포레이티드 개선된 질화 규소 필름 및 그 개선 방법
JP2013153164A (ja) * 2012-01-20 2013-08-08 Novellus Systems Incorporated 無塩素の共形SiN膜を蒸着させるための方法
WO2013137115A1 (ja) * 2012-03-15 2013-09-19 東京エレクトロン株式会社 成膜方法及び成膜装置
KR20140059751A (ko) * 2012-11-08 2014-05-16 노벨러스 시스템즈, 인코포레이티드 민감성 기판 상에 막을 증착하는 방법

Family Cites Families (184)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4683147A (en) 1984-04-16 1987-07-28 Canon Kabushiki Kaisha Method of forming deposition film
US4668365A (en) 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
JPS61252932A (ja) 1985-04-30 1986-11-10 Mitsubishi Heavy Ind Ltd ワイヤチエ−ンコネクタ
US4696834A (en) 1986-02-28 1987-09-29 Dow Corning Corporation Silicon-containing coatings and a method for their preparation
JPH01103844A (ja) 1987-10-16 1989-04-20 Matsushita Electric Ind Co Ltd 絶縁体薄膜の製造方法
US5024716A (en) 1988-01-20 1991-06-18 Canon Kabushiki Kaisha Plasma processing apparatus for etching, ashing and film-formation
JPH0570957A (ja) 1991-09-19 1993-03-23 Nec Corp プラズマ気相成長装置
US5939333A (en) 1996-05-30 1999-08-17 Micron Technology, Inc. Silicon nitride deposition method
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
WO1999028529A1 (en) 1997-12-02 1999-06-10 Gelest, Inc. Silicon based films formed from iodosilane precursors and method of making the same
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
KR20010074387A (ko) 2000-01-25 2001-08-04 황 철 주 실리콘질화막 형성방법
JP3420205B2 (ja) 2000-11-20 2003-06-23 Necエレクトロニクス株式会社 半導体装置の製造方法
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
JP5068402B2 (ja) * 2000-12-28 2012-11-07 公益財団法人国際科学振興財団 誘電体膜およびその形成方法、半導体装置、不揮発性半導体メモリ装置、および半導体装置の製造方法
JP2002308643A (ja) 2001-02-01 2002-10-23 Nippon Electric Glass Co Ltd 無アルカリガラス及びディスプレイ用ガラス基板
US7084080B2 (en) 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
GB0113751D0 (en) 2001-06-06 2001-07-25 Dow Corning Surface treatment
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US9708707B2 (en) 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6929831B2 (en) 2001-09-15 2005-08-16 Trikon Holdings Limited Methods of forming nitride films
JP4121269B2 (ja) 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
CN100471991C (zh) 2002-10-18 2009-03-25 应用材料有限公司 采用硅化合物进行的含硅层沉积
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7144806B1 (en) 2002-10-23 2006-12-05 Novellus Systems, Inc. ALD of tantalum using a hydride reducing agent
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7446217B2 (en) 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
KR100965758B1 (ko) 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US7524774B2 (en) 2003-09-26 2009-04-28 Tokyo Electron Limited Manufacturing method of semiconductor device, semiconductor manufacturing apparatus, plasma nitridation method, computer recording medium, and program
JP4522916B2 (ja) 2005-06-27 2010-08-11 東京エレクトロン株式会社 プラズマ窒化処理方法、制御プログラム、コンピュータ記憶媒体およびプラズマ処理装置
US20050145177A1 (en) 2003-12-30 2005-07-07 Mcswiney Michael Method and apparatus for low temperature silicon nitride deposition
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
TW200603287A (en) 2004-03-26 2006-01-16 Ulvac Inc Unit layer posttreating catalytic chemical vapor deposition apparatus and method of film formation therewith
US20050282350A1 (en) 2004-06-22 2005-12-22 You-Hua Chou Atomic layer deposition for filling a gap between devices
US20060019032A1 (en) 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
JP4669679B2 (ja) 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060255315A1 (en) 2004-11-19 2006-11-16 Yellowaga Deborah L Selective removal chemistries for semiconductor applications, methods of production and uses thereof
JP2006190770A (ja) 2005-01-05 2006-07-20 Hitachi Kokusai Electric Inc 基板処理装置
JP4546519B2 (ja) 2005-02-17 2010-09-15 株式会社日立国際電気 半導体デバイスの製造方法
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US20060213437A1 (en) 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7429538B2 (en) 2005-06-27 2008-09-30 Applied Materials, Inc. Manufacturing method for two-step post nitridation annealing of plasma nitrided gate dielectric
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7785658B2 (en) 2005-10-07 2010-08-31 Asm Japan K.K. Method for forming metal wiring structure
US20070116888A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US7795160B2 (en) 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
KR100752190B1 (ko) 2006-09-04 2007-08-27 동부일렉트로닉스 주식회사 반도체 소자의 갭필 방법
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7531452B2 (en) 2007-03-30 2009-05-12 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
CN100590803C (zh) 2007-06-22 2010-02-17 中芯国际集成电路制造(上海)有限公司 原子层沉积方法以及形成的半导体器件
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US8129288B2 (en) 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US7871942B2 (en) 2008-03-27 2011-01-18 Applied Materials, Inc. Methods for manufacturing high dielectric constant film
US8148269B2 (en) 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
KR101444707B1 (ko) 2008-06-03 2014-09-26 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 실리콘 함유 막의 저온 증착
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8726838B2 (en) 2010-03-31 2014-05-20 Intermolecular, Inc. Combinatorial plasma enhanced deposition and etch techniques
US20120153442A1 (en) 2008-09-30 2012-06-21 Tokyo Electron Limited Silicon nitride film and process for production thereof, computer-readable storage medium, and plasma cvd device
KR101491726B1 (ko) 2008-10-08 2015-02-17 주성엔지니어링(주) 반도체 소자의 갭필 방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5547418B2 (ja) 2009-03-19 2014-07-16 株式会社Adeka 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8415259B2 (en) 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
JP5346904B2 (ja) 2009-11-27 2013-11-20 東京エレクトロン株式会社 縦型成膜装置およびその使用方法
US8114761B2 (en) 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8937353B2 (en) 2010-03-01 2015-01-20 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial process for a finFET device
US20130157466A1 (en) 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
CN102884638A (zh) 2010-05-07 2013-01-16 应用材料公司 用于太阳能电池的背侧反射器的氧化物-氮化物堆栈
WO2011162136A1 (en) 2010-06-23 2011-12-29 Tokyo Electron Limited Film formation method, semiconductor-device fabrication method, insulating film and semiconductor device
WO2012012457A2 (en) 2010-07-19 2012-01-26 Rec Silicon Inc Polycrystalline silicon production
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
JP2012142386A (ja) 2010-12-28 2012-07-26 Elpida Memory Inc 窒化膜の形成方法
US8465811B2 (en) 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8563443B2 (en) 2011-02-18 2013-10-22 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8580664B2 (en) 2011-03-31 2013-11-12 Tokyo Electron Limited Method for forming ultra-shallow boron doping regions by solid phase diffusion
JP2012216631A (ja) 2011-03-31 2012-11-08 Tokyo Electron Ltd プラズマ窒化処理方法
TW201306082A (zh) 2011-04-18 2013-02-01 Tokyo Electron Ltd 電漿評估方法、電漿處理方法及電漿處理裝置
KR101657341B1 (ko) * 2011-04-25 2016-09-13 도쿄엘렉트론가부시키가이샤 성막 방법
JP5785325B2 (ja) 2011-06-03 2015-09-30 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated 炭素ドープケイ素含有膜を堆積するための組成物及び方法
US9165761B2 (en) 2011-08-25 2015-10-20 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, method for processing substrate, substrate processing apparatus and recording medium
US8476743B2 (en) 2011-09-09 2013-07-02 International Business Machines Corporation C-rich carbon boron nitride dielectric films for use in electronic devices
US8557666B2 (en) 2011-09-13 2013-10-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US8569184B2 (en) 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
JP2013125762A (ja) 2011-12-13 2013-06-24 Tokyo Electron Ltd 成膜装置、および成膜方法
US8698199B2 (en) 2012-01-11 2014-04-15 United Microelectronics Corp. FinFET structure
US8586487B2 (en) 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
JP5912637B2 (ja) 2012-02-17 2016-04-27 東京エレクトロン株式会社 半導体装置の製造方法
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8912101B2 (en) 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
JP6105967B2 (ja) 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5208294B2 (ja) 2012-04-23 2013-06-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US8722546B2 (en) 2012-06-11 2014-05-13 Asm Ip Holding B.V. Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control
EP2875166B1 (en) 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
JP2014060378A (ja) 2012-08-23 2014-04-03 Tokyo Electron Ltd シリコン窒化膜の成膜方法、有機電子デバイスの製造方法及びシリコン窒化膜の成膜装置
US8742668B2 (en) 2012-09-05 2014-06-03 Asm Ip Holdings B.V. Method for stabilizing plasma ignition
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US8772101B2 (en) 2012-11-08 2014-07-08 Globalfoundries Inc. Methods of forming replacement gate structures on semiconductor devices and the resulting device
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
CN104302810B (zh) 2012-12-21 2017-03-29 陕西有色天宏瑞科硅材料有限责任公司 流化床反应器设备用的高温级钢
CN103915341B (zh) 2013-01-08 2016-12-28 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US20140273526A1 (en) 2013-03-12 2014-09-18 David Thompson Atomic Layer Deposition Of Films Comprising Si(C)N Using Hydrazine, Azide And/Or Silyl Amine Derivatives
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US20140273530A1 (en) 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US8828866B1 (en) 2013-06-26 2014-09-09 Applied Materials, Inc. Methods for depositing a tantalum silicon nitride film
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US20150125628A1 (en) 2013-11-06 2015-05-07 Asm Ip Holding B.V. Method of depositing thin film
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US20150255324A1 (en) 2014-03-06 2015-09-10 Applied Materials, Inc. Seamless gap-fill with spatial atomic layer deposition
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
JP7125343B2 (ja) 2015-10-23 2022-08-24 アプライド マテリアルズ インコーポレイテッド 表面毒化処理によるボトムアップ式間隙充填
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
JP6584347B2 (ja) 2016-03-02 2019-10-02 東京エレクトロン株式会社 成膜方法
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US9960033B1 (en) 2016-12-16 2018-05-01 Asm Ip Holding B.V. Method of depositing and etching Si-containing film
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN110178201B (zh) 2017-01-13 2023-06-16 应用材料公司 用于低温氮化硅膜的方法及设备
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US9984869B1 (en) 2017-04-17 2018-05-29 Asm Ip Holding B.V. Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11955331B2 (en) 2018-02-20 2024-04-09 Applied Materials, Inc. Method of forming silicon nitride films using microwave plasma
WO2019212938A1 (en) 2018-04-29 2019-11-07 Applied Materials, Inc. Low temperature atomic layer deposition of silicon nitride
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030228770A1 (en) * 2002-06-05 2003-12-11 Samsung Electronics Co., Ltd. Method of forming a thin film with a low hydrogen content on a semiconductor device
US20050181633A1 (en) * 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
JP2008517479A (ja) * 2004-10-20 2008-05-22 アプライド マテリアルズ インコーポレイテッド SiN低温堆積法
JP2010103484A (ja) * 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
KR20130057409A (ko) * 2010-04-15 2013-05-31 노벨러스 시스템즈, 인코포레이티드 개선된 질화 규소 필름 및 그 개선 방법
JP2013093551A (ja) * 2011-10-07 2013-05-16 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP2013153164A (ja) * 2012-01-20 2013-08-08 Novellus Systems Incorporated 無塩素の共形SiN膜を蒸着させるための方法
WO2013137115A1 (ja) * 2012-03-15 2013-09-19 東京エレクトロン株式会社 成膜方法及び成膜装置
KR20140059751A (ko) * 2012-11-08 2014-05-16 노벨러스 시스템즈, 인코포레이티드 민감성 기판 상에 막을 증착하는 방법

Also Published As

Publication number Publication date
JP2021180323A (ja) 2021-11-18
US20160079054A1 (en) 2016-03-17
US11367613B2 (en) 2022-06-21
JP6752004B2 (ja) 2020-09-09
KR20210045970A (ko) 2021-04-27
KR102317181B1 (ko) 2021-10-26
US20180068844A1 (en) 2018-03-08
JP7062817B2 (ja) 2022-05-06
JP7158616B2 (ja) 2022-10-21
TW202100793A (zh) 2021-01-01
JP2016063232A (ja) 2016-04-25
KR102546225B1 (ko) 2023-06-21
TW201617471A (zh) 2016-05-16
US9576792B2 (en) 2017-02-21
JP6919039B2 (ja) 2021-08-11
TWI728941B (zh) 2021-05-21
TWI716334B (zh) 2021-01-11
KR102243442B1 (ko) 2021-04-23
JP2020191473A (ja) 2020-11-26
TWI707056B (zh) 2020-10-11
KR20160033057A (ko) 2016-03-25
TW202115275A (zh) 2021-04-16
US20170372886A1 (en) 2017-12-28
US20190295838A1 (en) 2019-09-26
US10262854B2 (en) 2019-04-16
US10741386B2 (en) 2020-08-11
US20200365392A1 (en) 2020-11-19
JP2022089928A (ja) 2022-06-16

Similar Documents

Publication Publication Date Title
KR102317181B1 (ko) SiN 박막의 형성 방법
KR102319525B1 (ko) 저온에서 SiN을 퇴적시키기 위한 Si 전구체들
KR102503837B1 (ko) SiN 박막들의 형성

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right