JP2013153164A - 無塩素の共形SiN膜を蒸着させるための方法 - Google Patents

無塩素の共形SiN膜を蒸着させるための方法 Download PDF

Info

Publication number
JP2013153164A
JP2013153164A JP2013007612A JP2013007612A JP2013153164A JP 2013153164 A JP2013153164 A JP 2013153164A JP 2013007612 A JP2013007612 A JP 2013007612A JP 2013007612 A JP2013007612 A JP 2013007612A JP 2013153164 A JP2013153164 A JP 2013153164A
Authority
JP
Japan
Prior art keywords
nitrogen
reactant
containing reactant
plasma
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013007612A
Other languages
English (en)
Other versions
JP6635640B2 (ja
JP2013153164A5 (ja
Inventor
Hausmann Dennis
デニス・ハウスマン
Henri Jon
ジョン・ヘンリー
Van Schravendijk Bart
シュラベンジック バート・バン
Srinivasan Easwar
イースウォー・スリニバサン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of JP2013153164A publication Critical patent/JP2013153164A/ja
Publication of JP2013153164A5 publication Critical patent/JP2013153164A5/ja
Application granted granted Critical
Publication of JP6635640B2 publication Critical patent/JP6635640B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】基板上にシリコン窒化物(SiN)を作成する方法を提供する。
【解決手段】無塩素(Cl)の共形SiN膜を蒸着させるSiN膜は、無Clで、なおかつ無炭素(C)である。もう1つの態様は、共形SiN膜の応力および/またはウェットエッチング速度を調整する方法に関する。もう1つの態様は、高品質の共形SiN膜を蒸着させる低温方法に関する。一部の実施形態では、方法は、シリコン含有前駆体としてトリシルアミン(TSA)を使用することを伴う。
【選択図】図4

Description

優先権の主張:
本出願は、2012年1月20日に出願された米国仮特許出願第61/588,964号および2012年3月7日に出願された米国出願第13/414,619号の優先権を主張する。これらの出願は、いずれも、参照によって本明細書に組み込まれる。
導入:
[分野]
本開示は、総じて、基板上にSiN材料を形成することに関する。特に、本開示は、半導体基板上にSiN膜を形成することに関する。
[背景]
シリコン窒化物(SiN)薄膜は、固有の物理的、化学的、および機械的特性を有し、したがって、たとえば拡散バリア、ゲート絶縁物、側壁スペーサ、封入層、トランジスタ内の緊張膜のように、具体的には半導体デバイスなどの様々な用途に使用される。SiN膜の問題は、1つには、膜の形成のために比較的高い温度が使用されることである。たとえば、フロントエンド(FEOL:Front End of Line)用途では、SiN膜は、750℃を超えるリアクタの中で、ジクロロシランおよびアンモニアを使用して化学蒸着(CVD)によって蒸着されるのが通例である。しかしながら、SiN膜は、後期の半導体製造プロセスに使用されるので、デバイス寸法の縮小に伴って、SiN膜をたとえば600℃未満などの低温で形成することの需要が高まっている。
説明されるのは、基板上にシリコン窒化物(SiN)材料を作成する方法である。これらの方法によって作成される改良SiN膜もまた、含まれる。1つの態様は、無塩素(Cl)の共形SiN膜を蒸着させることに関する。一部の実施形態では、SiN膜は、無Clで、なおかつ無炭素(C)である。もう1つの態様は、共形SiN膜の応力および/またはウェットエッチング速度を調整する方法に関する。もう1つの態様は、高品質の共形SiN膜を蒸着させる低温方法に関する。一部の実施形態では、方法は、シリコン含有前駆体としてトリシルアミン(TSA)を使用することを伴う。
1つの態様は、方法であって、基板を無ハロゲンのシリコン含有反応物の気相流に一定時間ごとに暴露することであって、該無ハロゲンのシリコン含有物は、基板の表面上に吸着される、ことと、基板を第1の窒素含有反応物の気相流に暴露することであって、該窒素含有反応物は、基板の表面上に吸着される、ことと、反応チャンバの中に気相の窒素含有反応物が存在しているとともに無ハロゲンのシリコン含有反応物の気相流が停止されているときに、反応チャンバの中で一定時間ごとにプラズマを点火することと、を含む方法である。一部の実施形態では、無ハロゲンのシリコン含有反応物は、TSAである。ある種の実施形態では、第1の窒素含有反応物は、無炭素である(炭素を含まない)。無炭素の窒素含有反応物の例には、アンモニアまたはヒドラジンがある。ある種の実施形態では、第1の窒素含有反応物は、アミンであり、たとえば、C1-10アルキルアミンである。ある種の実施形態では、第1の窒素含有反応物は、t−ブチルアミンである。
一部の実施形態では、基板は、上記第1の窒素含有反応物と異なる第2の窒素含有反応物の気相流に暴露される。第1の窒素含有反応物は、炭素を含まず、第2の窒素含有反応物は、炭素を含有している。ある種の実施形態では、第1の窒素含有反応物対第2の窒素含有反応物の体積流量比は、約1:1〜10:1であり、たとえば、約1:1〜4:1である。ある種の実施形態では、第1の窒素含有反応物対第2の窒素含有反応物の体積流量比は、約1:10〜1:1であり、たとえば、約1:4〜1:1である。
ある種の実施形態では、反応チャンバの中の圧力は、シリコン含有反応物の気相流中に高くなるように周期をなす。たとえば、反応チャンバの中の圧力は、約5〜50Torrである第1の圧力と、約1〜5Torrである第2の圧力との間で周期をなすことができる。
ある種の実施形態では、基板は、方法中ずっと、第1の窒素含有反応物の気相流に暴露され続ける。ある種の実施形態では、基板は、一定時間ごとに第1の窒素含有反応物の気相流に暴露される。
ある種の実施形態では、温度がたとえば375℃以下、350℃以下、または325℃以下のように、約400℃以下またはそれ未満に維持される、低温プロセスが提供される。ある種の実施形態では、シリコン窒化物材料の応力を調整することができる。たとえば、約−4〜−2GPaの応力を有するシリコン窒化物材料を蒸着させることができる。もう1つの例では、約−2〜1GPaの応力を有するシリコン窒化物を蒸着させることができる。シリコン窒化物材料は、無ハロゲン(ハロゲンを含まない態様)であってよい。ある種の実施形態では、シリコン窒化物材料は、ハロゲンを含まず、なおかつ炭素を含まない。
本発明の1つの態様は、基板上にシリコン窒化物材料を形成する方法であって、反応チャンバの中に基板を提供することと、基板の表面上にTSA反応物が吸着されるように気相のTSA反応物に基板を暴露することと、基板の表面上に窒素含有反応物が吸着されるように気相の窒素含有反応物に基板を暴露することと、気相内に窒素含有反応物が存在している間にプラズマを点火することと、を含む方法に関する。基板表面は、隆起したまたはへこんだ特徴を含むことができる。基板は、たとえば、銅などの金属、シリコン酸化物などの誘電性材料、またはゲルマニウム・アンチモン・テルル(GST)合金のうちの、1つ以上を含むことができる。一部の実施形態では、窒素含有反応物は、アンモニアまたはヒドラジンなどの、無炭素の窒素含有反応物である。一部の実施形態では、窒素含有反応物は、炭素含有反応物である。一部の実施形態では、窒素含有反応物は、炭素含有反応物と、無炭素の窒素含有反応物との混合である。基板温度は、たとえば、約300℃から約450℃、または約300℃から約400℃であってよい。一部の実施形態では、温度は、400℃未満である。RF電力は、一部の実施形態では、約0.15〜0.5W/cm2であってよい。
もう1つの態様は、シリコン窒化物膜を蒸着させるための装置に関する。装置は、反応チャンバと、シリコン窒化物膜を形成するための活性化エネルギ源と、反応物入口と、コントローラとを含むことができる。コントローラは、蒸着サイクル中に第1および第2の窒素含有反応物を反応チャンバに流し入れるための命令と、蒸着サイクル中に無ハロゲンのシリコン含有反応物を一定時間ごとに反応チャンバに流し入れるための命令と、シリコン含有反応物の流れが停止されているときで、なおかつ反応チャンバの中の気相内に第1および第2の窒素含有反応物が存在している間に反応チャンバの中で一定時間ごとにプラズマを点火するための命令と、を含むことができる。
関連の図面を参照にして、以下で、本発明のこれらのおよびその他の特徴および利点がより詳細に説明される。
CFDプロセスにおける代表的な段階の時間的進行を示した図である。
SiN膜を作成するための代表的なプロセスフローを示した図である。 SiN膜を作成するための代表的なプロセスフローを示した図である。 SiN膜を作成するための代表的なプロセスフローを示した図である。
CFD処理ステーションの一例を示した図である。
複数ステーション式の処理ツールを示した概略図の一例である。
TSA/アンモニア系を使用して蒸着されたSiN膜のI−V曲線を示した図である。
TSA/アンモニア系を使用して蒸着されたSiN膜のボトムステップカバレージおよびサイドステップカバレージを様々な特徴アスペクト比について示した図である。
詳細な説明:
[概説]
本開示は、特に半導体基板上に、SiN膜を形成することに関する。本明細書で説明される方法は、具体的には低炭素含量のSiN膜を形成するなどSiN膜の炭素含量を制御するやり方と、SiN膜を形成するための共形膜蒸着(CFD:conformal film deposition)方法とを含む。
[定義]
本明細書で使用される以下の定義は、別途記されない限り適用されるとする。
「シリコン含有反応物」は、SiN材料を作成するために使用される単独のまたは混合の試薬であり、少なくとも1つのシリコン化合物を含有している。シリコン化合物は、たとえば、シラン、ハロシラン、またはアミノシランであってよい。シランは、水素および/または炭素基を含有しているが、ハロゲンは含有していない。シランの例は、シラン(SiH4)、ジシラン(Si26)、ならびにメチルシラン、エチルシラン、イソプロピルシラン、t−ブチルシラン、ジメチルシラン、ジエチルシラン、ジ−t−ブチルシラン、アリルシラン、s−ブチルシラン、テキシルシラン、イソアミルシラン、t−ブチルジシラン、ジ−t−ブチルジシランなどの有機シランである。ハロシランは、少なくとも1つのハロゲン基を含有しており、水素および/または炭素基を含有していても、あるいは含有していなくてもよい。ハロシランの例は、ヨードシラン、ブロモシラン、クロロシラン、およびフルオロシランである。具体的にはフルオロシランなどのハロシランは、シリコン材料をエッチングすることができる反応性のハロゲン化物種を形成すると考えられるが、本明細書で説明されるある種の実施形態では、シリコン含有反応物は、プラズマがストライクされるときには存在していない。具体的なクロロシランは、テトラクロロシラン(SiCl4)、トリクロロシラン(HSiCl3)、ジクロロシラン(H2SiCl2)、モノクロロシラン(ClSiH3)、クロロアリルシラン、クロロメチルシラン、ジクロロメチルシラン、クロロジメチルシラン、クロロエチルシラン、t−ブチルクロロシラン、ジ−t−ブチルクロロシラン、クロロイソプロピルシラン、クロロ−s−ブチルシラン、t−ブチルジメチルクロロシラン、テキシルジメチルクロロシランなどである。アミノシランは、シリコン原子に結合された少なくとも1つの窒素原子を含んでおり、ただし、水素、酸素、ハロゲン、および炭素も含有していてよい。アミノシランの例は、モノ−、ジ−、トリ−、およびテトラ−アミノシラン(それぞれH3Si(NH24、H2Si(NH22、HSi(NH23、およびSi(NH24である)、ならびにたとえばt−ブチルアミノシラン、メチルアミノシラン、t−ブチルシランアミン、ビス(第3級ブチルアミノ)シラン(SiH2(NHC(CH332(BTBAS)、t−ブチルカルバミン酸シリル、SiH(CH3)−(N(CH322、SiHCl−(N(CH322、(Si(CH32NH)3などの、置換されたモノ−、ジ−、トリ−、およびテトラ−アミノシランである。アミノシランの更なる一例は、トリシリルアミン(N(SiH3))である。
「窒素含有反応物」は、少なくとも1つの窒素を含有しており、たとえば、アンモニア、ヒドラジン、ならびにメチルアミン、ジメチルアミン、エチルアミン、イソプロピルアミン、t−ブチルアミン、ジ−t−ブチルアミン、シクロプロピルアミン、s−ブチルアミン、シクロブチルアミン、イソアミルアミン、2−メチルブタン−2−アミン、トリメチルアミン、ジイソプロピルアミン、ジエチルイソプロピルアミン、ジ−t−ブチルヒドラジン、およびアニリン、ピリジン、ベンジルアミンなどの芳香族含有アミンなどの、アミン(炭素を持つアミン)である。アミンは、第1級、第2級、第3級、または第4級(たとえば、テトラアルキルアンモニウム化合物)であってよい。窒素含有反応物は、窒素以外のヘテロ原子を含有していてよく、たとえば、ヒドロキシルアミン、t−ブチルオキシカルボニルアミン、およびN−t−ブチルヒドロキシルアミンは、窒素含有反応物である。
「プラズマ」は、反応チャンバの中で点火されるプラズマ、または離れたところで点火されて反応チャンバに持ち込まれるプラズマである。プラズマは、本明細書で説明される反応物を含むことができ、たとえば、キャリアガス、または水素ガスなどの反応種などの、その他の化学物質を含んでいてもよい。反応物およびその他の反応剤は、プラズマがストライクされるときに反応チャンバの中に存在しているか、または離れたところにあるプラズマが反応物が存在しているところに流し込まれる、および/またはは離れたところで反応物および/またはキャリアガスが点火されてプラズマにされて反応チャンバに持ち込まれるか、であってよい。「プラズマ」は、誘導結合プラズマおよびマイクロ波表面波プラズマなどの、技術的に実現可能であることを知られたあらゆるプラズマを含むことを意味している。当業者ならば、技術の進歩が生じることがわかり、したがって、まだ未開発のプラズマ生成技術も本発明の範囲内であると考えられる。
「熱的除去可能な基」は、窒素含有反応物およびシリコン含有反応物のいずれかまたは両方にあって、約200℃から約550℃の温度で揮発性成分に分かれる部分を言う。本明細書で説明されるのは、第2級および第3級炭素基などの、この温度範囲内で脱離反応を経る非限定的な例である。当業者ならば、その他の基も、説明されたようにその他のメカニズムによって熱的分解することがわかるだろう。たとえば、t−ブチルオキシカルボニル(t−BOCまたは「BOC」)基は、基のt−ブチル部分がイソブチレンを形成するが分解によって二酸化炭素も形成される両方の脱離メカニズムを通じて熱的に分解する。したがって、熱的除去可能な基は、ある特定のメカニズム、または複数メカニズムのある特定の組み合わせに限定されない。指定の温度範囲下で基が分かれて少なくとも1つの揮発性成分を生成する限り、それは、熱的分解可能な基と見なすことができる。たとえば、所定の条件群下において、t−ブチルエチルアミンは、エチル基を残しつつt−ブチル基の熱的分解を経てイソブチレンを形成し、したがって、この熱的分解の生成物は、イソブチレンおよびエチルアミンである。当業者ならば、成分の揮発性が、一部には、成分が生成される反応条件に依存することがわかるだろう。たとえば、イソブチレンは、吸着された反応物と反応しないゆえに、揮発性で、なおかつ加熱および低圧の条件下において反応チャンバから除去されるだろう一方で、たとえばアンモニアは、一般的に揮発性化合物であるにもかかわらず、基板の表面上に吸着されたシリコン含有反応物との反応を経る。
[方法]
本明細書で説明されるのは、SiN膜を作成する方法である。ある特定の実施形態では、SiN膜は、プラズマ活性化共形膜蒸着(CFD)を使用して作成される。一部の実施形態では、SiN膜は、アミノシランシリコン含有反応物を使用して蒸着される。一部の実施形態では、SiN膜は、非ハロゲンのアミノシランを使用して蒸着される。一部の実施形態では、SiN膜は、トリシリルアミンを使用して蒸着される。
一部の実施形態では、SiN膜は、無炭素の窒素含有反応物を使用して蒸着される。一部の実施形態では、SiN膜は、アンモニアを使用して蒸着される。一部の実施形態では、SiN膜は、無炭素化合物である窒素含有反応物と、炭素を含有する窒素含有反応物との混合を使用して蒸着される。
一部の実施形態では、無ハロゲンの共形SiN膜が蒸着される。一部の実施形態では、ハロゲンを含まず、なおかつ無炭素の共形SiN膜が蒸着される。一部の実施形態では、応力を調整された無ハロゲンのSiN膜が蒸着される。一部の実施形態では、約400℃未満の温度で共形SiN膜が蒸着される。これらの各態様については、後ほどさらに詳しく説明される。
ある種の実施形態では、SiN膜を蒸着させるためにCFDが使用されるが、本明細書で説明される方法は、CFDに限定されない。その他の適切な方法として、ALD、PEALD、CVD、PECVD、およびプラズマ強化式の周期性化学蒸着(PECCVD)が挙げられる。CFDを使用して膜を形成する方法は、2011年4月11日に出願されてあらゆる目的のために参照によって本明細書に組み込まれる米国特許出願第13/084,399号に記載されている。文脈上、CFDの簡単な説明がなされる。
半導体デバイスの製造は、通常、統合生産プロセスの中で非平面基板上に1枚以上の薄膜を蒸着させることを伴う。統合プロセスの一部の態様では、共形薄膜を蒸着させることが有用だと考えられる。たとえば、低濃度にドープされたソース領域およびドレイン領域を、後続のイオン注入プロセスから保護するためのスペーサ層として機能させるために、隆起したゲートスタックの上に、シリコン窒化物の膜を蒸着させることができる。
スペーサ層蒸着プロセスでは、非平面基板上にシリコン窒化物膜を形成するために化学蒸着(CVD)プロセスが使用されてよく、シリコン窒化物膜は、次いで、スペーサ構造を形成するために異方性エッチングされる。しかしながら、ゲートスタック間の距離の縮小に伴って、CVD気相反応の大量輸送の限界は、「ブレッド・ローフィング(パン型)」蒸着効果を引き起こす恐れがある。このような効果は、ゲートスタックの上面の蒸着を厚くするとともに、ゲートスタックの下方隅の蒸着を薄くするのが一般的である。さらに、ダイによっては、デバイス密度の異なる複数の領域を有することがあるので、ウエハ表面における大量輸送の効果は、ダイ内およびウエハ内に膜厚のばらつきを生じさせると恐れがある。これら厚さのばらつきは、一部の領域ではオーバエッチングを、一部の領域ではアンダエッチングをもたらす恐れがある。これは、デバイスの性能および/またはダイの歩留りを低下させる恐れがある。
これらの問題に対処するアプローチには、原子層蒸着(ALD:atomic layer depositionx)を伴うものがある。熱的に活性化された気相反応を使用して膜を蒸着させるCVDプロセスとは対照的に、ALDプロセスは、表面を媒介とした蒸着反応を使用して層ごとに膜を蒸着させる。ALDプロセスの一例では、表面活性部位の集団を含む基板表面が、第1の反応物(A)の気相分布に暴露される。反応物Aの一部の分子は、反応物Aの化学吸着種および物理吸着分子を含む凝縮相を、基板表面の上に形成することができる。リアクタは、次いで、気相のおよび物理吸着された反応物Aを除去して化学吸着種のみを残留させるために排気される。次いで、反応物Bの一部の分子が基板表面に吸着するように、第2の膜反応物(B)がリアクタに導入される。基板に提供される熱エネルギは、反応物Aの吸着分子と反応物Bの吸着分子との間の表面反応を活性化し、膜層を形成する。最後に、リアクタは、反応の副生成物および未反応の反応物Bを除去するために排気され、ALDサイクルを終了させる。膜の厚みを築くために、更なるALDサイクルを含めることもできる。反応物Aと反応物Bとの間の反応を促進するために、基板の加熱と併せてまたは基板の加熱に代わって、プラズマまたはその他のエネルギ手段を使用することも可能である。
反応物を投与する工程の暴露時間、および反応物の付着係数に応じて、各ALDサイクルは、一例として厚さが0.5〜3オングストロームの膜層を蒸着させることができる。したがって、ALDプロセスは、厚さが数ナノメートルを超える膜を蒸着させるときに、長時間を要すると考えられる。さらに、反応物によっては、共形膜を蒸着させるために、長い暴露時間を有するかもしれず、これもやはり、ウエハの生産時間を短くすると考えられる。
共形膜は、平面基板上にも蒸着させることができる。たとえば、膜のタイプを交互させる平面スタックから、リソグラフィパターニング用途のための反射防止層を形成することができる。このような反射防止層は、厚さがおよそ100〜1000オングストロームであると考えられ、これは、ALDプロセスを、CVDプロセスよりも魅力的でなくする。しかしながら、このような反射防止層は、多くのCVDプロセスによって提供可能なばらつきに対する耐性よりも、ウエハ内の厚さのばらつきに対する耐性も低いと考えられる。たとえば、厚さが600オングストロームの反射防止層が耐えられる厚さ範囲は、3オングストローム未満だと考えられる。
本明細書で説明される様々な実施形態は、SiN膜を蒸着させるために、CFDを含んでいる。総じて、CFDでは、SiNを形成する反応前に、1つ以上の反応物が完全にパージされる必要がない。たとえば、プラズマ(またはその他の活性化エネルギ)がストライクされるときに、気相内に、1つ以上の反応物が存在していてよい。したがって、代表的なCFDプロセスでは、ALDプロセスで説明された1つ以上のプロセス工程を短縮または排除することができる。さらに、一部の実施形態では、プラズマによる蒸着反応の活性化が、熱によって反応が活性化される場合よりも蒸着温度を低下させ、これは、統合プロセスの熱収支を減少させる可能性がある。
図1は、たとえば不活性ガス流、反応物A、反応物B、およびプラズマがいつストライクされるかなどの様々なプロセスパラメータの、CFDプロセス100における代表的な段階の時間的進行を示している。図1には、2つの蒸着サイクル110Aおよび110Bが示されている。当業者ならば、所望の膜厚を蒸着させるのに適した任意の回数の蒸着サイクルがCFDプロセスに含められてよいことがわかるだろう。代表的なCFDプロセスパラメータとして、不活性種および反応種流量、プラズマ出力および周波数、基板温度、ならびにプロセスステーション圧力などが挙げられるが、これらに限定はされない。
CFD「サイクル」という概念は、本明細書における様々な実施形態の議論に関わっている。総じて、サイクルは、表面蒸着反応を一回実施するために必要とされる最小の動作集合である。1回のサイクルの結果、少なくとも1枚の部分膜層が基板表面上に作成される。通常、CFDサイクルは、各反応物を基板表面に供給して吸着させるために、および次いでそれらの吸着された反応物を反応させて部分膜層を形成するために、必要とされる工程のみを含んでいる。サイクルは、反応物もしくは副生成物のいずれかを一掃(スイープ)する、および/または蒸着された部分膜を処理する、などの、ある種の補助工程を含むことができる。総じて、サイクルは、固有な一連の動作の一事例のみを内包している。一例として、サイクルは、(i)反応物Aを供給する/吸着させる動作、(ii)反応物Bを供給する/吸着させる動作、(iii)Bを反応チャンバから一掃する動作、および(iv)プラズマを印加しAとBとの表面反応を促して表面上に部分膜層を形成する動作、を含むことができる。
図1を参照すると、プロセス100の全ての段階中ずっと、不活性ガスが流されている。暴露段階120Aでは、基板の暴露表面を飽和させるために、反応物Aが、制御された流量でプロセスステーションに供給される。反応物Aは、たとえば窒素含有反応物などの、任意の適切な蒸着反応物であってよい。図1に示された実施形態では、反応物Aは、蒸着サイクル110Aおよび110B中ずっと、流れ続ける。気相反応を阻止するために膜前駆体(反応物)の暴露が分離される代表的なALDプロセスと異なり、CFDプロセスの一部の実施形態の気相では、反応物Aと反応物Bとが入り混じっていることが許容される。プロセスステーションへの反応物Aの継続的供給は、反応物Aが先ずオンに切り替えられ、次いで安定化されて基板に暴露され、次いでオフに切り替えられ、最後にリアクタから除去されるALDプロセスと比べて、反応物Aの流量がオンに切り替えられて安定化されるための時間を短縮または排除することができる。図1に示された実施形態は、反応物A暴露段階120Aを、一定の流量を有するものとして示しているが、本開示の範囲内で、変流量を含む任意の適切な反応物A流が用いられてよいことがわかる。一部の実施形態では、反応物A暴露段階120Aは、反応物Aのための基板表面飽和時間を超える継続時間を有することができる。たとえば、図1の実施形態は、反応物A暴露段階120Aの中に、反応物A飽和後暴露時間130を含んでいる。必須ではないが、反応物A暴露段階120Aは、不活性ガスの制御流量を含んでいてもよい。不活性ガスの例としては、窒素、アルゴン、およびヘリウムなどが挙げられるが、これらに限定はされない。不活性ガスは、プロセスステーションの圧力および/もしくは温度の制御を補助するために、液体反応物の排出を補助するために、より迅速な反応物供給を補助するために、ならびに/またはプロセスステーションおよび/もしくはプロセスステーション配管からプロセスガスを除去するためのスイープガスとして、提供することができる。
図1に示された実施形態の反応物B暴露段階140Aでは、暴露基板表面を飽和させるために、反応物Bが、制御された流量でプロセスステーションに供給される。この例では、反応物Bは、たとえば窒素含有反応物であってよい。図1の実施形態は、反応物B暴露段階140Aを、一定の流量を有するものとして示しているが、本開示の範囲内で、変流量を含む任意の適切な反応物B流が用いられてよいことがわかる。さらに、反応物B暴露段階140Aは、任意の適切な持続時間を有してよいことがわかる。一部の実施形態では、反応物B暴露段階140Aは、反応物Bのための基板表面飽和時間を超える継続時間を有することができる。たとえば、図1に示された実施形態は、反応物B暴露段階140Aに含まれた反応物B飽和後暴露時間150を示している。
一部の実施形態では、表面吸着された反応物B種が、基板表面上に不連続な島状に存在することがあり、これは、反応物Bの表面飽和の達成を困難にする。様々な表面条件が、基板表面上における反応物Bの核形成および飽和を遅延されると考えられる。たとえば、反応物Aおよび/または反応物Bの吸着に際して放出される配位子が、一部の表面活性部位を塞いで反応物Bの更なる吸着を阻むだろう。したがって、一部の実施形態では、プロセスステーションに入る反応物Bの流れを調節するおよび/またはプロセスステーションに反応物Bを断続的に送り込むことによって、反応物B暴露段階140A中に、切れ目の無い反応物Bの接着層を提供することができる。これは、流量が一定であるシナリオと比べて反応物Bを節約しつつ、表面吸着および表面脱着のプロセスのための追加の時間を提供することができる。さらにまたはあるいは、一部の実施形態では、連続する反応物B暴露と反応物B暴露との間に1つ以上の一掃段階を含めることができる。
プラズマによる活性化に先立って、一部の実施形態では、一掃段階160Aにおいて、プロセスステーションから気相反応物Bを除去することができる。プロセスステーションの一掃は、反応物Bがプラズマによる活性化に対して不安定である場合の、または不必要な種が形成されるかもしれない場合の、気相反応を回避することができる。さらに、プロセスステーションの一掃は、一掃されなければ留まって膜を汚染するだろう表面吸着配位子を除去することができる。代表的なスイープガスとして、アルゴン、ヘリウム、および窒素が挙げられるが、これらに限定はされない。図1に示された実施形態では、一掃段階160Aのためのスイープガスは、継続的な不活性ガス流によって供給される。一部の実施形態では、一掃段階160Aは、プロセスステーションを排気するための1つ以上の排気小段階を含むことができる。あるいは、一部の実施形態では、一掃段階160Aが省略されてもよいことがわかる。
一掃段階160Aは、任意の適切な持続時間を有することができる。一部の実施形態では、1つ以上のスイープガスの流量の増大によって、一掃段階160Aの持続時間を短縮することができる。たとえば、スイープガスの流量は、一掃段階160Aの持続時間を変更するために、様々な反応物熱力学的特性、ならびに/またはプロセスステーションおよび/もしくはプロセスステーション配管の幾何学的特性にしたがって、調整することができる。非限定的な一例では、スイープガスの流量の調整によって、一掃段階の持続時間を最適化することができる。これは、蒸着サイクルの時間を短縮可能であり、ひいては、基板のスループットを向上させることができる。
図1に示された実施形態のプラズマ活性化段階180Aでは、表面吸着された反応物Aと反応物Bとの間の表面反応を活性化するために、プラズマエネルギが提供される。たとえば、プラズマは、反応物Aラジカルを形成するために、反応物Aの気相分子を直接的にまたは間接的に活性化させることができる。これらのラジカルは、次いで、表面吸着された反応物Bと相互に作用し、その結果として膜形成表面反応を生じることができる。プラズマ活性化段階180Aは、蒸着サイクル110Aを完結させ、該サイクル後は、図1の実施形態では、反応物A暴露段階120Bから始まって反応物B暴露段階140B、一掃段階160B、およびプラズマ活性化段階180Bに続く蒸着サイクル110Bが続く。
一部の実施形態では、プラズマ活性化段階180Aにおいて点火されるプラズマは、基板表面の真上で形成されるだろう。これは、プラズマ密度を増加させて、反応物Aと反応物Bとの間の表面反応速度を向上させることができる。たとえば、2枚の容量結合板を使用し、低圧ガスに高周波数(RF)電界を印加することによって、CFDプロセスのためのプラズマを生成することができる。プラズマの形成には、任意の適切なガスを使用することができる。この例では、プラズマを形成するために、アルゴンまたはヘリウムなどの不活性ガスが、窒素含有反応物である反応物Aとともに使用される。RF電界による板間におけるガスのイオン化は、プラズマを点火し、プラズマ放電領域の中で自由電子を発生させる。これらの電子は、RF電界によって加速されて、気相反応物分子と衝突するだろう。これらの電子と反応物分子との衝突は、蒸着プロセスに参与するラジカル種を発生させるだろう。RF電界は、任意の適切な電極によって結合可能であることがわかっている。電極の非限定的な例として、プロセスガス分配シャワーヘッドおよび基板サポート台座が挙げられる。CFDプロセスのためのプラズマは、RF電界をガスに容量結合する以外の1つ以上の適切な方法によっても形成可能であることがわかる。
プラズマ活性化段階180Aは、任意の適切な持続時間を有することができる。一部の実施形態では、プラズマ活性化段階180Aは、プラズマによって活性化されたラジカルが全ての暴露基板表面および吸着質と相互に作用して基板表面の上に切れ目の無い膜を形成する時間を超える持続時間を有することができる。たとえば、図1に示された実施形態は、プラズマ活性化段階180Aの中に、プラズマ飽和後暴露時間190を含んでいる。
一部の実施形態では、プラズマ暴露時間の延長および/または複数のプラズマ暴露段階の提供によって、蒸着膜の大半の部分および/または表面近くの部分の反応後処理を提供することができる。一実施形態では、表面汚染の削減によって、反応物Aの吸着に備えて表面を整えることができる。たとえば、シリコン含有反応物と窒素含有反応物との反応から形成されるシリコン窒化物膜は、続く反応物の吸着に抵抗する表面を有することができる。プラズマによるシリコン窒化物表面の処理は、続く吸着および反応を促進するための水素結合を形成することができる。本明細書で説明されるSiN膜は、プラズマ以外による処理を経ることも可能である。
一部の実施形態では、蒸着膜の性質を変更するために、プラズマ処理以外の処理が用いられる。このような処理には、電磁放射処理や熱処理(たとえばアニールまたは高温パルス)などがある。これらのいずれの処理も、単独で、またはプラズマ処理を含む別の処理と組み合わせて実施することができる。このようないずれの処理も、上述された任意のプラズマ処理の代わりとして用いることができる。具体的な一実施形態では、処理は、膜を紫外線放射に暴露することを伴う。後ほど説明されるように、具体的な一実施形態では、方法は、その場(in−situ)で(すなわち膜の形成中に)または膜の蒸着後にUV放射を照射することを伴う。このような処理は、欠陥構造を削減または排除して電気的性能を向上させる働きをする。
ある種の具体的な実施形態では、プラズマ処理にUV処理を結合することができる。これら2つの動作は、同時にまたは順次に実施することができる。順次の選択肢では、必須ではないが、UV動作が最初に実施される。同時の選択肢では、2つの処理は、別々の源(たとえばプラズマのためのRF電源およびUVのためのランプ)から提供されるか、または副生成物としてUV放射を生じるヘリウムプラズマなどの単独の源から提供されるかであってよい。
一部の実施形態では、プラズマパラメータを変動させることによって、膜応力、誘電率、屈折率、エッチング速度などの膜の性質を調整することができる。
本明細書で議論される実施例の多くは、2つの反応物(AおよびB)を含んでいるが、本開示の範囲内で、任意の適切な数の反応物が用いられてよいことがわかる。一部の実施形態では、1つの反応物と、表面反応のためのプラズマエネルギを供給するために使用される1つの不活性ガスとを使用することができる。あるいは、一部の実施形態は、膜を蒸着させるために、複数の反応物を使用することができる。たとえば、一部の実施形態では、1つのシリコン含有反応物と1つ以上の窒素含有反応物との反応、または2つ以上のシリコン含有反応物と1つの窒素含有反応物との反応、またはともに1つ以上のシリコン含有反応物と窒素含有反応物との反応によって、シリコン窒化物膜を形成することができる。
ある種の実施形態では、無ハロゲンのSiN膜を蒸着させるために、無ハロゲンのシリコン含有反応物が用いられる。図1は、無ハロゲンのSiN膜を蒸着させるために使用可能なプロセスの一例を示しており、図1のこの例では、反応物Bとして、トリシリルアミン(TSA)が用いられる。図1は、SiN膜を蒸着させるためのプロセスの一例を示しているが、様々な変更形態が可能である。たとえば、一部の実施形態では、反応物Aの流れと反応物Bの流れとが、それらの流れの間に随意のパージガスを挟んで交互に生じることができる。別の例では、反応物Aがシリコン含有反応物であって、反応物Bが窒素含有反応物であってよい。一部の実施形態では、シリコン含有反応物の流れが停止されたときにのみ、プラズマをストライクすることができる。
ある種の実施形態では、無ハロゲンのシリコン含有反応物が用いられる。具体的な一例では、シリコン含有反応物として、トリシリルアミン(TSA)が用いられる。TSAは、分離可能で、なおかつ安定したアミノシランである。
図2および図3は、TSAを使用するプロセスフロー200および300の例を示している。先ず、図2を見ると、反応チャンバに基板が提供される(205を参照せよ)。基板は、上にSiN膜を所望される任意の適切な基板であってよい。たとえば、基板は、部分的に作成された集積回路、フラッシュメモリ、または相変化メモリ基板であってよい。基板は、たとえば剥き出しのシリコン基板などの剥き出しの基板として、または上に1枚以上の層を蒸着された状態で提供することができる。上にSiN膜を蒸着される表面は、たとえばシリコン、ポリシリコン、銅、チタン、タングステン、シリコン二酸化物、もしくはゲルマニウム・アンチモン・テルル(GST)合金であるか、またはそれらを含んでいるかであってよい。一部の実施形態では、表面は、1つ以上の隆起したまたはへこんだ特徴を含む。1つ以上の隆起特徴は、たとえば、2:1〜10:1のアスペクト比を有することができる。基板は、TSAに暴露される(210を参照せよ)。一部の実施形態では、動作210は、非プラズマ動作である。一部の実施形態では、リアクタは、たとえば約5〜50Torrなどの第1の圧力に加圧することができる。ある特定の一実施形態では、動作21中、圧力は約20Torrである。実施形態によっては、この範囲外の圧力を使用することもできる。TSAは、基板表面上に吸着される。所望の量のTSAが表面上に吸着された後、TSAの流れは停止される(不図示)。基板は、次いで、1つ以上の窒素含有反応物に暴露される(215を参照せよ)。気相として窒素含有反応物が存在している間に、プラズマがストライクされ(220を参照せよ)、そうして、基板上に無ハロゲンのSiN膜が形成される。一部の実施形態では、リアクタの中の圧力は、動作210中よりも動作215中および/または220中の方が低いように周期をなす。たとえば、これらの動作中の圧力は、たとえば2Torrのように、約1〜5Torrであってよい。一部の実施形態では、動作220後に、(1つ以上の)窒素含有反応物の流れを停止させることができる(不図示)。
一実施形態では、プラズマがストライクされる前に、不活性ガスおよび/または(1つ以上の)窒素含有反応物の流れによって、基板の表面に吸着されなかったTSAが反応チャンバから一掃される。別の一実施形態では、気相シリコン含有反応物を除去するために、パージを使用することができる。一部の実施形態では、動作220後にもパージを使用することができる。
SiN層を構築するために、210〜220を1回以上反復させることができる。一実施形態では、これらの動作は、厚さが約1nmから約100nmの共形層を基板上に形成するために繰り返される。別の一実施形態では、厚さは、約5nmから約50nmである。別の一実施形態では、厚さは、約5nmから約30nmである。
一実施形態では、本明細書で説明される任意の方法を使用して、基板が約50℃から約550℃に、またはより具体的には約300℃から約450℃に、または例として約350℃または約400℃に加熱される。一実施形態では、ウエハは、蒸着中ずっと加熱され、その他の実施形態では、ウエハは、蒸着中に、または蒸着工程後にアニールとして、一定時間ごとに加熱される。
図3は、方法の一実施形態の態様を概説したプロセスフロー300を示している。反応チャンバに、基板が提供される(305を参照せよ)。300中ずっと、1つ以上の窒素含有反応物の流れが確立および継続される(310を参照せよ)。基板は、一定時間ごとにTSAに暴露される(315を参照せよ)。また、一定時間ごとにプラズマがストライクされ(320を参照せよ)、ただし、これは、TSA反応物の流れが停止されたときに限られる。一実施形態では、基板の表面に吸着されなかったTSAは、不活性ガスおよび/または(1つ以上の)窒素含有反応物の流れによって、反応チャンバから一掃される。別の一実施形態では、パージを使用することができる。プラズマ処理後、サイクルは完了する。所望の厚さの層を構築するために、動作310〜320は、幾回かにわたって繰り返すことができる。
図4は、方法の一実施形態の態様を概説したプロセスフロー400を示している。反応チャンバに、基板が提供される(405を参照せよ)。キャリアの流れが確立される(410を参照せよ)。基板は、窒素含有反応物に暴露される(415を参照せよ)。基板は、シリコン含有反応物に暴露される(420を参照せよ)。シリコン含有反応物の流れが停止された後に、プラズマが点火される(425を参照せよ)。この反応は、SiNを形成する。所望の厚さの層を構築するために、動作410〜425が1回以上反復される。この方法では、415および420は、必ずしも提示の順番でなされるとは限らない。窒素含有反応物の流れは、継続的であっても継続的でなくてもよい。
様々な追加の動作を、上述された任意のプロセスに組み込むことができる。たとえば、一部の実施形態では、SiN膜の全部または一部が所望の厚さに形成された後に、SiN膜を水素プラズマに暴露することができる。これは、SiN膜から、もし炭素成分が含まれるならば取り除くことができる。一実施形態では、水素(H2)と、窒素、ヘリウム、またはアルゴンなどのキャリアガスとを使用して、水素プラズマが生成される。蒸着膜の処理には、その他の水素含有ガス、または遠隔プラズマ源によって生成される活性水素原子を使用することができる。さらに、一部の実施形態では、処理パルスの数およびそれらの持続時間、処理プラズマの強度、基板の温度、ならびに処理ガスの組成のうちの、1つ以上を変動させることによって、膜の炭素成分を任意の適切な濃度に調整することができる。
無ハロゲンのシリコン含有反応物
図2〜4が、TSAを使用してSiNを蒸着させる代表的なプロセスフローを提供する一方で、一部の実施形態では、TSAに代わってまたは追加で非ハロゲンアミノシランを使用してその他のSiN膜が蒸着される。TSAまたはその他のアミノシランは、置換されないか、または必須ではないが任意の非ハロゲン置換基で置換されるかであってよい。一部の実施形態では、非置換TSA(SiH33Nが使用される。一部の実施形態では、1つ以上の炭素含有置換基によって置換されたTSAを使用することができる。炭素含有置換基の例として、アルキル基、アルケニル基、アルキニル基、およびその他の有機基が挙げられる。
無ハロゲンのシリコン含有反応物の使用は、蒸着プロセスのハロゲン化物副生成物によるエッチングおよび/または腐食を特に受けやすいある種の基板上における蒸着に有利である。これらは、銅、タングステン、チタン、およびGST合金などの、金属基板を含む。たとえば、タングステンおよび塩化物副生成物は、反応して揮発性のタングステン六塩化物を形成し、その下のタングステンを除去する恐れがある。別の一例では、塩化物ガスが銅を腐食する恐れがある。
無ハロゲンのシリコン含有反応物の使用は、また、低温(たとえば400℃および400℃未満の)反応にも有用である。これは、ハロゲンを含有しているシリコン反応物が、低温反応では揮発性副生成物として除去することができないNH4Clなどの固体副生成物を生じる恐れがあるからである。TSAは、たとえばジクロロシラン(DCS)よりも表面における反応性が高いゆえに、低温反応に使用することができる。
一部の実施形態では、任意の適切な窒素含有反応物とともに、TSAを使用することができる。一実施形態では、窒素含有反応物は、アンモニア、ヒドラジン、アミン、およびそれらの混合物からなる群より選択される。一実施形態では、窒素含有反応物は、C1-10アルキルアミン、またはC1-10アルキルアミンの混合物を含む。一実施形態では、C1-10アルキルアミンは、第1級アルキルアミンまたは第2級アルキルアミンである。一実施形態では、C1-10アルキルアミンは、第1級アルキルアミンである。一実施形態では、C1-10アルキルアミンは、化学式I:
Figure 2013153164
にしたがい、ここで、R1、R2、およびR3は、それぞれが、自分以外とは独立にHもしくはC1-3アルキルである、またはR1、R2、およびR3のうちの2つが、その付加先である炭素原子とともにC3-7シクロアルキルを形成し、R1、R2、およびR3のうちの残りの1つが、HもしくはC1-3アルキルである。一実施形態では、C1-10アルキルアミンは、窒素に直接付加された第2級または第3級の炭素を有する。一実施形態では、C1-10アルキルアミンは、イソプロピルアミン、シクロプロピルアミン、s−ブチルアミン、t−ブチルアミン、シクロブチルアミン、イソアミルアミン、2−メチルブタン−2−アミン、およびテキシルアミン(2,3−ジメチルブタン−2−アミン)からなる群より選択される。一実施形態では、化学式IのC1-10アルキルアミンにおいて、R1、R2、およびR3は、それぞれ、C1-3アルキルである。一実施形態では、C1-10アルキルアミンは、t−ブチルアミン(TBA)である。TBAは、上述の理由ゆえに、特に有用である。
一部の実施形態では、生成されたSiN膜は、不必要な炭素分を有する。この膜内炭素は、漏電を引き起こすかもしれず、一部の誘電体障壁用途では、膜を使用不能にする恐れがある。炭素分にはばらつきがあるが、一部の実施形態では、(重量にして)およそ10%の炭素は高すぎると見なされる。本明細書で説明される方法は、SiN膜内の不必要な炭素に対処している。本明細書で説明される方法は、炭素が2%未満の、一部の実施形態では炭素が1%未満の、さらに別の一実施形態では炭素が0.5%未満の、SiN膜を生成する。一部の実施形態では、炭素残留物の削減は、FTIRスペクトルで容易に観察可能であるが、当業者には、これらの範囲の炭素分を測定することができるその他の解析方法が知られている。
一部の実施形態では、窒素含有反応物は、熱的除去可能な基を含有していることができる。熱的除去可能な基は、約200℃から約550℃の温度で揮発性成分に分かれる基である。たとえば、第2級の、そしてとりわけ第3級の炭素基が、この温度範囲内で脱離反応を経ることができる。ある特定の例では、t−ブチル基が、この温度範囲内で分かれてイソブチレンを形成する。たとえば、t−ブチルアミンは、加熱されたときに、脱離反応を経てイソブチレンおよびアンモニアを形成する。さらに別の一例として、t−ブトキシカルボニル基(t−BOC)もまた、たとえば約150℃で熱的に分解してイソブチレン、二酸化炭素、およびt−BOC基を付加されたラジカルを形成する。たとえば、t−ブチルカルバメートは、熱的に分解してイソブチレンアンモニアおよび二酸化炭素を与える。
基板は、このような基が分解してそれらの炭素分を放出し、そうしてSiN膜の炭素成分を減少させられるように、約200℃から約550℃の温度に加熱することができる。反応物は、基板上に吸着され、これらの反応物をSiN材料に変換するために、プラズマが使用される。残りの炭素基は、基板を加熱することによって除去することができる。加熱は、熱的除去可能な基を分解するために、蒸着中ずっと、または一定時間ごとに実施することができる。基板は、一実施形態では約200℃から約550℃の温度に、別の一実施形態では約350℃から約550℃の温度に、別の一実施形態では約450℃から約550℃の温度に、別の一実施形態では約450℃から約500℃の温度に、加熱される。一実施形態において、たとえばTBAが使用される場合は、SiN膜は、約1秒から約30秒にわたり、または約1秒から約20秒にわたり、または約1秒から約10秒にわたり、約450℃から約500℃の温度に加熱することができる。どのような特定の熱的除去可能な基であれ、ある温度閾値では分かれるが、分解の速度を増加させるために、および/またはSiN膜の性質を向上させるためのアニールとして、より高い温度を使用することが可能である。
上述のように、熱的除去可能な基は、第2級または第3級の炭素の官能基性を含むことができる。シリコン含有反応物および窒素含有反応物は、そのいずれか一方または両方が、1つ以上の同じまたは異なる熱的除去可能な基を含むことができる。一実施形態では、熱的除去可能な基は、化学式II:
Figure 2013153164
にしたがい、ここで、R1、R2、およびR3は、それぞれが、自分以外とは独立にHもしくはC1-3アルキルである、またはR1、R2、およびR3のうちの2つが、その付加先である炭素原子とともにC3-7シクロアルキルを形成し、R1、R2、およびR3のうちの残りの1つが、HもしくはC1-3アルキルであり、上記熱的除去可能な基のそれぞれは、窒素含有反応物の一部であるときは、窒素含有反応物の窒素または酸素に付加され、シリコン含有反応物の一部であるときは、シリコン含有反応物のシリコンまたは窒素または酸素に付加される。一実施形態では、R1、R2、およびR3は、それぞれが、自分以外とは独立にC1-3アルキルである。一実施形態では、熱的除去可能な基は、t−ブチル基である。
無炭素の窒素含有反応物:
一部の実施形態では、窒素含有反応物は、無炭素の窒素含有反応物である。例として、アンモニアおよびヒドラジンが挙げられる。たとえば、一部の実施形態では、SiNを蒸着させるために、シリコン含有反応物および窒素含有反応物として、それぞれTSAおよびアンモニアが使用される。
一部の実施形態では、たとえば、フラッシュメモリのためのSiO2/SiN/SiO2(ONOスタックとしても知られる)のSiN膜を蒸着させるために、無炭素の窒素含有反応物が使用される。蒸着膜は、(人間などの汚染源からのもの、または蒸着ツールの中が先のプロセス後に十分に清浄でなかったことによるものを除く)僅量のハロゲンも炭素も存在しない状態で、ハロゲンを含まず、なおかつ炭素を含まないことができる。
応力およびウェットエッチング速度の調整:
一部の実施形態では、蒸着SiN膜の応力および/またはウェットエッチング速度を調整するために、(1つ以上の)窒素含有反応物を選択することができる。たとえば、高いウェットエッチング速度を有する伸長性の膜を蒸着させるために、アンモニアまたはその他の無炭素窒素含有反応物を使用することができる。
別の一例では、耐エッチング性で且つ圧縮性の膜を蒸着させるために、TBAまたはその他の炭素含有窒素含有反応物を使用することができる。
一部の実施形態では、所望の膜の応力を調整するために、2つの窒素含有反応物を使用することができる。たとえば、所望の応力およびウェットエッチング速度を有するSiN膜を蒸着させるために、炭素含有窒素含有反応物(たとえばTBA)および無炭素窒素含有反応物(たとえばアンモニア)を使用することができる。一部の実施形態では、これらの窒素含有反応物は、所望の応力およびウェットエッチング速度を有する無塩素SiN膜を蒸着させるために、TSAとともに使用することができる。
このようにして、−4GPa(圧縮性)から2GPa(伸長性)に及ぶ範囲の応力を有するSiN膜を実現することができる。たとえば、一部の実施形態では、約−4GPaから約−2GPaの応力を有する圧縮性のSiN膜を、純粋なTBA(またはその他の炭素含有窒素含有反応物)の窒素含有反応物流を使用して実現することができる。一部の実施形態では、約1GPaから約2GPaの応力を有する伸長性のSiN膜を、純粋なアンモニア(またはその他の無炭素窒素含有反応物)の窒素含有反応物流を使用して実現することができる。一部の実施形態では、約−2GPaから1GPaの応力を有するSiN膜を、TBA(またはその他の炭素含有窒素含有反応物)とアンモニア(またはその他の無炭素窒素含有反応物)との混合物を使用して実現することができる。
純粋なTBA(またはその他の炭素含有窒素含有反応物)、純粋なアンモニア(またはその他の無炭素窒素含有反応物)、およびこれらの混合を使用して実現可能な応力値は、具体的な実施形態と、本明細書で説明されるプロセスのプラズマ段階におけるRF電力およびプラズマ持続時間を含むその他のプロセス条件とにしたがって、変動させることができる。プラズマ出力が長くて高いほど、SiN膜は、より圧縮性にすることができる。一部の実施形態では、RF電力を適切に調節することによって、TSAと、(1つ以上の)無炭素窒素含有反応物(たとえばアンモニアおよび/またはヒドラジン)とのみを使用して蒸着されるSiN膜を、たとえば0.5GPaのように僅かに圧縮性の応力から最大2GPaの伸長性の応力に及ぶ範囲の応力を有するように調整することができる。
一部の実施形態では、たとえば、TSAおよび無炭素窒素含有反応物を、約0.15W/cm2のHFRF電力とともに使用することによって、伸長性(1〜2GPa)のSiN膜が蒸着可能であるのに対し、TSAおよび無炭素窒素含有反応物を、1W/cm2に近いHFRF電力とともに使用することによって、僅かに圧縮性のSiN膜が蒸着される。(RF電力は、基板の単位面積あたりのWで表され、たとえば300mmウエハは、おおよそ706cm2の面積を有する。)膜は、TBAまたはその他の炭素含有窒素含有反応物を追加することによって、より圧縮性にすることができる。TBA分子のt−ブチル基の分解には、比較的高いRF電力が使用されるので、TBAを使用して伸長性の膜を形成することは、場合によっては困難である。したがって、伸長性の膜を実現するためには、アンモニアまたはヒドラジンなどの無炭素窒素含有反応物を使用することが有用である。RFプラズマは、HFのみのプラズマであるが、一部のその他の実施形態では、幾らかのLF電力を追加することができる。
TSA・無炭素反応物の系を使用して実現可能なウェットエッチングもやはり、従来のプロセスよりも広範囲である。たとえば、TSA/アンモニアを使用して蒸着されるSiN膜の、熱酸化物に対するウェットエッチング速度比(WERR)は、上述のようなHFRF電力において、約2.0であることができる。HFRF電力を約1W/cm2またはそれよりも高くすれば、WERRを約0.5に下げることが可能である。これは、約0.1〜1.0の範囲に及ぶDCS/TBA系の場合のWERRに匹敵する。
低温における蒸着:
上記のように、TSAは、たとえばジクロロシランよりも表面における反応性が高いゆえに、低温反応に使用することができる。また、一部の実施形態では、無炭素窒素含有反応物は、TBAまたはその他の窒素含有反応物よりも低い温度で高品質のSiN膜が蒸着されることを可能にする。たとえば、DCS/TBA系は、TBA分子におけるt−ブチル基の分解動力学が乏しいゆえに、400℃またはそれ未満で側壁蒸着の劣化を見せはじめる。
一部の実施形態では、無ハロゲンアミノシランおよび無炭素窒素含有反応物を使用するSiN蒸着は、DCS/TBAおよび類似の系によって実現可能であるよりも大幅に低い温度で高品質のSiN膜を提供することができる。たとえば、TSA/アンモニアは、400℃または450℃ではもちろん、350℃でも高品質の蒸着を提供する。したがって、一部の実施形態では、TSAは、50℃から450℃、または50℃から400℃、または50℃から350℃に及ぶ温度で1つ以上の無炭素窒素含有反応物とともに使用することができる。
共形膜:
様々な実施形態にしたがうと、本明細書で説明されるプロセスは、蒸着先の基板表面に対して高度に共形のSiN膜を蒸着させることができる。一部の実施形態では、ステップカバレージは、少なくとも90%である。説明を目的として、「ステップカバレージ」は、蒸着膜の2つの厚さの比として定義され、ボトムステップカバレージは、特徴の底部における厚さ/特徴の頂部における厚さの比であり、サイドステップカバレージは、特徴の側壁における厚さ/特徴の頂部における厚さの比である。
[装置]
本発明の別の一態様は、本明細書で説明される方法を実現するように構成された装置である。適切な装置は、本発明にしたがったプロセス動作を実現するためのハードウェアと、本発明にしたがったプロセス動作を制御するための命令を有するシステムコントローラとを含む。
上述された実施形態のうちの1つ以上とともに、任意の適切なプロセスステーションが利用可能であることがわかる。たとえば、図5は、CFDプロセスステーション1300を概略的に示している。簡単のために、CFDプロセスステーション1300は、低圧環境を維持するための処理チャンバボディ1302を有する独立型のプロセスステーションとして示されている。しかしながら、共通の低圧処理ツール環境の中に、複数のCFDプロセスステーション1300が含められてもよいことがわかる。図5に示された実施形態は、1つのプロセスステーションを示しているが、一部の実施形態では、処理ツールの中に、複数のプロセスステーションが含められてよいことがわかる。たとえば、図6は、複数ステーション式の処理ツール2400の一実施形態を示している。さらに、一部の実施形態では、CFDプロセスステーション1300の、後ほど詳しく論じられるものを含む1つ以上のハードウェアパラメータが、1つ以上のコンピュータコントローラによってプログラム的に調整可能であることがわかる。
CFDプロセスステーション1300は、分配シャワーヘッド1306にプロセスガスを供給するための反応物供給システム1301と流体連通している。反応物供給システム1031は、シャワーヘッド1306への供給のためにプロセスガスを混ぜ合わせるおよび/または調節するための混合容器1304を含む。1つ以上の混合容器入口弁1320が、混合容器1304へのプロセスガスの導入を制御することができる。
反応物の一部は、続くプロセスステーションへの供給時に気化される前に、液体の形態で貯蔵することができる。たとえば、図5の装置は、混合容器1304に供給される液体反応物を気化させるための気化ポイント1303を含む。一部の実施形態では、気化ポイント1303は、加熱された気化器であってよい。このような気化器から生成される飽和反応物蒸気は、下流の配送管の中で凝縮すると考えられる。凝縮された反応物に不適合ガスが暴露されると、小粒子が形成される恐れがある。これらの小粒子は、配管を詰まらせる、弁の動作を妨げる、基板を汚染するなどの恐れがある。これらの問題に対処するアプローチには、残留反応物を除去するために配送管を一掃および/または排気することを伴うものがある。しかしながら、配送管の一掃は、プロセスステーションサイクル時間を長引かせ、プロセスステーションスループットを低下させる恐れがある。したがって、一部の実施形態では、気化ポイント1303の下流の配送管が、ヒートトレースされる。幾つかの例では、混合容器1304もまた、ヒートトレースされる。非限定的な一例では、気化ポイント1303の下流の配管は、おおよそ100℃から混合容器1304におけるおおよそ150℃に及ぶ昇温プロフィールを有する。
一部の実施形態では、反応物液体は、液体注入器において気化される。たとえば、液体注入器は、混合容器の上流のキャリアガス流に液体反応物をパルス的に注入することができる。一実施形態では、液体注入器は、液体をより高圧からより低圧へ勢いよく流すことによって、反応物を気化させる。別の一実施形態では、液体注入器は、液体を原子化して分散ミクロ液滴にし、これらのミクロ液滴は、その後、加熱された配送管の中で気化される。液滴は、大きさが小さいほど速く気化し、液体注入と完全気化との間の遅延を短縮させることがわかる。より速い気化は、気化ポイント1303よりも下流の配管の長さを短くすることができる。一実施形態では、液体注入器は、混合容器1304に直接取り付けられる。別の一実施形態では、液体注入器は、シャワーヘッド1306に直接取り付けられる。
気化およびプロセスステーション1300への供給のために液体の質量流を制御するために、気化ポイント1303の上流に、液体流コントローラが提供される。一例では、液体流コントローラ(LFC)は、LFCの下流に位置付けられる熱質量流量計(MFM)を含む。LFCのプランジャ弁は、MFMと電気的に通信する比例・積分・微分(PID)コントローラによって提供されるフィードバック制御信号に応答して調節される。しかしながら、フィードバック制御を使用して液体流を安定化するためには、1秒またはそれよりも長い時間がかかるだろう。これは、液体反応物を投入する時間を長引かせる恐れがある。したがって、一部の実施形態では、LFCは、フィードバック制御モードと直接制御モードとの間で動的に切り替えられる。一部の実施形態では、LFCは、LFCの感知管およびPIDコントローラを無効にすることによって、フィードバック制御モードから直接制御モードに動的に切り替えられる。
シャワーヘッド1306は、基板1312に向かってプロセスガスを分配する。図5に示された実施形態では、基板1312は、シャワーヘッド1306の下に位置付けられ、台座1308の上に載った状態で示されている。シャワーヘッド1306は、任意の適切な形状を有してよく、基板1312にプロセスガスを分配するためのポートを任意の適切な数および配置で有することができる。
一部の実施形態では、シャワーヘッド1306の下に、微小体積1307が位置付けられる。プロセスステーションの全体積内ではなく微小体積内でCFDプロセスが実施されると、反応物の暴露および一掃の時間が短縮され、CFDプロセス条件(たとえば圧力や温度など)を変更するための時間が短縮され、プロセスガスに対するプロセスステーションロボットメカニズムの暴露が制限されると考えられる。微小体積のサイズの例として、0.1リットルから2リットルの体積が挙げられるが、これらに限定はされない。
一部の実施形態では、基板1312を微小体積1307に暴露するためにおよび/または微小体積1307の体積を変動させるために、台座1308を上昇または下降させることができる。たとえば、基板移送段階では、台座1308は、基板1312を台座1308に載せることを可能にするために下降される。CFDプロセス段階中は、台座1308は、基板1312を微小体積1307内に位置決めするために上昇される。一部の実施形態では、微小体積1307は、CFDプロセス中に高流量インピーダンスの領域を形成するために、基板1312はもちろん台座1308の一部をも完全に取り囲む。
必須ではないが、台座1308は、たとえば微少体積1307の中のプロセス圧力や反応物濃度などを調節するために、CFDプロセスの途中一部で下降および/または上昇させることができる。CFDプロセス中に処理チャンバボディ1302が基底圧にとどまる一実施形態では、台座1308の下降は、微少体積1307が排気されることを可能にする。処理チャンバ体積に対する微少体積の比の例として、1:500から1:10の体積比が挙げられるが、これらに限定はされない。一部の実施形態では、台座の高さは、適切なコンピュータコントローラによってプログラム的に調整可能であることがわかる。
別の一実施形態では、台座1380の高さの調整は、CFDプロセスに含まれるプラズマ活性化および/または処理のサイクル中にプラズマ密度が変動されることを可能にする。CFDプロセス段階の終了に際し、台座1308は、台座1308からの基板1312の除去を可能にするために、別の基板移送段階中に下降される。
本明細書で説明される代用的な微少体積変動は、高さを調整可能な台座を言うが、一部の実施形態では、微少体積1307の体積を変動させるために、シャワーヘッド1306の位置が、台座1308に相対的に調整可能であることがわかる。さらには、台座1308および/またはシャワーヘッド1306の垂直位置が、任意の適切なメカニズムによって変動可能であることがわかる。当業者ならば、このようなメカニズムが、たとえば液圧技術、空気圧技術、バネメカニズム、ソレノイドなどを含むだろうことがわかる。一部の実施形態では、台座1308は、基板1312の向きを回転させるために、たとえば基板の表面に垂直な軸に沿った回転メカニズムを含むことができる。一部の実施形態では、これらの調整例の1つ以上が、1つ以上のコンピュータプログラムによってプログラム的に実施可能であることがわかる。
図5に示された実施形態に戻り、シャワーヘッド1306および台座1308は、プラズマを通電するために、RF電源1314および整合回路網1316と電気的に通信しあう。一部の実施形態では、プラズマエネルギは、プロセスステーション圧力、ガス濃度、RF電源電力、RF電源周波数、およびプラズマ出力パルスタイミングのうちの、1つ以上を制御することによって制御される。たとえば、RF電源1314および整合回路網1316は、所望の組成のラジカル種を有するプラズマを形成するために、任意の適切な電力で動作させることができる。適切な電力の例として、100Wから5000Wの電力が挙げられるが、これらに限定はされない。同様に、RF電源1314は、任意の適切な周波数のRF電力を提供することができる。一部の実施形態では、RF電源1314は、高周波数RF電源と低周波数RF電源とを互いに独立に制御するように構成することができる。低周波数RF周波数の例として、50kHzから500kHzの周波数が挙げられるが、これらに限定はされない。高周波数RF周波数の例として、1.8MHzから2.45GHzの周波数が挙げられるが、これらに限定はされない。任意の適切なパラメータが、表面反応のためのプラズマエネルギを提供するために、離散的にまたは継続的に調節可能であることがわかる。非限定的な一例では、プラズマ出力は、継続的に通電されるプラズマと比べて基板表面とのイオン衝撃を減らすために、断続的にパルス変調することができる。
一部の実施形態では、プラズマは、1つ以上のプラズマモニタによってその場(in−situ)で監視される。一実施形態では、1つ以上の電圧・電流センサ(たとえばVIプローブ)によって、プラズマ出力が監視される。別の一実施形態では、1つ以上の発光分光センサ(OES)によって、プラズマ密度および/またはプロセスガス濃度が測定される。一部の実施形態では、このようなその場(in−situ)プラズマモニタからの測定値に基づいて、1つ以上のプラズマパラメータがプログラム的に調整される。たとえば、OESセンサは、プラズマ出力のプログラム的制御を提供するために、フィードバックループにおいて使用することができる。一部の実施形態では、プラズマおよびその他のプラズマ特性を監視するために、その他のモニタを使用することができる。このようなモニタとしては、赤外線(IR)モニタ、音響モニタ、および圧力トランスデューサが挙げられるが、これらに限定はされない。
一部の実施形態では、プラズマは、入力/出力制御(IOC)シークエンシング命令を通じて制御される。たとえば、あるプラズマプロセス段階のためのプラズマ条件を設定するための命令は、CFDプロセスレシピの、対応するプラズマ活性化レシピ段階に含めることができる。一部の実施形態では、プロセスレシピ段階は、あるCFDプロセス段階のための全ての命令がそのプロセス段階と同時に実行されるように、順番に並べることができる。プラズマ生成の一部の態様は、プラズマプロセス段階を長引かせる恐れがある特徴的な遷移および/または安定化時間を有するだろうことがわかる。言い換えると、このような時間遅延は、予測可能だと考えられる。このような時間遅延は、プラズマをストライクするための時間と、指示された電力設定においてプラズマを安定化させるための時間とを含むことができる。
一部の実施形態では、台座1308は、ヒータ1310を通じて温度制御することができる。さらに、一部の実施形態では、CFDプロセスステーション1300のための圧力制御を、バタフライ弁1318によって提供することができる。図5に示されるように、バタフライ弁1318は、下流の真空ポンプ(不図示)によって提供される真空をスロットル調整する。しかしながら、一部の実施形態では、プロセスステーション1300の圧力制御は、CFDプロセスステーション1300に導入される1つ以上のガスの流量を変動させることによっても調整することができる。
上述されたように、複数ステーション式の処理ツールには、1つ以上のプロセスステーションを含めることができる。図6は、内向きロードロック2402および外向きロードロック2404を伴う複数ステーション式の処理ツール2400の概略図を示している。これらのロードロックのいずれか1つまたは2つともが、遠隔プラズマ源を含むことができる。大気圧にあるロボット2406は、ポッド2408を通して装填されたカセットから大気圧ポート2410を通じて内向きロードロック2402の中へウエハを移動させるように構成される。ウエハは、ロボット2406によって、内向きロードロック2402の中の台座2412の上に置かれ、大気圧ポート2410は閉じられ、ロードロックは排気される。内向きロードロック2402が遠隔プラズマ源を含む場合は、ウエハは、処理チャンバ2414に導入される前に、ロードロックの中で遠隔プラズマ処理に曝されるだろう。さらに、ウエハは、たとえば、湿気および吸着されたガスを除去するために、内向きロードロック2402の中で加熱もされるだろう。次に、処理チャンバ2414へのチャンバ搬送ポート2416が開かれ、別のロボット(不図示)が、処理のためにウエハをリアクタに入れ、図に示されたリアクタの中の第1のステーションの台座の上に置く。図6に示された実施形態は、ロードロックを含んでいるが、実施形態によっては、プロセスステーションにウエハを直接入れることも可能である。
図に示された処理チャンバ2414は、図6に示された実施形態では1から4の番号を振られている4つのプロセスステーションを含む。各ステーションは、加熱された台座(2418においてステーション1として示される)と、ガスライン入口とを有する。一部の実施形態では、各プロセスステーションが、異なるまたは複数の目的を有してよいことがわかる。たとえば、一部の実施形態では、一プロセスステーションが、CFDプロセスモードとPECVDプロセスモードとの間で切り替え可能であってよい。加えて、または代わりに、一部の実施形態では、処理チャンバ2414は、CFDプロセスステーションとPECVDプロセスステーションとからなるペアを1つ以上含むことができる。図に示された処理チャンバ2414は、4つのステーションを含んでいるが、本開示にしたがった処理チャンバは、任意の適切な数のステーションを有してよいことが理解される。たとえば、一部の実施形態では、一処理チャンバが、4つまたは5つ以上のステーションを有する一方で、その他の実施形態では、一処理チャンバが、3つまたは2つ以下のステーションを有することができる。
図6は、処理チャンバ2414の中でウエハを移送するためのウエハ取り扱いシステム2490も示している。一部の実施形態では、ウエハ取り扱いシステム2490は、ウエハを、様々なプロセスステーションの間でおよび/またはプロセスステーションとロードロックとの間で移送することができる。任意の適切なウエハ取り扱いシステムが利用可能であるよいことがわかる。非限定的な例として、ウエハ回転棚およびウエハ取り扱いロボットが挙げられる。図6は、また、処理ツール2400のプロセス条件およびハードウェア状態を制御するために用いられるシステムコントローラ2450も示している。システムコントローラ2450は、1つ以上のメモリデバイス2456と、1つ以上の大容量ストーレジデバイス2454と、1つ以上のプロセッサ2452とを含むことができる。プロセッサ2452としては、CPUまたはコンピュータ、アナログおよび/またはデジタルの入力/出力接続、ステッピングモータコントローラボードなどが挙げられる。
一部の実施形態では、システムコントローラ2450は、処理ツール2400の全ての活動を制御する。システムコントローラ2450は、大容量ストレージデバイス2454に記憶されメモリデバイス2456に読み込まれプロセッサ2452上で実行されるシステム制御ソフトウェア2458を実行する。システム制御ソフトウェア2458は、タイミング、ガスの混合、チャンバおよび/またはステーション圧力、チャンバおよび/またはステーション温度、ウエハ温度、目標電力レベル、RF電力レベル、基板台座、チャックおよび/またはサセプタ位置、ならびに処理ツール2400によって実施される特定のプロセスのその他のパラメータを制御するための命令を含むことができる。システム制御ソフトウェア2458は、任意の適切な方式で構成されてよい。たとえば、様々な処理ツールプロセスを実行に移すために必要な処理ツールコンポーネントの動作を制御するために、様々な処理ツールコンポーネントサブルーチンまたは制御オブジェクトが書き込まれてよい。システム制御ソフトウェア2458は、任意の適切なコンピュータ可読プログラミング言語としてコード化されてよい。
一部の実施形態では、システム制御ソフトウェア2458は、上述された様々なパラメータを制御するための入力/出力制御(IOC)シークエンシング命令を含むことができる。たとえば、CFDプロセスの各段階は、システムコントローラ2450によって実行されるための1つ以上の命令を含むことができる。CFDプロセス段階のためのプロセス条件を設定するための命令は、対応するCFDプロセスレシピに含めることができる。一部の実施形態では、CFDレシピ段階は、あるCFDプロセス段階のための全ての命令がそのプロセス段階と同時に実行されるように、順番に並べることができる。
一部の実施形態では、システムコントローラ2450に関連付けて大容量ストレージデバイス2454および/またはメモリデバイス2456に記憶されるその他のコンピュータソフトウェアおよび/またはプログラムを用いることができる。これを目的としたプログラムまたはプログラムの部分の例として、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、およびプラズマ制御プログラムが挙げられる。
基板位置決めプログラムは、基板を台座2418の上に載せるためにおよび基板と処理ツール2400のその他のパーツとの間の間隔を制御するために使用される、処理ツールコンポーネントのためのプログラムコードを含むことができる。
プロセスガス制御プログラムは、ガス組成および流量を制御するためのおよび必須ではないがプロセスステーションの中の圧力を安定化させるために蒸着に先立って1つ以上のプロセスステーションにガスを流し入れるためのコードを含むことができる。圧力制御プログラムは、たとえばプロセスステーションの排気システムのスロットル弁やプロセスステーションに入るガス流などを規制することによってプロセスステーションの中の圧力を制御するためのコードを含むことができる。
ヒータ制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するためのコードを含むことができる。あるいは、ヒータ制御プログラムは、基板への熱伝達ガス(ヘリウムなど)の供給を制御することができる。
プラズマ制御プログラムは、1つ以上のプロセスステーションの中のプロセス電極に印加されるRF電力レベルを設定するためのコードを含むことができる。
一部の実施形態では、システムコントローラ2450に関連付けられたユーザインターフェースがあってよい。ユーザインターフェースとしては、ディスプレイ画面、装置および/またはプロセス条件のグラフソフトウェア表示、ならびにポインティングデバイス、キーボード、タッチ画面、マイクロフォンなどのユーザ入力装置などが挙げられる。
一部の実施形態では、システムコントローラ2450によって調整されるパラメータは、プロセス条件に関するものであってよい。非限定的な例としては、プロセスガスの組成および流量、温度、圧力、プラズマ条件(RFバイアス電力レベルなど)、圧力、温度などが挙げられる。これらのパラメータは、ユーザインターフェースを用いて入力可能なレシピの形態でユーザに提供することができる。
プロセスを監視するための信号は、システムコントローラ2450のアナログおよび/またはデジタル入力接続によって、様々な処理ツールセンサから提供することができる。プロセスを制御するための信号は、処理ツール2400のアナログおよびデジタル出力接続に載せて出力することができる。監視可能な処理ツールセンサの非限定的な例としては、質量流量コントローラ、圧力センサ(マノメータなど)、熱電対などが挙げられる。適切にプログラムされたフィードバックおよび制御アルゴリズムは、プロセス条件を維持するために、これらのセンサからのデータとともに使用することができる。
システムコントローラ2450は、上述された蒸着プロセスを実行するためのプログラム命令を提供することができる。プログラム命令は、DC電力レベル、RFバイアス電力レベル、圧力、温度などの、多岐にわたる様々なプロセスパラメータを制御することができる。命令は、本明細書で説明される様々な実施形態にしたがって積層膜のその場(in−situ)蒸着を動作させるために、これらのパラメータを制御することができる。
システムコントローラは、装置が本発明にしたがった方法を実施するように、1つ以上のメモリデバイスと、命令を実行するように構成された1つ以上のプロセッサとを含むのが一般的である。プロセス動作を制御するための命令を含む機械可読媒体が、システムコントローラに結合されてよい。
[パターニング方法/装置]
本明細書で説明される装置/プロセスは、半導体デバイス、ディスプレイ、LED、光起電力パネルなどの生産または製造のための、リソグラフィパターニングツールまたはプロセスと併せて使用することができる。必ずしもそうとは限らないが、このようなツール/プロセスは、一般的な生産施設において併せて使用または実行されるのが通例である。リソグラフィによる膜のパターニングは、通常、考えられる幾つかのツールによってそれぞれ可能にされる以下の工程:(1)スピンオンツールまたは噴き付けツールを使用して、被加工物すなわち基板にフォトレジストを塗布すること、(2)加熱板または高温炉またはUV硬化ツールを使用して、フォトレジストを硬化させること、(3)ウエハステッパなどのツールによって、可視光またはUV光またはx線にフォトレジストを暴露すること、(4)レジストを選択的に除去するためにレジストを現像し、そうしてそれをウェットベンチなどのツールを使用してパターニングすること、(5)ドライ式またはプラズマ強化式のエッチングツールを使用して、レジストパターンを下の膜または被加工物に転写すること、ならびに(6)RFまたはマイクロ波プラズマ除去ストリッパなどのツールを使用して、レジストを除去することのうちの、一部または全部を含む。一実施形態では、本明細書で説明される方法を使用して、SiN膜が形成される。SiN膜は、たとえば、本明細書で説明される目的のうちの1つのために使用される。さらに、方法は、上述された1つ以上の工程(1)〜(6)を含む。
[実施例]
本発明は、純粋に例示的であることを意図された以下の実施例を参照にすることによって、さらに理解される。本発明は、その範囲を、発明の一態様の単なる例示であることを意図された例示的な実施形態によって制限されることはない。機能的に等価なあらゆる方法が、本発明の範囲内である。当業者にならば、以上の説明および添付の図面から、本明細書で説明されたものに加えて本発明の様々な実施形態が明らかになる。さらに、このような変更形態は、添付の特許請求の範囲の範囲内である。
[実施例1]
表1は、本明細書で説明される実施形態にしたがってSiN膜を作成するために使用することができる幾つかの反応相手、ならびに温度および圧力パラメータを含んでいる。
Figure 2013153164
プロセスAは、シリコン含有反応物としてのTSAを、窒素含有反応物としてのアンモニアとともに使用する。温度は、処理されている基板の要件にしたがって、50℃から約550℃に及ぶことができる。RF電力は、上述された応力を調整するために、約0.15W/cm2から約3W/cm2に及ぶことができる。
プロセスBは、プロセスAの一具体例であり、伸長性のSiN膜を蒸着させるために、熱収支の関係で、TSAおよびアンモニアを約50〜450℃の低めの温度で使用している。たとえば銅膜を有する基板は、銅の粒状構造の変化を阻止するために、約400℃未満で処理することができる。たとえばGST合金は、350〜375℃の程度の温度で処理することができる。上述されたように、TSAおよびアンモニアは、これらの低めの温度で、高いステップカバレッジを有する高品質の膜を妥当な蒸着速度で提供するために使用することができる。伸長性の膜を実現するためのRF電力は、比較的低い。
プロセスCは、プロセスAの別の一具体例であり、伸長性のSiN膜を蒸着させるために、熱収支の関係で、TSAおよびアンモニアを約300〜400℃の低めの温度で使用している。この範囲の温度は、高めの蒸着速度を維持しつつ、銅、GST合金、およびその他の熱感知性材料を含む基板上にSiN膜を蒸着させるのに有用だと考えられる。
プロセスDは、プロセスAの別の一具体例であり、より圧縮性の膜を蒸着させるために、より高いRF電力を使用することを除き、プロセスCと同様である。
プロセスE〜Hは、蒸着されるSiN膜の応力およびウェットエッチング速度を調整するために、アンモニアとTBAとの混合を窒素含有反応物として使用したプロセスの例である。一例として、プロセスEは、TBAの存在下で、プロセスDのような高いRFレベルで使用されることによって、膜をよりいっそう圧縮性にすることができる。
プロセスIは、シリコン含有反応物および窒素含有反応物としてそれぞれTSAおよびTBAを使用したプロセスの一例である。プロセスIは、たとえば、圧縮性の無ハロゲンSiN膜を蒸着させるために使用することができる。
上記のプロセス例では、アンモニアに代わってまたは追加で、ヒドラジンなどの無炭素の窒素含有反応物を使用することができる。TBAに代わってまたは加で、上述のようなその他の炭素含有反応物を使用することができる。
[実施例2]
真空チャンバの中に、300mmウエハが置かれる。ウエハは、チャンバの中で、手順中ずっと加熱されるアルミニウム製の台座によって支えられる。たとえば、台座は、約50℃から約550℃の一定の温度に加熱される。ウエハの表面上にTSAを吸着させるために、約0.25slmから約5slm(標準リットル毎分)の気相流として、約1秒から約30秒にわたり、トリシリルアミン(TSA)がリアクタに導入される。TSA流が停止された後、リアクタの中の不活性ガス流は、残っている気相TSAおよびあらゆる副生成物をパージする。次いで、約1秒から約30秒にわたり、約1slmから約10slmのアンモニア気相流がリアクタの中に確立される。たとえば150W電力で13.56MHzのプラズマが、約1秒から約15秒にわたり、ウエハの上方で点火される。リアクタの中の不活性ガス流は、残っている気相アンモニアおよびあらゆる副生成物をパージする。TSA流、不活性ガスパージ、アンモニア流、プラズマ、および不活性ガスパージは、所望の厚さのSiN膜を蒸着させるために繰り返される。説明された各サイクルは、約0.5Åから約1.5ÅのSiN膜を蒸着させる。
あるいは、アンモニアに追加でまたは代わって、1〜5slmのTBAを使用することができる。
別の一代替例では、CFDの実行中に、アンモニア流(および/またはTBA流)が継続的に流される。これらの実行中は、アンモニア流が先ず確立されて維持されることを除き、上述されたのと同じ条件が使用される。上述されたのと同じ速度および時間でTSA流がリアクタに導入され、その後は、上述されたように不活性ガスパージが続く。上述されたようにプラズマが点火され、その後、上述されたように不活性ガスパージが続く。TSA流、不活性ガスパージ、プラズマ点火、および不活性ガスパージは、所望の厚さのSiN膜を蒸着させるために繰り返される。説明された各サイクルは、約0.5Åから約1.5ÅのSiN膜を蒸着させる。
[実施例3]
450℃において、TSAおよびアンモニアを使用してSiN膜を蒸着させるために、実施例2で説明されたようなプロセスが使用された。図7は、蒸着された膜のI−V曲線を示している。SiN膜は、印加電界が約22MV/cmに到るまでは壊れないことが明白である。図8は、ボトムステップカバレッジおよびサイドステップカバレッジを様々な特徴アスペクト比について示している。
以下の系:DCS/TBA、TSA/TBA、およびTSA/アンモニアによってSiN膜を蒸着させるために、プロセスが使用された。各膜の屈折率は、DCS/TBA:1.83、TSA/TBA:1.83、およびTSA/アンモニア:1.90であった。
以上、理解を明瞭にする目的で、幾らか詳細な説明がなされてきたが、添付の特許請求の範囲内で、特定の変更および修正がなされてよいことが明らかである。説明されたプロセス、システム、および方法を実行に移すには、多くの代替的手法があることが留意される。したがって、説明された実施形態は、例示的であって限定的ではないと見なされる。

Claims (24)

  1. 反応チャンバの中で基板の上にシリコン窒化物材料を形成する方法であって、
    前記基板を無ハロゲンのシリコン含有反応物の気相流に一定時間ごとに暴露することであって、前記無ハロゲンのシリコン含有物は、前記基板の表面上に吸着される、ことと、
    前記基板を第1の窒素含有反応物の気相流に暴露することであって、前記窒素含有反応物は、前記基板の表面上に吸着される、ことと、
    前記反応チャンバの中に気相の窒素含有反応物が存在しているとともに前記無ハロゲンのシリコン含有反応物の気相流が停止されているときに、前記反応チャンバの中で一定時間ごとにプラズマを点火することと、
    を備える方法。
  2. 請求項1に記載の方法であって、
    前記無ハロゲンのシリコン含有反応物は、トリシリルアミン(TSA)である、方法。
  3. 請求項1に記載の方法であって、
    前記第1の窒素含有反応物は、炭素を含まない、方法。
  4. 請求項1または2に記載の方法であって
    前記第1の窒素含有反応物は、アミンである、方法。
  5. 請求項1ないし3のいずれか一項に記載の方法であって、
    前記第1の窒素含有反応物は、炭素を含まず、
    前記方法は、さらに、炭素を含む第2の窒素含有反応物の気相流を流すことを備える方法。
  6. 請求項5に記載の方法であって、
    前記第2の窒素含有反応物は、C1-10アルキルアミンである、方法。
  7. 請求項5または6に記載の方法であって、
    前記第1の窒素含有反応物対前記第2の窒素含有反応物の体積流量比は、約1:1〜10:1である、方法。
  8. 請求項5または6に記載の方法であって、
    前記第1の窒素含有反応物対前記第2の窒素含有反応物の体積流量比は、約1:1〜4:1である、方法。
  9. 請求項5または6に記載の方法であって、
    前記第1の窒素含有反応物対前記第2の窒素含有反応物の体積流量比は、約1:10〜1:1である、方法。
  10. 請求項5または6に記載の方法であって、
    前記第1の窒素含有反応物対前記第2の窒素含有反応物の体積流量比は、約1:4〜1:1である、方法。
  11. 請求項1ないし3のいずれか一項に記載の方法であって、
    前記基板は、ゲルマニウム・テルル・アンチモン合金、シリコン窒化物材料が上に形成される金属表面、およびシリコン窒化物材料が上に形成される酸化物表面のうちの、1つを含む、方法。
  12. 請求項1ないし3のいずれか一項に記載の方法であって、
    前記プラズマまたは活性化エネルギ源は、約0.15〜0.5W/cm2の出力を有するRFプラズマである、方法。
  13. 請求項1ないし3のいずれか一項に記載の方法であって、
    前記プラズマまたは活性化エネルギ源は、約1〜3W/cm2の出力を有するRFプラズマである、方法。
  14. 請求項1ないし3のいずれか一項に記載の方法であって、
    前記プラズマまたは活性化エネルギ源は、HFのみのRFプラズマである、方法。
  15. 請求項1ないし3のいずれか一項に記載の方法であって、
    前記基板の温度は、約400℃以下に維持される、方法。
  16. 請求項1ないし3のいずれか一項に記載の方法であって、
    前記基板の温度は、約375℃以下に維持される、方法。
  17. 請求項1ないし3のいずれか一項に記載の方法であって、
    前記基板の温度は、約350℃以下に維持される、方法。
  18. 請求項1ないし3のいずれか一項に記載の方法であって、
    前記基板の温度は、約325℃以下に維持される、方法。
  19. 請求項1ないし3のいずれか一項に記載の方法であって、
    前記シリコン窒化物材料の応力は、約−4〜−2GPaである、方法。
  20. 請求項1ないし3のいずれか一項に記載の方法であって、
    前記シリコン窒化物材料の応力は、約−2〜1GPaである、方法。
  21. 請求項1ないし3のいずれか一項に記載の方法であって、
    前記シリコン窒化物材料は、ハロゲンを含まない、方法。
  22. 請求項1ないし3のいずれか一項に記載の方法であって、
    前記基板の表面は、1つ以上の隆起したまたはへこんだ特徴を含み、
    前記シリコン窒化物材料は、前記1つ以上の隆起したまたはへこんだ特徴に対して共形である、方法。
  23. 半導体基板の上にシリコン窒化物膜を蒸着させるための装置であって、
    (a)反応チャンバと、
    (b)前記シリコン窒化物膜を形成するための活性化エネルギ源と、
    (c)反応物入口と、
    (d)コントローラであって、
    蒸着サイクル中に第1および第2の窒素含有反応物を前記反応チャンバに流し入れるための命令と、
    前記蒸着サイクル中に無ハロゲンのシリコン含有反応物を前記反応チャンバに一定時間ごとに流し入れるための命令と、
    前記シリコン含有反応物の流れが停止されているときで、なおかつ前記反応チャンバの中の気相内に前記第1および第2の窒素含有反応物が存在している間に、前記反応チャンバの中で一定時間ごとにプラズマを点火するための命令と、
    を含むコントローラと、
    を備える装置。
  24. 請求項23に記載の装置であって、
    前記活性化エネルギ源は、プラズマ発生器、誘導コイル、およびマイクロ波源のうちの、1つ以上である、装置。
JP2013007612A 2012-01-20 2013-01-18 無塩素の共形SiN膜を蒸着させるための方法 Active JP6635640B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261588964P 2012-01-20 2012-01-20
US61/588,964 2012-01-20
US13/414,619 US8592328B2 (en) 2012-01-20 2012-03-07 Method for depositing a chlorine-free conformal sin film
US13/414,619 2012-03-07

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2018090402A Division JP2018174327A (ja) 2012-01-20 2018-05-09 無塩素の共形SiN膜を蒸着させるための方法

Publications (3)

Publication Number Publication Date
JP2013153164A true JP2013153164A (ja) 2013-08-08
JP2013153164A5 JP2013153164A5 (ja) 2016-04-14
JP6635640B2 JP6635640B2 (ja) 2020-01-29

Family

ID=47709842

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2013007612A Active JP6635640B2 (ja) 2012-01-20 2013-01-18 無塩素の共形SiN膜を蒸着させるための方法
JP2018090402A Pending JP2018174327A (ja) 2012-01-20 2018-05-09 無塩素の共形SiN膜を蒸着させるための方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2018090402A Pending JP2018174327A (ja) 2012-01-20 2018-05-09 無塩素の共形SiN膜を蒸着させるための方法

Country Status (7)

Country Link
US (3) US8592328B2 (ja)
EP (1) EP2618365A3 (ja)
JP (2) JP6635640B2 (ja)
KR (1) KR20130085900A (ja)
CN (1) CN103225071A (ja)
SG (2) SG192375A1 (ja)
TW (1) TWI609426B (ja)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015111668A (ja) * 2013-11-07 2015-06-18 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 先進のパターニングのためのソフトランディング・ナノラミネート
KR20160033057A (ko) * 2014-09-17 2016-03-25 에이에스엠 아이피 홀딩 비.브이. SiN 박막의 형성 방법
JP2016066794A (ja) * 2014-09-24 2016-04-28 ラム リサーチ コーポレーションLam Research Corporation Aldにより形成される窒化シリコン膜の表面形状内ウェットエッチング速度を均一に低下させるための方法及び装置
JP2016082010A (ja) * 2014-10-14 2016-05-16 大陽日酸株式会社 シリコン窒化膜の製造方法及びシリコン窒化膜
JP2017063184A (ja) * 2015-09-21 2017-03-30 ウォニク アイピーエス カンパニー リミテッド 窒化膜の製造方法
JP2017118035A (ja) * 2015-12-25 2017-06-29 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2017139297A (ja) * 2016-02-02 2017-08-10 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2018518598A (ja) * 2015-03-30 2018-07-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ケイ素と窒素とを含有する薄膜を形成するための蒸着プロセス
JP2019004054A (ja) * 2017-06-15 2019-01-10 東京エレクトロン株式会社 成膜方法、成膜装置、及び記憶媒体
US10559459B2 (en) 2016-03-11 2020-02-11 Taiyo Nippon Sanso Corporation Method for producing silicon nitride film and silicon nitride film
US10658172B2 (en) 2017-09-13 2020-05-19 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
JP2023507308A (ja) * 2019-12-18 2023-02-22 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 高品質Si含有膜を形成するための超低温ALD

Families Citing this family (382)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9512519B2 (en) * 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20150020974A1 (en) * 2013-07-19 2015-01-22 Psk Inc. Baffle and apparatus for treating surface of baffle, and substrate treating apparatus
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN105849221B (zh) * 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9640371B2 (en) * 2014-10-20 2017-05-02 Lam Research Corporation System and method for detecting a process point in multi-mode pulse processes
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9474163B2 (en) * 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9490116B2 (en) * 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
US20180265417A1 (en) * 2015-01-23 2018-09-20 Hewlett-Packard Development Company, L.P. Susceptor materials for 3d printing using microwave processing
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
CN108028171A (zh) * 2015-09-18 2018-05-11 应用材料公司 氮化硅在高深宽比结构上的低温保形沉积
US9601693B1 (en) * 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
DE102016200506B4 (de) * 2016-01-17 2024-05-02 Robert Bosch Gmbh Ätzvorrichtung und Ätzverfahren
US9640409B1 (en) 2016-02-02 2017-05-02 Lam Research Corporation Self-limited planarization of hardmask
US10224235B2 (en) 2016-02-05 2019-03-05 Lam Research Corporation Systems and methods for creating airgap seals using atomic layer deposition and high density plasma chemical vapor deposition
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6710089B2 (ja) * 2016-04-04 2020-06-17 東京エレクトロン株式会社 タングステン膜の成膜方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10262858B2 (en) 2016-04-25 2019-04-16 Applied Materials, Inc. Surface functionalization and passivation with a control layer
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
EP3459118A4 (en) * 2016-05-17 2020-01-15 The Government Of The United States Of America As The Secretary of The Navy DAMAGE-FREE, PLASMA SUPPORTED CVD PASSIVATION OF ALGAN / GAN TRANSISTORS WITH HIGH ELECTRON MOBILITY
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9929006B2 (en) 2016-07-20 2018-03-27 Micron Technology, Inc. Silicon chalcogenate precursors, methods of forming the silicon chalcogenate precursors, and related methods of forming silicon nitride and semiconductor structures
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US9865456B1 (en) 2016-08-12 2018-01-09 Micron Technology, Inc. Methods of forming silicon nitride by atomic layer deposition and methods of forming semiconductor structures
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
JP6568508B2 (ja) 2016-09-14 2019-08-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) * 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10483102B2 (en) * 2017-04-07 2019-11-19 Applied Materials, Inc. Surface modification to improve amorphous silicon gapfill
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10460987B2 (en) * 2017-05-09 2019-10-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package device with integrated antenna and manufacturing method thereof
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP6809392B2 (ja) * 2017-06-19 2021-01-06 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10515796B2 (en) * 2017-11-21 2019-12-24 Applied Materials, Inc. Dry etch rate reduction of silicon nitride films
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP6839672B2 (ja) * 2018-02-06 2021-03-10 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019212938A1 (en) * 2018-04-29 2019-11-07 Applied Materials, Inc. Low temperature atomic layer deposition of silicon nitride
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US20200058497A1 (en) * 2018-08-20 2020-02-20 Applied Materials, Inc Silicon nitride forming precursor control
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11211243B2 (en) 2018-11-21 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of filling gaps with carbon and nitrogen doped film
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11217443B2 (en) * 2018-11-30 2022-01-04 Applied Materials, Inc. Sequential deposition and high frequency plasma treatment of deposited film on patterned and un-patterned substrates
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023114641A1 (en) * 2021-12-14 2023-06-22 Lam Research Corporation Conformal deposition of silicon nitride
WO2023178216A1 (en) * 2022-03-18 2023-09-21 Lam Research Corporation Low-k dielectric protection during plasma deposition of silicon nitride
WO2023230170A1 (en) * 2022-05-24 2023-11-30 Lam Research Corporation Hybrid atomic layer deposition
WO2023230296A1 (en) * 2022-05-27 2023-11-30 Lam Research Corporation Single wafer reactor, low temperature, thermal silicon nitride deposition

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050158983A1 (en) * 2003-12-25 2005-07-21 Takeshi Hoshi Method for producing silicon nitride films and process for fabricating semiconductor devices using said method
JP2006060091A (ja) * 2004-08-20 2006-03-02 Toshiba Corp シリコン窒化物膜の製造方法
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
JP2010283388A (ja) * 2002-04-11 2010-12-16 Hitachi Kokusai Electric Inc 半導体装置の製造方法
US20110086516A1 (en) * 2009-10-14 2011-04-14 Asm Japan K.K. METHOD OF DEPOSITING DIELECTRIC FILM HAVING Si-N BONDS BY MODIFIED PEALD METHOD
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
JP2014532304A (ja) * 2011-09-23 2014-12-04 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜

Family Cites Families (251)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4500563A (en) * 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4575921A (en) 1983-11-04 1986-03-18 General Motors Corporation Silicon nitride formation and use in self-aligned semiconductor device manufacturing method
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
EP0313683A1 (en) 1987-10-30 1989-05-03 International Business Machines Corporation Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
US5091332A (en) 1990-11-19 1992-02-25 Intel Corporation Semiconductor field oxidation process
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) * 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) * 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5731235A (en) 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6153519A (en) 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) * 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US5976990A (en) * 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6197701B1 (en) 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6380056B1 (en) 1998-10-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6228779B1 (en) 1998-11-06 2001-05-08 Novellus Systems, Inc. Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
KR100340716B1 (ko) * 1999-10-29 2002-06-20 윤종용 실리콘 질화막 형성방법
KR100744888B1 (ko) * 1999-11-02 2007-08-01 동경 엘렉트론 주식회사 소재를 초임계 처리하기 위한 장치 및 방법
KR100338125B1 (ko) 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
CN1216415C (zh) * 2000-04-25 2005-08-24 东京毅力科创株式会社 沉积金属薄膜的方法和包括超临界干燥/清洁组件的金属沉积组合工具
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6548368B1 (en) 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP3696119B2 (ja) 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6709928B1 (en) 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
JP5005170B2 (ja) * 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
AU2003279751A1 (en) 2002-10-03 2004-04-23 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) * 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
CN101572232B (zh) * 2002-12-20 2011-12-21 应用材料有限公司 形成高质量的低温氮化硅层的方法
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
CN1777697B (zh) 2003-04-23 2011-06-22 集勒思公司 瞬时增强原子层沉积
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
JP4329403B2 (ja) * 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
DE10335099B4 (de) * 2003-07-31 2006-06-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) * 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
JP4279176B2 (ja) * 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7550067B2 (en) 2004-06-25 2009-06-23 Guardian Industries Corp. Coated article with ion treated underlayer and corresponding method
US7585396B2 (en) 2004-06-25 2009-09-08 Guardian Industries Corp. Coated article with ion treated overcoat layer and corresponding method
JP4396547B2 (ja) * 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) * 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7271464B2 (en) 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) * 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
JP4228150B2 (ja) * 2005-03-23 2009-02-25 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
JP4305427B2 (ja) * 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
US7465669B2 (en) 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
JP4434149B2 (ja) * 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
CN101416293B (zh) 2006-03-31 2011-04-20 应用材料股份有限公司 用于介电膜层的阶梯覆盖与图案加载
JP4929811B2 (ja) * 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8366953B2 (en) * 2006-09-19 2013-02-05 Tokyo Electron Limited Plasma cleaning method and plasma CVD method
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080139003A1 (en) * 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
US20080119057A1 (en) 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US7923068B2 (en) 2007-02-12 2011-04-12 Lotus Applied Technology, Llc Fabrication of composite materials using atomic layer deposition
US20080213479A1 (en) * 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
JP5098882B2 (ja) * 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
US20090075490A1 (en) * 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) * 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) * 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) * 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5190307B2 (ja) * 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
JP5233562B2 (ja) * 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US20100102417A1 (en) 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
US10378106B2 (en) * 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) * 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) * 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8791034B2 (en) 2009-06-26 2014-07-29 Cornell University Chemical vapor deposition process for aluminum silicon nitride
KR20110002208A (ko) 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) * 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US20110151142A1 (en) * 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
JP5742185B2 (ja) * 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US8669185B2 (en) * 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) * 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) * 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20120213940A1 (en) * 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120108079A1 (en) * 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
US8679914B2 (en) 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
TW201319299A (zh) * 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
WO2013137115A1 (ja) 2012-03-15 2013-09-19 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US8784951B2 (en) * 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9446965B2 (en) 2013-02-19 2016-09-20 Nanotech Industrial Solutions, Inc. Applications for inorganic fullerene-like particles
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
US9806129B2 (en) 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010283388A (ja) * 2002-04-11 2010-12-16 Hitachi Kokusai Electric Inc 半導体装置の製造方法
US20050158983A1 (en) * 2003-12-25 2005-07-21 Takeshi Hoshi Method for producing silicon nitride films and process for fabricating semiconductor devices using said method
JP2005210076A (ja) * 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
JP2006060091A (ja) * 2004-08-20 2006-03-02 Toshiba Corp シリコン窒化物膜の製造方法
US20080260969A1 (en) * 2004-08-20 2008-10-23 Christian Dussarrat Method for Producing Silicon Nitride Films
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
JP2008517479A (ja) * 2004-10-20 2008-05-22 アプライド マテリアルズ インコーポレイテッド SiN低温堆積法
US20110086516A1 (en) * 2009-10-14 2011-04-14 Asm Japan K.K. METHOD OF DEPOSITING DIELECTRIC FILM HAVING Si-N BONDS BY MODIFIED PEALD METHOD
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
JP2014532304A (ja) * 2011-09-23 2014-12-04 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015111668A (ja) * 2013-11-07 2015-06-18 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 先進のパターニングのためのソフトランディング・ナノラミネート
US10192742B2 (en) 2013-11-07 2019-01-29 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
KR102546225B1 (ko) 2014-09-17 2023-06-21 에이에스엠 아이피 홀딩 비.브이. SiN 박막의 형성 방법
KR102243442B1 (ko) 2014-09-17 2021-04-23 에이에스엠 아이피 홀딩 비.브이. SiN 박막의 형성 방법
KR20210129625A (ko) * 2014-09-17 2021-10-28 에이에스엠 아이피 홀딩 비.브이. SiN 박막의 형성 방법
KR20160033057A (ko) * 2014-09-17 2016-03-25 에이에스엠 아이피 홀딩 비.브이. SiN 박막의 형성 방법
KR102317181B1 (ko) 2014-09-17 2021-10-26 에이에스엠 아이피 홀딩 비.브이. SiN 박막의 형성 방법
KR20210045970A (ko) * 2014-09-17 2021-04-27 에이에스엠 아이피 홀딩 비.브이. SiN 박막의 형성 방법
JP2016066794A (ja) * 2014-09-24 2016-04-28 ラム リサーチ コーポレーションLam Research Corporation Aldにより形成される窒化シリコン膜の表面形状内ウェットエッチング速度を均一に低下させるための方法及び装置
JP2016082010A (ja) * 2014-10-14 2016-05-16 大陽日酸株式会社 シリコン窒化膜の製造方法及びシリコン窒化膜
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
JP2018518598A (ja) * 2015-03-30 2018-07-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ケイ素と窒素とを含有する薄膜を形成するための蒸着プロセス
JP2017063184A (ja) * 2015-09-21 2017-03-30 ウォニク アイピーエス カンパニー リミテッド 窒化膜の製造方法
JP2017118035A (ja) * 2015-12-25 2017-06-29 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2017139297A (ja) * 2016-02-02 2017-08-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US10559459B2 (en) 2016-03-11 2020-02-11 Taiyo Nippon Sanso Corporation Method for producing silicon nitride film and silicon nitride film
JP2019004054A (ja) * 2017-06-15 2019-01-10 東京エレクトロン株式会社 成膜方法、成膜装置、及び記憶媒体
US10658172B2 (en) 2017-09-13 2020-05-19 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
JP2023507308A (ja) * 2019-12-18 2023-02-22 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 高品質Si含有膜を形成するための超低温ALD
JP7357794B2 (ja) 2019-12-18 2023-10-06 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 高品質Si含有膜を形成するための超低温ALD

Also Published As

Publication number Publication date
JP2018174327A (ja) 2018-11-08
EP2618365A3 (en) 2014-05-14
SG10201505472UA (en) 2015-09-29
US8592328B2 (en) 2013-11-26
KR20130085900A (ko) 2013-07-30
TWI609426B (zh) 2017-12-21
US20130189854A1 (en) 2013-07-25
US9670579B2 (en) 2017-06-06
US20140141626A1 (en) 2014-05-22
EP2618365A2 (en) 2013-07-24
US20150259791A1 (en) 2015-09-17
JP6635640B2 (ja) 2020-01-29
US9070555B2 (en) 2015-06-30
SG192375A1 (en) 2013-08-30
TW201342476A (zh) 2013-10-16
CN103225071A (zh) 2013-07-31

Similar Documents

Publication Publication Date Title
JP2018174327A (ja) 無塩素の共形SiN膜を蒸着させるための方法
CN111247269B (zh) 介电膜的几何选择性沉积
US8647993B2 (en) Methods for UV-assisted conformal film deposition
US10008428B2 (en) Methods for depositing films on sensitive substrates
JP6710032B2 (ja) Aldにより形成される窒化シリコン膜の表面形状内ウェットエッチング速度を均一に低下させるための方法及び装置
TWI706049B (zh) 藉由原子層沉積及原子層蝕刻的保形膜之沉積
JP6562629B2 (ja) パルスプラズマ暴露を伴うプラズマ原子層堆積
KR20210013633A (ko) 서브-포화된 원자층 증착 및 등각막 증착
KR20230039625A (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
US20110256734A1 (en) Silicon nitride films and methods
US20140030444A1 (en) High pressure, high power plasma activated conformal film deposition
US20160329206A1 (en) Methods of modulating residual stress in thin films
CN116137931A (zh) 减少半导体设备中的层内电容

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160113

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160229

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20161221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170110

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170329

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170707

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20180109

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180509

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20180511

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20180530

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20180706

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190619

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191001

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20191217

R150 Certificate of patent or registration of utility model

Ref document number: 6635640

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250