JP5689398B2 - 窒化シリコン膜の成膜方法及び成膜装置 - Google Patents

窒化シリコン膜の成膜方法及び成膜装置 Download PDF

Info

Publication number
JP5689398B2
JP5689398B2 JP2011237988A JP2011237988A JP5689398B2 JP 5689398 B2 JP5689398 B2 JP 5689398B2 JP 2011237988 A JP2011237988 A JP 2011237988A JP 2011237988 A JP2011237988 A JP 2011237988A JP 5689398 B2 JP5689398 B2 JP 5689398B2
Authority
JP
Japan
Prior art keywords
silicon nitride
silicon
gas
processing chamber
nitride film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011237988A
Other languages
English (en)
Other versions
JP2012146955A (ja
Inventor
博紀 村上
博紀 村上
要介 渡邉
要介 渡邉
長谷部 一秀
一秀 長谷部
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2011237988A priority Critical patent/JP5689398B2/ja
Priority to TW100147335A priority patent/TWI524425B/zh
Priority to KR1020110138038A priority patent/KR20120070516A/ko
Priority to CN2011104339092A priority patent/CN102560417A/zh
Priority to US13/332,691 priority patent/US8753984B2/en
Publication of JP2012146955A publication Critical patent/JP2012146955A/ja
Application granted granted Critical
Publication of JP5689398B2 publication Critical patent/JP5689398B2/ja
Priority to KR1020150178053A priority patent/KR20160002613A/ko
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Description

この発明は、窒化シリコン膜の成膜方法及び成膜装置に関する。
窒化シリコン膜の成膜において、成膜開始初期時、膜が付き始めるまでの時間(以下インキュベーション時間という)が存在する。インキュベーション時間を改善するための公知例としては、特許文献1がある。特許文献1では、SiO系絶縁膜上にSiN系絶縁膜を成膜するに当たり、SiO系絶縁膜を閉鎖雰囲気下で成膜後、大気から遮断された状態に維持したまま、RCA洗浄を行うことなく急速熱窒化およびCVDを順次行う。
また、特許文献2には、窒化シリコン膜などのシリコン含有膜をプラズマ化学気相堆積により成膜する方法が記載されている。特許文献2ではシリコン含有膜の成膜前に、被処理体表面上にアンモニアラジカルを十分に吸着させる。この後、アミノシラン等のシリコン前駆体をアンモニアラジカルと反応させることで、窒化シリコンを形成する。
特開平7−297182号公報 特開2008−258591号公報
窒化シリコン膜の成膜においては、インキュベーション時間が存在する。このため、成膜初期段階では、窒化シリコン膜が一様に付かず、窒化シリコンがアイランド状に点在することになる。このため、極薄膜状態の窒化シリコン膜は、例えば、段差被覆性が良くない、膜厚や膜質が均一でない、といった物理的な特性や、絶縁性などの電気的な特性も良くない、という事情がある。
この発明は、極薄膜状態であっても、物理的な特性及び電気的な特性に優れている窒化シリコン膜を成膜可能な窒化シリコン膜の成膜方法、及びその成膜方法を実施することが可能な成膜装置を提供する。
この発明の第1の態様に係る窒化シリコン膜の成膜方法は、(1)被処理体を処理室内に搬入する工程と、(2)前記処理室内にアミノシラン系ガスを導入し、前記被処理体の表面上にシリコンを吸着させる工程と、(3)前記処理室内にアンモニアを含むガスを導入し、前記シリコンが吸着された前記被処理体の表面上にシード層を形成する工程と、(4)前記処理室内に窒化シリコンを成膜する成膜ガスを導入し、前記シード層上に窒化シリコン膜を形成する工程とを具備し、前記(3)の工程で、前記処理室内の温度を前記窒化シリコン膜の成膜温度に上昇させる
この発明の第の態様に係る成膜装置は、窒化シリコン膜を成膜する成膜装置であって、被処理体を収容する処理室と、前記処理室内に、アミノシラン系ガス、アンモニアを含むガス、及び窒化シリコンを成膜する成膜ガスを供給するガス供給機構と、を備え、第1の態様に係る窒化シリコン膜の成膜方法を、前記処理室内において実行されるように構成されている。
この発明によれば、極薄膜状態であっても、物理的な特性及び電気的な特性に優れている窒化シリコン膜を成膜可能な窒化シリコン膜の成膜方法、及びその成膜方法を実施することが可能な成膜装置を提供できる。
図1はこの発明の第1の実施形態に係る窒化シリコン膜の成膜方法の一例を示す流れ図 図2は図1に示す一例中の被処理体の断面例を示す断面図 図3はこの発明の第2の実施形態に係る窒化シリコン膜の成膜方法の一例を示す流れ図 インキュベーション時間を示す図 第1、第2の実施形態に係る窒化シリコン膜の成膜方法を実施することが可能な成膜装置の一例を概略的に示す断面図 第1、又は第2の実施形態に係る窒化シリコン膜の成膜方法を利用した半導体装置の一成膜工程例を示す断面図
(第1の実施形態)
図1はこの発明の第1の実施形態に係る窒化シリコン膜の成膜方法の一例を示す流れ図、図2は図1に示す一例中の被処理体の断面例を示す断面図である。
図1中のステップ1に示すように、被処理体を成膜装置の処理室内に搬入する。本例では被処理体は半導体ウエハ、例えば、シリコンウエハである。シリコンウエハの断面例を図2Aに示す。本例では被処理体の一例として、シリコン基板1の表面上に、例えば、酸化シリコン(SiO)膜2が形成されたシリコンウエハWを使用した。即ち、窒化シリコン膜が形成される下地は、本例では酸化シリコン膜2である。なお、下地としては、酸化シリコン膜2に限られることはなく、表面に自然酸化膜を有したシリコンや、表面に自然酸化膜を有した金属、表面に自然酸化膜を有していない金属等であっても良い。被処理体を成膜装置の処理室内に搬入した後、処理室内の温度をシリコン吸着温度(処理温度)に上昇させる。本例では、シリコン吸着温度を450℃に設定した。
次に、図1中のステップ2に示すように、シリコン吸着温度とされた処理室内にアミノシラン系ガスを導入し、酸化シリコン膜2の表面上にシリコンを吸着させる。これにより、図2Bに示すように、酸化シリコン膜2の表面上には、シリコン吸着層3が形成される。本例では、アミノシラン系ガスとして、ジイソプロピルアミノシランガス(DIPAS)を用いた。
また、本例で用いた処理条件は、
DIPAS流量: 150sccm
処 理 時 間: 60sec
処 理 温 度: 450℃
処 理 圧 力: 532Pa(4Torr)
である。処理終了後、処理室内を、不活性ガスを用いてパージする(ステップ3)。本例では、不活性ガスとして窒素(N)ガスを用いた。
次に、図1中のステップ4に示すように、処理室内にアンモニア(NH)を含むガスを導入する。これにより、シリコン吸着層3にアミノ基(例えば、NH)を結合させ、図2Cに示すように、酸化シリコン膜2の表面上にシード層4を形成する。
本例で用いた処理条件は、
NH 流 量: 5000sccm
処 理 時 間: 25min
処 理 温 度: 処理の間に450℃から630℃へ上昇
処 理 圧 力: 66.7Pa(0.5Torr)
である。
また、本例では、処理室内にアンモニアガスを導入しながら、処理室内の温度を450℃から窒化シリコン成膜温度、本例では630℃まで上昇させていった。このように温度を高くしていくことにより、処理室内の温度をシリコン吸着温度から変えない場合に比較して、シリコン吸着層3とアンモニアガスとの反応をより促進させることができる。処理終了後、処理室内を、不活性ガスを用いてパージする(ステップ5)。本例では、不活性ガスとして窒素ガスを用いた。
次に、図1中のステップ6に示すように、窒化シリコン成膜温度とされた処理室内に窒化シリコンを成膜する成膜ガスを導入し、図2Dに示すように、シード層4上に窒化シリコン膜5を形成する。
本例では、窒化シリコン膜5の形成に、シリコンを含むガスと窒化剤を含むガスとを交互に供給し、シード層4上に、窒化シリコンを堆積させる成膜手法を採用した。この成膜手法は、ALD(Atomic Layer Deposition)法、又はMLD(Molecular Layer Deposition)法と呼ばれる成膜手法である。本例では、シリコンを含むガスとしてシラン系ガス、例えば、ジクロロシラン(DCS:SiHCl)、窒化剤を含むガスとしてアンモニアを含むガスを用いた。窒化シリコン膜5の形成は、まず、処理室内にDCSを導入し、シード層4上にシリコンを吸着させる。次いで、処理室内を不活性ガス、例えば、窒素ガスでパージし、シリコン以外の不純物を処理室内から排出する。次いで、処理室内にアンモニアを含むガスを導入し、吸着されたシリコンを窒化する。次いで、処理室内を不活性ガス、例えば、窒素ガスでパージし、不純物を処理室内から排出する。これらの工程を、窒化シリコン膜5が所望の厚みとなるまで繰り返すことで、窒化シリコン膜5が形成される。
なお、窒化シリコン膜5の形成には、シリコンを含むガスと窒化剤を含むガスとを同時に供給し、シード層4上に、窒化シリコンを堆積させていく成膜手法、いわゆるCVD(Chemical Vapor Deposition)法を採用することも可能である。
このような第1の実施形態によれば、窒化シリコン膜5を、下地、本例では酸化シリコン膜2上に成膜するのに際し、酸化シリコン膜2の表面に、アミノシラン系ガスを用いてシリコンを吸着させてシリコン吸着層3を形成し、このシリコン吸着層3に、アンモニアを含むガスを用いてアミノ基を結合させ、シリコン吸着層3をシード層4に変える。この後、シード層4上に、窒化シリコン膜5を形成する。この構成を備えることにより、詳しくは後述するが、酸化シリコン膜2上に直接、窒化シリコン膜5を形成する場合に比較して、インキュベーション時間を短縮できる。インキュベーション時間を短縮できる結果、極薄膜状態であっても、物理的な特性及び電気的な特性に優れた窒化シリコン膜が得られる、という利点を得ることができる。特に、第1の実施形態によれば、段差被覆性、膜厚や膜質の均一性が良く、電気的な絶縁性にも優れた極薄膜状態の窒化シリコン膜が得られる。
また、第1の実施形態によれば、窒化シリコン膜5のインキュベーション時間を短縮できるので、例えば、半導体集積回路装置の製造にあたり、特に、窒化シリコン膜の成膜工程のスループットを向上できる、という利点についても併せて得ることができる。
(第2の実施形態)
図3はこの発明の第2の実施形態に係る窒化シリコン膜の成膜方法の一例を示す流れ図である。なお、一例中の被処理体の断面例は、図2A〜図2Dを代用する。
第2の実施形態が、第1の実施形態と、特に、異なるところは、下地表面上へのシリコン吸着層3の生成、及びシリコン吸着層3のシード層4への変換を、アミノシラン系ガスの導入とアンモニアを含むガスの導入とを所定の回数繰り返すことで行うようにしたことである。
まず、図3中のステップ11に示すように、被処理体、本例では、第1の実施形態と同様に、シリコン基板1の表面上に、例えば、酸化シリコン(SiO)膜2が形成されたシリコンウエハ(図2A参照)を成膜装置の処理室内に搬入する。被処理体を成膜装置の処理室内に搬入した後、処理室内の温度をシリコン吸着温度(処理温度)に上昇させる。本例では、シリコン吸着温度を、第1の実施形態よりも高い550℃に設定した。この理由を以下に説明する。
第1の実施形態では、下地表面上にシリコンを吸着させた後、処理室内の温度を窒化シリコン成膜温度まで上昇させながら、アンモニアを含むガスを導入させた。対して、第2の実施形態は、アミノシラン系ガスの導入とアンモニアを含むガスの導入とを所定の回数繰り返す。このため、アンモニアを含むガスを導入するごとに処理室内の温度を、例えば、窒化シリコン成膜温度まで上昇させたり、アミノシラン系ガスを導入するごとに処理室内の温度をシリコン吸着温度に下降させたりしてしまうと、処理時間が長くなる。処理時間を短縮するために、本例では、処理室内の温度を、アミノシラン系ガスの導入時とアンモニアを含むガスの導入時とで等しくする。これにより、処理室内の温度を変化させる必要がなくなり、処理室内の温度を変化させる場合に比較して、処理時間を短縮することができる。また、処理室内の温度をシリコン吸着温度から変化させない場合、シリコン吸着層3とアンモニアガスとの反応が進み難くなることも予想される。このため、本例では、シリコン吸着層3とアンモニアガスとの反応促進を考慮し、シリコン吸着温度を、第1の実施形態よりも高い550℃に設定した。
次に、図3中のステップ12に示すように、シリコン吸着温度とされた処理室内にアミノシラン系ガスを導入し、酸化シリコン膜2の表面上にシリコンを吸着させる(図2B)。これにより、酸化シリコン膜2の表面上には、シリコン吸着層3が形成される。本例では、アミノシラン系ガスとして、トリジメチルアミノシランガス(TDMAS)を用いた。
また、本例で用いた処理条件は、
TDMAS流量: 150sccm
処 理 時 間: 15sec
処 理 温 度: 550℃
処 理 圧 力: 532Pa(4Torr)
である。処理終了後、処理室内を、不活性ガスを用いてパージする(ステップ13)。本例では、不活性ガスとして窒素ガスを用いた。
次に、図3中のステップ14に示すように、処理室内にアンモニア(NH)を含むガスを導入する。これにより、シリコン吸着層3にアミノ基(例えば、NH)を結合させる。
本例で用いた処理条件は、
NH 流 量: 5000sccm
処 理 時 間: 20sec
処 理 温 度: 550℃
処 理 圧 力: 53.2Pa(0.4Torr)
である。
次に、図3中のステップ15に示すように、アミノシラン系ガスの導入とアンモニアを含むガスの導入との繰り返し回数が設定回数に達したか否かを判断する。
設定回数に達していない場合(No.)、ステップ16に進み、処理室内の温度を維持したまま、不活性ガス、本例では窒素ガスを用いてパージする。続いて、ステップ12、13、14を繰り返す。
設定回数に達した場合(Yes.)、シード層4の形成が完了する(図2C)。この場合、ステップ17に進み、処理室内の温度をシリコン吸着温度から窒化シリコン成膜温度、本例では630℃に上昇させながら、不活性ガス、本例では窒素ガスを用いてパージする。
次に、図3中のステップ18に示すように、窒化シリコン成膜温度とされた処理室内に窒化シリコンを成膜する成膜ガスを導入し、シード層4上に窒化シリコン膜5を形成する。窒化シリコン膜5の成膜手法は、第2の実施形態においても、第1の実施形態と同様にALD法又はMLD法と呼ばれる手法であり、成膜条件も第1の実施形態のステップ6と同じである。なお、第2の実施形態においても、成膜手法はALD法又はMLD法に限られることはなく、CVD法が採用されても良い。
このような第2の実施形態においても、シード層4上に、窒化シリコン膜5が形成される。このため、第1の実施形態と同様に、酸化シリコン膜2上に直接、窒化シリコン膜5を形成する場合に比較して、窒化シリコン膜5のインキュベーション時間を短縮できる、という利点を得ることができる。
(インキュベーション時間)
次に、第1の実施形態、及び第2の実施形態のインキュベーション時間を、比較例と比較しながら説明する。
図4は、インキュベーション時間を示す図である。縦軸は窒化シリコン膜5の膜厚、横軸はALDサイクル数を示している。
図4中の線Iは第1の実施形態により窒化シリコン膜5を成膜した場合、線IIは第2の実施形態により窒化シリコン膜5を成膜した場合である。線IIIは比較例である。比較例は、下地(酸化シリコン膜2)表面上に直接、窒化シリコン膜5をALD法又はMLD法と呼ばれる手法を用いて形成した例である。成膜条件は、第1の実施形態のステップ6の処理条件と同じである。
窒化シリコン膜5の膜厚は、ALDサイクルが30回の時、50回の時、70回の時にそれぞれ測定した。線I〜IIIは、測定された3つの膜厚を最小二乗法で直線近似した直線であり、式は次の通りである。
線I : y = 0.8996x − 17.113 …(1)
線II : y = 0.9265x − 14.181 …(2)
線III: y = 0.9159x − 21.846 …(3)
上記(1)、(2)、(3)式をy=0、即ち、窒化シリコン膜5の膜厚を“0”としたときのALDサイクルは次のようになる。
線I : 19サイクル(第1の実施形態)
線II : 15サイクル(第2の実施形態)
線III: 24サイクル(比較例)
つまり、比較例ではALDサイクルを24回繰り返した後に窒化シリコン膜5が成長しだす。対して、第1の実施形態ではALDサイクルを19回繰り返した後に窒化シリコン膜5が成長しだし、第2の実施形態ではALDサイクルをさらに速い15回繰り返した後に窒化シリコン膜5が成長しだす。このように、第1、第2の実施形態は、双方ともが比較例よりも速い段階から窒化シリコン膜5が成長しだすことが明らかとなった。
したがって、窒化シリコン膜5を、被処理体の表面上、第1、第2の実施形態では酸化シリコン膜2の表面上に成膜する前に、少なくともアミノシラン系ガスを用いて、シリコンウエハWの表面上に窒化シリコン膜5のシードとなるシード層4を形成する第1、第2の実施形態によれば、酸化シリコン膜2上に直接、窒化シリコン膜5を形成する場合に比較して、窒化シリコン膜5のインキュベーション時間を短縮できることが裏付けられた。
(第3の実施形態)
第3の実施形態は、上記第1、第2の実施形態に係る窒化シリコン膜の成膜方法を実施することが可能な成膜装置の一例に関する。
図5は、第1、第2の実施形態に係る窒化シリコン膜の成膜方法を実施することが可能な成膜装置の一例を概略的に示す断面図である。
図5に示すように、成膜装置100は、下端が開口された有天井の円筒体状の処理室101を有している。処理室101の全体は、例えば、石英により形成されている。処理室101内の天井には、石英製の天井板102が設けられている。処理室101の下端開口部には、例えば、ステンレススチールにより円筒体状に成形されたマニホールド103がOリング等のシール部材104を介して連結されている。
マニホールド103は処理室101の下端を支持している。マニホールド103の下方からは、被処理体として複数枚、例えば、50〜100枚の半導体ウエハ、本例では、シリコンウエハWを多段に載置可能な石英製のウエハボート105が処理室101内に挿入可能となっている。ウエハボート105は複数本の支柱106を有し、支柱106に形成された溝により複数枚のシリコンウエハWが支持されるようになっている。
ウエハボート105は、石英製の保温筒107を介してテーブル108上に載置されている。テーブル108は、マニホールド103の下端開口部を開閉する、例えば、ステンレススチール製の蓋部109を貫通する回転軸110上に支持される。回転軸110の貫通部には、例えば、磁性流体シール111が設けられ、回転軸110を気密にシールしつつ回転可能に支持している。蓋部109の周辺部とマニホールド103の下端部との間には、例えば、Oリングよりなるシール部材112が介設されている。これにより処理室101内のシール性が保持されている。回転軸110は、例えば、ボートエレベータ等の昇降機構(図示せず)に支持されたアーム113の先端に取り付けられている。これにより、ウエハボート105および蓋部109等は、一体的に昇降されて処理室101内に対して挿脱される。
成膜装置100は、処理室101内に、処理に使用するガスを供給する処理ガス供給機構114と、処理室101内に、不活性ガスを供給する不活性ガス供給機構115と、を有している。
処理ガス供給機構114は、アミノシラン系ガス供給源117、成膜ガス供給源118、アンモニアを含むガス供給源119を含んでいる。成膜ガスは、例えば、シラン系ガスである。
不活性ガス供給機構115は、不活性ガス供給源120を含んでいる。不活性ガスは、パージガス等に利用される。
アミノシラン系ガス供給源117は、流量制御器121a及び開閉弁122aを介して、分散ノズル123に接続されている。分散ノズル123は石英管よりなり、マニホールド103の側壁を内側へ貫通して上方向へ屈曲されて垂直に延びる。分散ノズル123の垂直部分には、複数のガス吐出孔124が所定の間隔を隔てて形成されている。有機系シリコンガスは、各ガス吐出孔124から水平方向に処理室101内に向けて略均一に吐出される。また、成膜ガス供給源118もまた、流量制御器121b及び開閉弁122bを介して、例えば、分散ノズル123に接続される。
アンモニアを含むガス供給源119は、流量制御器121c及び開閉弁122cを介して、分散ノズル125に接続されている。分散ノズル125は石英管よりなり、マニホールド103の側壁を内側へ貫通して上方向へ屈曲されて垂直に延びる。分散ノズル125の垂直部分には、複数のガス吐出孔126が所定の間隔を隔てて形成されている。アンモニアを含むガスは、各ガス吐出孔126から水平方向に処理室101内に向けて略均一に吐出される。
不活性ガス供給源120は、流量制御器121d及び開閉弁122dを介して、ノズル128に接続されている。ノズル128は、マニホールド103の側壁を貫通し、その先端から不活性ガスを、水平方向に処理室101内に向けて吐出させる。
処理室101内の、分散ノズル123及び125と反対側の部分には、処理室101内を排気するための排気口129が設けられている。排気口129は処理室101の側壁を上下方向へ削りとることによって細長く形成されている。処理室101の排気口129に対応する部分には、排気口129を覆うように断面がコの字状に成形された排気口カバー部材130が溶接により取り付けられている。排気口カバー部材130は、処理室101の側壁に沿って上方に延びており、処理室101の上方にガス出口131を規定している。ガス出口131には、真空ポンプ等を含む排気機構132が接続される。排気機構132は、処理室101内を排気することで処理に使用した処理ガスの排気、及び処理室101内の圧力を処理に応じた処理圧力とする。
処理室101の外周には筒体状の加熱装置133が設けられている。加熱装置133は、処理室101内に供給されたガスを活性化するとともに、処理室101内に収容された被処理体、例えば、半導体基板、本例ではウエハWを加熱する。
成膜装置100の各部の制御は、例えばマイクロプロセッサ(コンピュータ)からなるコントローラ150により行われる。コントローラ150には、オペレータが成膜装置100を管理するためにコマンドの入力操作等を行うキーボードや、成膜装置100の稼働状況を可視化して表示するディスプレイ等からなるユーザーインターフェース151が接続されている。
コントローラ150には記憶部152が接続されている。記憶部152は、成膜装置100で実行される各種処理をコントローラ150の制御にて実現するための制御プログラムや、処理条件に応じて成膜装置100の各構成部に処理を実行させるためのプログラムすなわちレシピが格納される。レシピは、例えば、記憶部152の中の記憶媒体に記憶される。記憶媒体は、ハードディスクや半導体メモリであってもよいし、CD-ROM、DVD、フラッシュメモリ等の可搬性のものであってもよい。また、他の装置から、例えば専用回線を介してレシピを適宜伝送させるようにしてもよい。レシピは、必要に応じて、ユーザーインターフェース151からの指示等にて記憶部152から読み出され、読み出されたレシピに従った処理をコントローラ150が実行することで、成膜装置100は、コントローラ150の制御のもと、所望の処理が実施される。
本例では、コントローラ150の制御のもと、上記第1の実施形態に係る窒化シリコン膜の成膜方法のステップ1〜ステップ6に従った処理、又は第2の実施形態に係る窒化シリコン膜の成膜方法のステップ11〜18を順次実行する。
上記第1、第2の実施形態に係る窒化シリコン膜の成膜方法は、図5に示すような成膜装置100によって、実施することができる。
(第4の実施形態)
第4の実施形態は、第1、又は第2の実施形態に係る窒化シリコン膜の成膜方法を利用した半導体装置の一成膜工程例に関する。
図6A〜図6Eは、第1、又は第2の実施形態に係る窒化シリコン膜の成膜方法を利用した半導体装置の一成膜工程例を示す断面図である。本一成膜工程例は、半導体装置、特に、半導体集積回路装置のゲート電極の側壁上に、窒化シリコン膜5からなる側壁スペーサを形成する例である。また、本例は、第1の実施形態に係る窒化シリコン膜の成膜方法を採用した例で説明するが、第2の実施形態に係る窒化シリコン膜の成膜方法を採用できることは、もちろんである。
図6Aには、窒化シリコン膜5の成膜前の断面例が示されている。即ち、シリコン基板1上にはゲート絶縁膜11、ポリシリコン層12、金属層13が順次形成されてなるゲート電極14が形成されている。ゲート絶縁膜11は、例えば、酸化シリコン(SiO)、金属層13は、例えば、タングステン(W)である。図6Aに示す構造体を含む被処理体を、例えば、図5に示した成膜装置100の処理室101内に搬入する。次いで、処理室101内の温度をシリコン吸着温度、例えば、450℃に上昇させる。
次に、図6Bに示すように、シリコン吸着温度とされた処理室101内にアミノシラン系ガスを導入し、ゲート電極14の側壁及び上面、並びにシリコン基板(例えば、露出面に図示せぬ自然酸化膜が有るシリコン基板)1の表面上にシリコンを吸着させ、シリコン吸着層3を形成する。本例では、アミノシラン系ガスとして、ジイソプロピルアミノシランガス(DIPAS)を用いた。この後、処理室101内を不活性ガス、例えば、窒素ガスを用いてパージする。
次に、図6Cに示すように、処理室101内にアンモニア(NH)を含むガスを導入し、シリコン吸着層3にアミノ基(例えば、NH)を結合させ、ゲート電極14の側壁及び上面、並びにシリコン基板1の表面上にシード層4を形成する。このとき、処理室101内の温度をシリコン吸着温度から窒化シリコン成膜温度まで上昇させる。この後、処理室101内を不活性ガス、例えば、窒素ガスを用いてパージする。
次に、図6Dに示すように、窒化シリコン成膜温度とされた処理室101内に窒化シリコンを成膜する成膜ガスを導入し、図2Dに示すように、シード層4上に窒化シリコン膜5を形成する。本例では、窒化シリコン膜5を、成膜ガスとしてシリコンを含むガスと窒化剤を含むガスとを交互に供給し、シード層4上に、窒化シリコンを堆積させることで形成する。本例では、シリコンを含むガスとしてシラン系ガス、例えば、DCS、窒化剤を含むガスとしてアンモニアを含むガスを用いた。ここまでの工程を、例えば、図5に示した成膜装置100の処理室101内で行う。
次に、図6Eに示すように、図6Dに示す構造体となったシリコンウエハWを、処理室101から搬出し、異方性エッチング装置の処理室に移送する。ここで、窒化シリコン膜5を異方性エッチングすることで、ゲート電極14の側壁上に、窒化シリコン膜5からなる側壁スペーサ15が形成される。
このように、第1、第2の実施形態に係る窒化シリコン膜の成膜方法は、半導体集積回路装置の製造工程、例えば、ゲート電極14の側壁上への側壁スペーサ15の形成工程等に利用することが可能である。
以上、この発明をいくつかの実施形態に従って説明したが、この発明は、上記実施形態に限定されることは無く、種々変形可能である。
例えば、上記実施形態においては、アミノシラン系ガスとして、ジイソプロピルアミノシランガス(DIPAS)、又はトリジメチルアミノシランガス(TDMAS)を用いたが、アミノシラン系ガスとしては、DIPAS、TDMASの他、下記のアミノシラン系ガスを使うことができる。
BAS(ブチルアミノシラン)
BTBAS(ビスターシャリブチルアミノシラン)
DMAS(ジメチルアミノシラン)
BDMAS(ビスジメチルアミノシラン)
DEAS(ジエチルアミノシラン)
BDEAS(ビスジエチルアミノシラン)、及び
DPAS(ジプロピルアミノシラン)
また、アミノシラン系ガスとしては、分子式中のシリコン(Si)が1つとなるものに限られるものではなく、分子式中のシリコンが2つとなるもの、例えば、ヘキサキスエチルアミノジシラン(C12H36NSi)なども用いることができる。
さらに、ヘキサキスエチルアミノジシランの他、下記の式(1)〜(4)により表示される物質も用いることができる。
(1) (((R1R2)N)nSiH-n-m(R3)m …n:アミノ基の数 m:アルキル基の数
(2) ((R1)NH)nSiH-n-m(R3)m …n:アミノ基の数 m:アルキル基の数
(1)、(2)式において、
R1、R2、R3 = CH、CH、CH
R1 = R2 = R3、または同じでなくても良い。
n = 1〜6の整数
m = 0、1〜5の整数

(3) (((R1R2)N)nSiH-n-m(Cl)m …n:アミノ基の数 m:塩素の数
(4) ((R1)NH)nSiH-n-m(Cl)m …n:アミノ基の数 m:塩素の数
(3)、(4)式において
R1、R2 = CH、CH、CH
R1 = R2、または同じでなくても良い。
n = 1〜6の整数
m = 0、1〜5の整数
その他、この発明はその要旨を逸脱しない範囲で様々に変形することができる。
1…シリコン基板、2…酸化シリコン膜、3…シリコン吸着層、4…シード層、5…窒化シリコン膜。

Claims (7)

  1. (1) 被処理体を処理室内に搬入する工程と、
    (2) 前記処理室内にアミノシラン系ガスを導入し、前記被処理体の表面上にシリコンを吸着させる工程と、
    (3) 前記処理室内にアンモニアを含むガスを導入し、前記シリコンが吸着された前記被処理体の表面上にシード層を形成する工程と、
    (4) 前記処理室内に窒化シリコンを成膜する成膜ガスを導入し、前記シード層上に窒化シリコン膜を形成する工程と
    を具備し、
    前記(3)の工程で、前記処理室内の温度を前記窒化シリコン膜の成膜温度に上昇させることを特徴とする窒化シリコン膜の成膜方法。
  2. 前記(4)工程が、シリコンを含むガスと窒化剤を含むガスとを交互に供給し、前記シード層上に、窒化シリコンを堆積させていく工程であることを特徴とする請求項1に記載の窒化シリコン膜の成膜方法。
  3. 前記(4)工程が、シリコンを含むガスと窒化剤を含むガスとを同時に供給し、前記シード層上に、窒化シリコンを堆積させていく工程であることを特徴とする請求項1に記載の窒化シリコン膜の成膜方法。
  4. 前記シリコンを含むガスが、シラン系ガスであることを特徴とする請求項2又は請求項3に記載の窒化シリコン膜の成膜方法。
  5. 前記被処理体の表面に、少なくともシリコンを主体とする領域と、金属を主体とする領域とが含まれていることを特徴とする請求項1から請求項4のいずれか一項に記載の窒化シリコン膜の成膜方法。
  6. 前記アミノシラン系ガスが、
    BAS(ブチルアミノシラン)
    BTBAS(ビスターシャリブチルアミノシラン)
    DMAS(ジメチルアミノシラン)
    BDMAS(ビスジメチルアミノシラン)
    TDMAS(トリジメチルアミノシラン)
    DEAS(ジエチルアミノシラン)
    BDEAS(ビスジエチルアミノシラン)
    DPAS(ジプロピルアミノシラン)
    DIPAS(ジイソプロピルアミノシラン)
    ヘキサキスエチルアミノジシラン
    (1) (((R1R2)N)nSiH-n-m(R3)m
    (2) ((R1)NH)nSiH-n-m(R3)m
    (3) (((R1R2)N)nSiH-n-m(Cl)m
    (4) ((R1)NH)nSiH-n-m(Cl)m
    の少なくとも一つを含むガスから選ばれることを特徴とする請求項1から請求項5のいずれか一項に記載の窒化シリコン膜の成膜方法。
    (ただし、(1)、(2)式において、n:アミノ基の数、m:アルキル基の数、
    (3)、(4)式において、n:アミノ基の数、m:塩素の数、
    (1)〜(4)式において、n = 1〜6の整数、m = 0、1〜5の整数、
    R1、R2、R3 = CH、CH、CH R1 = R2 = R3、または同じでなくても良い。)
  7. 窒化シリコン膜を成膜する成膜装置であって、
    被処理体を収容する処理室と、
    前記処理室内に、アミノシラン系ガス、アンモニアを含むガス、及び窒化シリコンを成膜する成膜ガスを供給するガス供給機構と、を備え、
    請求項1から請求項6のいずれか一項に記載の窒化シリコン膜の成膜方法を、前記処理室内において実行されるように構成されていることを特徴とする成膜装置。
JP2011237988A 2010-12-21 2011-10-28 窒化シリコン膜の成膜方法及び成膜装置 Active JP5689398B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2011237988A JP5689398B2 (ja) 2010-12-21 2011-10-28 窒化シリコン膜の成膜方法及び成膜装置
TW100147335A TWI524425B (zh) 2010-12-21 2011-12-20 氮化矽膜的成膜方法及成膜裝置
KR1020110138038A KR20120070516A (ko) 2010-12-21 2011-12-20 질화 실리콘막의 성막 방법 및 성막 장치
CN2011104339092A CN102560417A (zh) 2010-12-21 2011-12-21 氮化硅膜的成膜方法和成膜装置
US13/332,691 US8753984B2 (en) 2010-12-21 2011-12-21 Method and apparatus for forming silicon nitride film
KR1020150178053A KR20160002613A (ko) 2010-12-21 2015-12-14 질화 실리콘막의 성막 방법 및 성막 장치

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2010284634 2010-12-21
JP2010284634 2010-12-21
JP2011237988A JP5689398B2 (ja) 2010-12-21 2011-10-28 窒化シリコン膜の成膜方法及び成膜装置

Publications (2)

Publication Number Publication Date
JP2012146955A JP2012146955A (ja) 2012-08-02
JP5689398B2 true JP5689398B2 (ja) 2015-03-25

Family

ID=46406984

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011237988A Active JP5689398B2 (ja) 2010-12-21 2011-10-28 窒化シリコン膜の成膜方法及び成膜装置

Country Status (5)

Country Link
US (1) US8753984B2 (ja)
JP (1) JP5689398B2 (ja)
KR (2) KR20120070516A (ja)
CN (1) CN102560417A (ja)
TW (1) TWI524425B (ja)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
KR101862547B1 (ko) * 2012-04-13 2018-05-31 삼성전자주식회사 폴리실리콘막 형성 방법 및 반도체 장치의 제조 방법
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
JP6068130B2 (ja) 2012-12-25 2017-01-25 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5925673B2 (ja) * 2012-12-27 2016-05-25 東京エレクトロン株式会社 シリコン膜の成膜方法および成膜装置
US20140248749A1 (en) * 2013-03-04 2014-09-04 Globalfoundries Inc. Stress memorization technique
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US10030037B2 (en) 2014-05-30 2018-07-24 Dow Silicones Corporation Diaminosilane compounds
EP3149010A4 (en) * 2014-05-30 2017-11-08 Dow Corning Corporation Monoaminosilane compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
KR102398919B1 (ko) * 2015-06-29 2022-05-18 주성엔지니어링(주) 투습 방지막과 그 제조 방법
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
JP6594768B2 (ja) * 2015-12-25 2019-10-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US10053775B2 (en) * 2015-12-30 2018-08-21 L'air Liquide, Societé Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude Methods of using amino(bromo)silane precursors for ALD/CVD silicon-containing film applications
JP6560991B2 (ja) * 2016-01-29 2019-08-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6690496B2 (ja) * 2016-03-17 2020-04-28 東京エレクトロン株式会社 成膜方法及び成膜装置
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
JP7012563B2 (ja) * 2018-03-05 2022-01-28 東京エレクトロン株式会社 成膜方法および成膜装置
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
CN109753718B (zh) * 2018-12-28 2023-09-05 山西潞安太阳能科技有限责任公司 一种基于最小二乘法的pecvd色差改善方法
JP2020132904A (ja) * 2019-02-13 2020-08-31 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP7243521B2 (ja) * 2019-08-19 2023-03-22 東京エレクトロン株式会社 成膜方法及び成膜装置
CN110867378A (zh) * 2019-11-25 2020-03-06 上海华力集成电路制造有限公司 改善器件负偏压温度不稳定性的方法和结构

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07297182A (ja) 1994-04-27 1995-11-10 Sony Corp SiN系絶縁膜の形成方法
JPH09134919A (ja) * 1995-11-08 1997-05-20 Sony Corp シリコン窒化膜の形成方法
US6515350B1 (en) * 2000-02-22 2003-02-04 Micron Technology, Inc. Protective conformal silicon nitride films and spacers
JP4617574B2 (ja) * 2001-01-16 2011-01-26 ソニー株式会社 不揮発性半導体記憶装置およびその製造方法
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US20050287747A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
JP4607637B2 (ja) * 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
CN101220465A (zh) * 2006-12-13 2008-07-16 气体产品与化学公司 含金属-硅的薄膜的循环化学气相沉积
JP4924437B2 (ja) * 2007-02-16 2012-04-25 東京エレクトロン株式会社 成膜方法及び成膜装置
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7638170B2 (en) * 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
JP4959733B2 (ja) * 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
JP2010183069A (ja) * 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5467007B2 (ja) * 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP4967066B2 (ja) * 2010-04-27 2012-07-04 東京エレクトロン株式会社 アモルファスシリコン膜の成膜方法および成膜装置
JP5675331B2 (ja) * 2010-12-27 2015-02-25 東京エレクトロン株式会社 トレンチの埋め込み方法

Also Published As

Publication number Publication date
KR20120070516A (ko) 2012-06-29
JP2012146955A (ja) 2012-08-02
TW201250844A (en) 2012-12-16
CN102560417A (zh) 2012-07-11
KR20160002613A (ko) 2016-01-08
US20120178264A1 (en) 2012-07-12
TWI524425B (zh) 2016-03-01
US8753984B2 (en) 2014-06-17

Similar Documents

Publication Publication Date Title
JP5689398B2 (ja) 窒化シリコン膜の成膜方法及び成膜装置
KR101759157B1 (ko) 산화 실리콘막의 성막 방법
US8753717B2 (en) Film forming method and film forming apparatus
JP6267080B2 (ja) シリコン窒化物膜の成膜方法および成膜装置
US8455369B2 (en) Trench embedding method
TWI509693B (zh) 成膜方法及成膜裝置
KR101705966B1 (ko) 클리닝 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP5411171B2 (ja) アモルファスカーボン膜を含む積層構造を形成する方法
JP2006287195A (ja) 成膜方法、成膜装置及び記憶媒体
JP2015088562A (ja) シリコン酸炭窒化物膜、シリコン酸炭化物膜、シリコン酸窒化物膜の成膜方法および成膜装置
CN110265298B (zh) 半导体器件的制造方法、衬底处理装置
TWI613310B (zh) TiSiN膜之成膜方法及成膜裝置
JP2014082322A (ja) シリコン窒化物膜の成膜方法および成膜装置
JP5588856B2 (ja) カーボン膜上への酸化物膜の成膜方法及び成膜装置
JP6340251B2 (ja) SiCN膜の成膜方法
US9460913B2 (en) Film-forming method for forming silicon oxide film on tungsten film or tungsten oxide film
US9466476B2 (en) Film-forming method for forming silicon oxide film on tungsten film or tungsten oxide film
JP6452787B2 (ja) シリコン酸炭窒化物膜の成膜方法および成膜装置
JP6345136B2 (ja) 炭素含有シリコン窒化物膜の成膜方法および成膜装置
JP2018101810A (ja) SiCN膜の成膜方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130711

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140220

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140304

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140428

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140624

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140822

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20141104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141205

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20150105

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150127

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150128

R150 Certificate of patent or registration of utility model

Ref document number: 5689398

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250