JP4329403B2 - プラズマ処理装置 - Google Patents

プラズマ処理装置 Download PDF

Info

Publication number
JP4329403B2
JP4329403B2 JP2003141045A JP2003141045A JP4329403B2 JP 4329403 B2 JP4329403 B2 JP 4329403B2 JP 2003141045 A JP2003141045 A JP 2003141045A JP 2003141045 A JP2003141045 A JP 2003141045A JP 4329403 B2 JP4329403 B2 JP 4329403B2
Authority
JP
Japan
Prior art keywords
plasma
gas
processing apparatus
processing
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003141045A
Other languages
English (en)
Other versions
JP2004343017A (ja
Inventor
廣行 松浦
寿 加藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP2003141045A priority Critical patent/JP4329403B2/ja
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to PCT/JP2004/006738 priority patent/WO2004102650A1/ja
Priority to TW093114123A priority patent/TW200501213A/zh
Priority to EP04733924A priority patent/EP1638139A4/en
Priority to KR1020057017068A priority patent/KR100856654B1/ko
Priority to CNB2004800135982A priority patent/CN100524641C/zh
Priority to US10/557,146 priority patent/US20070137572A1/en
Publication of JP2004343017A publication Critical patent/JP2004343017A/ja
Application granted granted Critical
Publication of JP4329403B2 publication Critical patent/JP4329403B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Description

【0001】
【発明の属する技術分野】
本発明は、半導体ウエハ等の被処理体に対して比較的低温で所定のプラズマ処理を施すためのプラズマ処理装置に関する。
【0002】
【従来の技術】
一般に、半導体集積回路を製造するためにはシリコン基板等よりなる半導体ウエハに対して、成膜処理、エッチング処理、酸化処理、拡散処理、改質処理、自然酸化膜の除去処理等の各種の処理が行なわれる。これらの処理を縦型の、いわゆるバッチ式の熱処理装置にて行う場合には、まず、半導体ウエハを複数枚、例えば25枚程度収容できるカセットから、半導体ウエハを縦型のウエハボートへ移載してこれに多段に支持させる。このウエハボートは、例えばウエハサイズにもよるが30〜150枚程度のウエハを載置できる。このウエハボートは、排気可能な処理容器内にその下方より搬入(ロード)された後、処理容器内が気密に維持される。そして、処理ガスの流量、プロセス圧力、プロセス温度等の各種のプロセス条件を制御しつつ所定の熱処理が施される。
【0003】
ところで、最近にあっては半導体集積回路の更なる高集積化及び高微細化の要求が強くなされており、回路素子の特性の向上の上から半導体集積回路の製造工程における熱履歴も低減化することが望まれている。このような状況下において、縦型の、いわゆるバッチ式の縦型の処理装置においても、ウエハをそれ程の高温に晒さなくても目的とする処理が可能なことから、プラズマを用いた処理装置が各種提案されている。
【0004】
例えば従来のプラズマ処理装置の一例(例えば特許文献1参照)の概略横断面図が図9に示されている。このプラズマ処理装置では、真空引き可能になされた円筒体状の処理容器2の側壁の外側に、容器中心に対して対向させるようにして例えば2対の電極4、6を設けており、一方の対の電極4にプラズマ発生用の高周波電源8を接続し、他方の対の電極6を接地して、電極4、6間に高周波電圧を印加して処理容器2内の全体にプラズマを発生させるようになっている。半導体ウエハWは処理容器2内の略中央部に多段に支持され、その一側に例えばプラズマ発生用のガスを導入するガスノズル10が配置され、上記ウエハWは処理容器2の外周にこれを囲むようにして設けた加熱ヒータ12によりウエハWは所定の温度に加熱維持されつつプラズマ処理される。
【0005】
また従来のプラズマ処理装置の他の一例(例えば特許文献2、特許文献3参照)の概略横断面図が図10に示されている。このプラズマ処理装置はリモートプラズマ方式であり、縦型の真空引き可能になされた処理容器内の隔離された領域で、或いは処理容器の外側の領域でプラズマを発生させて生成されたラジカルをウエハに対して供給するようになっている。すなわち図10に示す場合には、筒体状の処理容器14の側壁の外側に、高周波電圧を印加する電極16を備えたプラズマ発生容器18を設け、このプラズマ発生容器18内にプロセスガス供給管20を設けている。そして、このプラズマ発生容器18内で発生したラジカルを、上記処理容器14の側壁に多数形成した直径の小さなラジカル導入口24を介して処理容器14内のウエハWに対して供給し、プラズマ処理を行うようになっている。
【0006】
【特許文献1】
特開平3−224222号公報
【特許文献2】
特開平5−251391号公報
【特許文献3】
特開2002−280378号公報
【0007】
【発明が解決しようとする課題】
上記図9及び図10に示すプラズマ処理装置にあっては、プラズマを用いていることからウエハWのプロセス温度を比較的低温にしても所望の処理を行うことができる、という利点は共に有する。しかしながら、上記装置例にあっては、以下に示すような問題点があった。
すなわち、図9に示すプラズマ処理装置にあっては、ウエハW自体がプラズマに直接的に晒されてしまうことから、このウエハ表面にプラズマから大きなダメージを受けてしまう、という問題があった。また処理容器2の周囲に沿って電極4、6を設けていることから、この電極4、6によって発生する熱がかなり大きくなって、この外側に設けてある加熱ヒータ12によるウエハの温度制御が十分に機能しなくなってしまう、といった問題もあった。
【0008】
また石英よりなるガスノズル10が電極4、6間に発生する電界内に位置されることから、このガスノズル10がプラズマによりスパッタされて、回路素子の不良原因となるパーティクルが発生するばかりか、スパッタにより分解した不純物成分がウエハの成膜処理の場合には堆積膜中に取り込まれてしまう、といった問題もあった。
更にはプラズマガスや処理ガスを供給するガスノズル10の小口径のガス孔10Aの部分に、急激な圧力差が生ずることから、いわゆるホローカソード放電が発生し、この石英製のガスノズルをスパッタしてしまって、この場合にも上述したようなパーティクル等の問題が発生していた。
【0009】
また図10に示すプラズマ処理装置にあっては、処理容器14内に対して分離区画された領域であるプラズマ発生容器18内でラジカルを発生させ、このラジカルを区画壁に設けた多数の小径のラジカル導入口24を介してウエハWに対して供給する、いわゆるリモート方式を採用しているので、折角発生したラジカルが供給途中で消滅して失活してしまう場合があり、このためラジカル濃度が低下して効率が良好でない、といった問題があった。
またこの装置例の場合にも、多数設けた小口径のラジカル導入口24が電極16に近いことから、このラジカル導入口24の部分で上記したようなホローカソード放電が発生し、この石英製の容器側壁の部分をスパッタしてしまう、といった問題があった。
【0010】
本発明は、以上のような問題点に着目し、これを有効に解決すべく創案されたものである。本発明の目的は、プラズマが発生するプラズマ発生部を処理容器内に対して開口させて連通状態で設けるようにすることにより、ホローカソード放電の発生を抑制すると共に、プラズマによるスパッタ等の発生も抑制することが可能なプラズマ処理装置を提供することにある。
【0011】
【課題を解決するための手段】
本発明の関連技術は、被処理体に対して所定のプラズマ処理を施すプラズマ処理装置において、真空引き可能になされた縦型の筒体状の処理容器と、複数の前記被処理体を多段に保持して前記処理容器内へ挿脱される被処理体保持手段と、前記処理容器の側壁の一部を凹部状に外側へ窪ませることにより一側が前記処理容器内に開口されて連通されると共に、前記処理容器の高さ方向に沿って設けられたプラズマ発生部と、前記プラズマ発生部に、その長さ方向に沿って設けられてプラズマ発生用の高周波電圧が印加されるべく対向して配置されるプラズマ電極と、前記プラズマ発生部内にプラズマ化されるプラズマ化ガスを供給するために、長さ方向に沿って複数のガス噴射孔が間隔を隔てて形成されたプラズマガス分散ノズルを有するプラズマガス供給手段と、前記処理容器の外周に設けられる加熱手段とを備え、ホローカソード放電の発生を抑制するために、前記高周波の角周波数をωとし、前記プラズマ発生部内の電子と中性粒子との衝突周波数をνとし、前記プラズマ分散ノズル内に前記プラズマガスを流した時に”ν/(ν +ω )≒1”となる式を満たすように前記プラズマ分散ノズルと前記プラズマ電極との間の距離を設定するように構成したことを特徴とするプラズマ処理装置である。
このように、プラズマが発生するプラズマ発生部を処理容器内に対して開口させて連通状態で設けるようにすることにより、ホローカソード放電の発生を抑制すると共に、プラズマによるスパッタ等の発生も抑制することができる。
【0012】
請求項1の発明は、被処理体に対して所定のプラズマ処理を施すプラズマ処理装置において、真空引き可能になされた縦型の筒体状の処理容器と、複数の前記被処理体を多段に保持して前記処理容器内へ挿脱される被処理体保持手段と、前記処理容器の側壁の一部を凹部状に外側へ窪ませることにより一側が前記処理容器内に開口されて連通されると共に、前記処理容器の高さ方向に沿って設けられたプラズマ発生部と、前記プラズマ発生部に、その長さ方向に沿って設けられてプラズマ発生用の高周波電圧が印加されるべく対向して配置されるプラズマ電極と、前記プラズマ発生部内にプラズマ化されるプラズマ化ガスを供給するプラズマガス供給手段と、前記処理容器の外周に設けられる加熱手段とを備え、前記プラズマ発生部の開口に、その長さ方向に形成されたガス流通スリットを有するスリット板を設けるように構成したことを特徴とするプラズマ処理装置である。
これにより、プロセス条件に応じてガス流通スリットの幅が最適化したスリット板を用いることができるので、発生したプラズマが被処理体の表面まで漏れ出ることを防止でき、従って、被処理体がプラズマによりダメージを受けることを確実に阻止することができる。
この場合、例えば請求項2に規定するように、前記スリット板は、前記開口に着脱可能に設けられる。
この場合、例えば請求項3に規定するように、前記プラズマ発生部に対向する前記処理容器の反対側の壁面には排気口が形成されている。
また例えば請求項4に規定するように、前記プラズマ発生部には、冷却機構が設けられる。
【0013】
また例えば請求項5に規定するように、前記処理容器内へプラズマ化されない非プラズマ化ガスを供給する非プラズマガス供給手段が設けられる。
【0014】
また例えば請求項6に規定するように、前記非プラズマガス供給手段は、長さ方向に沿って複数のガス噴射孔が間隔を隔てて形成された非プラズマガス分散ノズルを有している。
また例えば請求項7に規定するように、前記非プラズマガス分散ノズルは、前記開口の外側に設置されている。
また例えば請求項8に規定するように、前記プラズマ化ガスはアンモニアガスであり、前記非プラズマ化ガスはシラン系ガスであり、プラズマCVD処理によりシリコン窒化膜(SiN)を形成する。
【0015】
また例えば請求項9に規定するように、前記アンモニアガスと前記シラン系ガスは、間にパージ期間を挟んで交互に間欠的に供給される。
また例えば請求項10に規定するように、前記プラズマ化ガスは、水素と窒素の混合ガス、またはアンモニアガスであり、前記非プラズマ化ガスはエッチングガスであり、前記被処理体の表面に形成されている自然酸化膜を除去するプラズマ処理を行う。
また例えば請求項11に規定するように、前記エッチングガスは、三フッ化窒素ガスである。
発明の関連技術は、請求項1乃至11のいずれか一項に記載のプラズマ処理装置を用いて被処理体に対して所定のプラズマ処理を施す際に、プラズマガス供給手段のプラズマ分散ノズル内の圧力をP1とし、プラズマ発生部のプラズマ電極間の圧力をP2とし、前記被処理体の周辺の圧力をP3とした時に、ホローカソード放電の発生を抑制するために”P3<P2<P1”なる式を満たすようにしたことを特徴とするプラズマ処理装置の使用方法である。
【0016】
【発明の実施の形態】
以下に、本発明に係るプラズマ処理装置の一例を添付図面に基づいて詳述する。
図1は本発明の係るプラズマ処理装置の一例を示す縦断面構成図、図2はプラズマ処理装置(加熱手段は省略)を示す横断面構成図、図3は図2中のA部を示す部分拡大図、図4はプラズマ電極の取り付け状態を示す斜視図、図5は各種のガスの供給のタイミングを示すタイミングチャートである。
尚、ここではプラズマ化ガスとしてアンモニアガスを用い、非プラズマ化ガスとしてヘキサクロロジシラン(以下「HCD」とも称す)ガスを用いてプラズマCVDによりシリコン窒化膜(SiN)を成膜する場合を例にとって説明する。
【0017】
図示するように、このプラズマ処理装置30は、下端が開口された有天井の円筒体状の処理容器32を有している。この処理容器32の全体は、例えば石英により形成されており、この処理容器32内の天井には、石英製の天井板34が設けられて封止されている。また、この処理容器32の下端開口部には、例えばステンレススチールにより円筒体状に成形されたマニホールド36がOリング等のシール部材38を介して連結されている。
上記処理容器32の下端は、上記マニホールド36によって支持されており、このマニホールド36の下方より多数枚の被処理体としての半導体ウエハWを多段に載置した被処理体保持手段としての石英製のウエハボート40が昇降可能に挿脱自在になされている。本実施例の場合において、このウエハボート40の支柱40Aには、例えば30枚程度の直径が300mmのウエハWを略等ピッチで多段に支持できるようになっている。
【0018】
このウエハボート40は、石英製の保温筒42を介してテーブル44上に載置されており、このテーブル44は、マニホールド36の下端開口部を開閉する例えばステンレススチール製の蓋部46を貫通する回転軸48上に支持される。
そして、この回転軸48の貫通部には、例えば磁性流体シール50が介設され、この回転軸48を気密にシールしつつ回転可能に支持している。また、蓋部46の周辺部とマニホールド36の下端部には、例えばOリング等よりなるシール部材52が介設されており、容器内のシール性を保持している。
上記した回転軸48は、例えばボートエレベータ等の昇降機構54に支持されたアーム56の先端に取り付けられており、ウエハボート40及び蓋部46等を一体的に昇降して処理容器32内へ挿脱できるようになされている。尚、上記テーブル44を上記蓋部46側へ固定して設け、ウエハボート40を回転させることなくウエハWの処理を行うようにしてもよい。
【0019】
このマニホールド36には、処理容器32内の方へプラズマ化されるプラズマ化ガス、例えばアンモニア(NH3 )ガスを供給するプラズマガス供給手段58と、プラズマ化されない非プラズマ化ガス、例えばシラン系ガスとしてHCDガスを供給する非プラズマガス供給手段60とが設けられる。具体的には、上記プラズマガス供給手段58は、上記マニホールド36の側壁を内側へ貫通して上方向へ屈曲されて延びる石英管よりなるプラズマガス分散ノズル62を有している。このプラズマガス分散ノズル62には、その長さ方向に沿って複数(多数)のガス噴射孔62Aが所定の間隔を隔てて形成されており、各ガス噴射孔62Aから水平方向に向けて略均一にアンモニアガスを噴射できるようになっている。このガス噴射孔62Aの直径は例えば0.4mm程度である。
【0020】
また同様に上記非プラズマガス供給手段60も、上記マニホールド36の側壁を内側へ貫通して上方向へ屈曲されて延びる石英管よりなる非プラズマガス分散ノズル64を有している。ここでは上記非プラズマガス分散ノズル64は2本設けられており(図2及び図3参照)、各非プラズマガス分散ノズル64には、その長さ方向に沿って複数(多数)のガス噴射孔64Aが所定の間隔を隔てて形成されており、各ガス噴射孔64Aから水平方向に向けて略均一にシラン系ガスを噴射できるようになっている。尚、この非プラズマガス分散ノズル64は2本でなく、1本のみ設けるようにしてもよい。
【0021】
そして、上記処理容器32の側壁の一部には、その高さ方向に沿って本発明の特徴とするプラズマ発生部68が形成されると共に、このプラズマ発生部68に対向する処理容器32の反対側には、この内部雰囲気を真空排気するために処理容器32の側壁を、例えば上下方向へ削りとることによって形成した細長い排気口70が設けられている。
具体的には、上記プラズマ発生部68は、上記処理容器32の側壁を上下方向に沿って所定の幅で削りとることによって上下に細長い開口72を形成し、この開口72をその外側より覆うようにして断面凹部状になされた上下に細長い例えば石英製のプラズマ区画壁74を容器外壁に気密に溶接接合することにより形成されている。これにより、この処理容器32の側壁の一部を凹部状に外側へ窪ませることにより一側が処理容器32内へ開口されて連通されたプラズマ発生部68が形成されることになる。すなわちプラズマ発生部68の内部空間は、上記処理容器32内に一体的に連通された状態となっている。上記開口72は、ウエハボート40に保持されている全てのウエハWを高さ方向においてカバーできるように上下方向に十分に長く形成されている。
【0022】
そして、上記プラズマ区画壁74の両側壁の外側面には、その長さ方向(上下方向)に沿って互いに対向するようにして細長い一対のプラズマ電極76が設けられると共に、このプラズマ電極76にはプラズマ発生用の高周波電源78が給電ライン80を介して接続されており、上記プラズマ電極76に例えば13.56MHzの高周波電圧を印加することによりプラズマを発生し得るようになっている(図4参照)。尚、この高周波電圧の周波数は13.56MHzに限定されず、他の周波数、例えば400kHz等を用いてもよい。
【0023】
そして、上記処理容器32内を上方向に延びていくプラズマガス分散ノズル62は途中で処理容器32の半径方向外方へ屈曲されて、上記プラズマ発生部68内の一番奥(処理容器32の中心より一番離れた部分)に位置され、この一番奥の部分に沿って上方に向けて起立させて設けられている。この場合、このプラズマガス分散ノズル62は、図3にも示すように、上記一対の対向するプラズマ電極76に挟まれた領域、すなわち主たるプラズマが実際に発生するプラズマ発生領域PSよりも外側へ離れた位置に設置されている。従って、上記プラズマガス分散ノズル62のガス噴射孔62Aから噴射されたアンモニアガスは上記プラズマ発生領域PSに向けて噴射されて、ここで分解、或いは活性化されて処理容器32の中心に向けて拡散しつつ流れるようになっている。
【0024】
ここで図3に示すように上記開口72の幅L1は例えば5〜10mm程度、プラズマ発生部68内の半径方向の長さL2は例えば60mm程度、プラズマ電極76の幅L3は例えば20mm程度、上記プラズマ電極76と上記プラズマガス分散ノズル62との間の距離L4は例えば20mm程度である。また、処理容器32及びプラズマ区画壁74の厚さはそれぞれ5mm程度である。
そして上記プラズマ区画壁74の外側には、これを覆うようにして例えば石英よりなる絶縁保護カバー82が取り付けられている。また、この絶縁保護カバー82の内側であって上記プラズマ電極76と対向する部分には、冷媒通路84よりなる冷却機構86が設けられており、この冷媒通路84に、冷媒として例えば冷却された窒素ガスを流すことにより上記プラズマ電極76を冷却し得るようになっている。尚、この絶縁保護カバー82の外側には、これを覆って高周波の漏洩を防ぐために図示しないシールドが設けられる。
【0025】
そして上記プラズマ発生部68の開口72の外側近傍、すなわち開口72の外側(処理容器32内)の両側には、上記2本の非プラズマ分散ノズル64が起立させて設けられており、これに設けた各ガス噴射孔64Aより処理容器32の中心方向に向けてシラン系ガスを噴射し得るようになっている。
一方、上記プラズマ発生部68に対向させて設けた排気口70には、これを覆うようにして石英よりなる断面コ字状に成形された排気口カバー部材90が溶接により取り付けられている。この排気カバー部材90は、上記処理容器32の側壁に沿って上方に延びており、処理容器32の上方のガス出口92より図示しない真空ポンプ等を介設した真空排気系により真空引きされる。そして、この処理容器32の外周を囲むようにしてこの処理容器32及びこの内部のウエハWを加熱する筒体状の加熱手段94が設けられている。また上記排気口70の近傍には、加熱手段94の温度制御用の熱電対96(図2参照)が設けられる。
【0026】
次に、以上のように構成されたプラズマ処理装置を用いて行なわれるプラズマ処理方法について説明する。ここではプラズマ処理として、ウエハ表面にプラズマCVDによりシリコン窒化膜を形成する場合を例にとって説明する。
まず、常温の多数枚、例えば50枚の300mmサイズのウエハWが載置された状態のウエハボート40を予め所定の温度になされた処理容器32内にその下方より上昇させてロードし、蓋部46でマニホールド36の下端開口部を閉じることにより容器内を密閉する。
そして処理容器32内を真空引きして所定のプロセス圧力に維持すると共に、加熱手段94への供給電力を増大させることにより、ウエハ温度を上昇させてプロセス温度を維持し、各種の処理ガスをプラズマガス供給手段58及び非プラズマガス供給手段60からそれぞれ交互に間欠的に供給して回転しているウエハボート40に支持されているウエハWの表面にシリコン窒化膜を形成する。
【0027】
具体的には、NH3 ガスはプラズマ発生部68内に設けたプラズマガス分散ノズル62の各ガス噴射孔62Aから水平方向へ噴射され、また、HCDガスは非プラズマガス分散ノズル64の各ガス噴射孔64Aから水平方向へ噴射され、両ガスが反応してシリコン窒化膜が形成される。この場合、上記両ガスは、連続的に供給されるのではなく、図5に示すように互いにタイミングをずらして、間に真空引きして容器内に残留するガスを排除するパージ期間96を挟んで交互に間欠的に繰り返し供給され、シリコン窒化膜の薄膜を一層ずつ繰り返し積層する。この場合、HCDガスの供給期間T1は5分間程度、NH3 ガスの供給期間T2は2〜3分程度、パージ期間96の長さT3は2分程度であるが、これらの各時間は単に一例を示したに過ぎず、この数値に限定されない。尚、ここでパージとは、N2 ガスなどの不活性ガスを処理容器内に流すこと、または/および真空排気により処理容器内の残留ガスを除去することを意味する。
【0028】
上記プラズマガス分散ノズル62のガス噴射孔62Aより噴出されたNH3 ガスは、高周波電圧が印加されているプラズマ電極76間のプラズマ発生領域PS(図3参照)に流れ込み、ここでプラズマ化されて活性化し、例えばN*、NH*、NH2 *、NH3 *(記号*はラジカルであることを示す)等のラジカル(活性種)を発生させる。このラジカルはプラズマ発生部68の開口72より処理容器32内の中心方向に向けて放出されて拡散して、ウエハWの相互間に層流状態で流れて行くことになる。
【0029】
そして、上記各ラジカルはウエハWの表面に付着しているHCDガスの分子と反応してシリコン窒化膜を形成することになる。尚、ウエハWの表面にラジカルが付着しているところにHCDガスが流れてきた時にも上記と同様にシリコン窒化膜が形成されることになる。このプラズマCVDでのプロセス条件の一例は、プロセス温度が300〜600℃程度の範囲内、プロセス圧力が1333Pa(10Torr)以下、NH3 の流量が3000sccm以下、HCDガスの流量が10〜80sccm程度の範囲内である。そして、成膜レートは略0.2nm/min程度である。
【0030】
ここで図9及び図10に示したような従来のプラズマ処理装置にあっては、ガスノズルのガス孔やラジカルガス導入口においてホローカソード放電が発生していたが、本実施例ではプラズマ発生部68内は、上記処理容器32内に対して比較的幅広の開口72を介して連通されて一体的になされているので、この部分でホローカソード放電が発生することを防止することができる。また同様に、プラズマガス分散ノズル62はプラズマ電極76、或いはプラズマ発生領域PSから例えば所定の距離L4(図3参照)だけ離れているので、比較的にホローカソード放電が発生し易い上記プラズマガス分散ノズル62のガス噴射孔62Aの近傍においても、ホローカソード放電が発生することを防止することができる。従って、ホローカソード放電によって石英製のプラズマ分散ノズル62や処理容器32の壁面等がスパッタされることがないので、石英材料のパーティクルが発生することも阻止することができる。
【0031】
またプラズマはプラズマ発生部68内で局所的に発生するので、このプラズマがウエハWまで届くことはなく、従ってウエハWにプラズマによるダメージが発生することを防止することができる。
また上述のように、プラズマ発生部68内で局部的に発生したラジカルは、比較的に幅広の開口72を介してウエハW側に供給されるので、従来のプラズマ処理装置のようなリモートプラズマ型の処理装置とは異なり、ラジカルが消滅することなく、すなわち失活することなくこのラジカルをウエハW側に供給することができ、プラズマ処理効率を向上させることができる。
【0032】
更にはプラズマ電極76で発生した熱は、冷却機構86によって冷却されるので、ここでの発熱がウエハWの温度コントロールに悪影響を及ぼすことも防止することができる。更には、ウエハ温度のコントロール用の熱電対96(図2参照)は、上記プラズマ電極76から遠く離れた位置に設けられているので、この熱電対96の出力信号に高周波によるノイズが侵入することもなく、この点よりもウエハWの温度コントロールを精度良く行うことができる。
上記実施例ではシラン系ガスとしてHCDガスを用いたがこれに限定されず、他のシラン系ガスを用いてもよい。他のシラン系ガスとしては、例えばモノシラン[SiH4 ]、ジシラン[Si26 ]、ジクロロシラン(DCS)、ヘキサメチルジシラザン(HMDS)、テトラクロロシラン(TCS)、ジシリルアミン(DSA)、トリシリルアミン(TSA)、ビスターシャルブチルアミノシラン(BTBAS)等も用いることができる。
【0033】
また上記実施例ではプラズマ発生部68の開口72の幅L1は固定的であったが、プロセスの種類、或いはプロセス条件によっては、この開口72の幅L1を小さく設定したい場合や、或いは逆に大きく設定したい場合が生ずる。そこで、この開口72の幅L1を予定される最大の幅と同じ、或いはそれより予めかなり大きく設定しておき、そして異なる幅のスリットが形成された複数のスリット板を予め用意しておき、これを上記開口72に着脱可能に設けるようにしてもよい。図6は上記したようなスリット板の一例を示す斜視図、図7はスリット板を取り付けたプラズマ発生部の開口の部分を示す概略横断面図である。
【0034】
図6及び図7に示すように、このスリット板100は、比較的薄い、例えば厚さが3mm程度の例えば石英板により形成されており、中央部にその長手方向に沿って幅広の貫通孔よりなるガス流通スリット102が形成されている。そして、このスリット板100の両側には、この部分を斜めにテーパ状に成形して取り付けテーパ面104が形成されている。そして、上記開口72を区画する処理容器32側の区画壁の両側端面には、上記取り付けテーパ面104と嵌合するように断面三角形状の嵌合凹部106が形成されており、この嵌合凹部106に上記スリット板100の取り付けテーパ面104を嵌合させて、このスリット板100を上下方向へスライド移動させることにより、上述のように着脱可能に取り付け固定している。そして、上記ガス流通スリット102の横幅L1aが種々異なるように形成した複数種類のスリット板100を予め用意しており、プロセス条件等に応じて最適化された横幅L1aを有するスリット幅100を着脱可能に取り付けるようにする。
【0035】
これによれば、ホローカソード放電の発生を抑制できるのは勿論のこと、プロセス条件やプロセスの種類に応じてガス流通スリットの幅が最適化されたスリット板を適宜選択的に用いることができるので、発生したプラズマがウエハWの表面まで漏れ出ることを確実に防止することができ、従って、ウエハWがプラズマによりダメージを受けることを確実に阻止することができる。
【0036】
ここで本発明においてホローカソード放電が生じないような条件について検討を行ったので、その検討結果について説明する。
一般に、平行平板型のプラズマ電極間に高周波電圧を印加し、このプラズマ電極間の圧力を変化させると放電開始電圧も変化する。この時のプラズマ電極間の電圧Pと放電開始電圧Eとの関係は、一般的に図8に示すような関係となる。すなわち図8に示す特性曲線は下に凸となる極小値を有する特性となり、圧力Pbで電圧は極小値となる。
今、振幅Ep(実効値E)、角周波数ωの高周波の電界中に置かれた電子を考える。電極間の圧力がPのとき、電子と中性粒子との衝突周波数をνとすると、電子の運動方程式は以下のようになる。
e ・dV/dt=e・√2exp(iωt)−me νV
e :電子の質量、V:電子の運動速度、e:電子の電荷
【0037】
上記式より電子の運動速度Vを求めると、以下の式のようになる。
V={e√2E/me (iω+ν)}exp(iωt)
電子群が高周波電界から単位時間当たりに獲得する平均エネルギーWは、電子密度をne とすると以下の式のようになる。
W=Re[{−(ene V)*√2exp(iωt)}/2]
=(ne2 /me ν){ν2 /(ν2 +ω2 )}E2
=(ne2 /me ){ν/(ν2 +ω2 )}E2
尚、”Re”は[ ]の実数部をとることを表す。また”( )*”は( )の共役複素数を表す。
【0038】
ここでK=ν/(ν2 +ω2 )とすると、Kが最大の時、放電開始電圧Eは最小となる。その条件はω≒νの時に満足する。
この時のプラズマ発生部68内である電極間圧力PをP2とする(図3参照)。また、プラズマガス分散ノズル62内の圧力をP1、開口72の外側(処理容器32内)の圧力をP3とする。
電極間圧力Pが高くなり、ω>>νとなると、K=ν/(ν2 +ω2 )≒1となり、放電開始電圧Eは増大する。従って、このような条件になるようにプラズマガス分散ノズル62内の圧力P1と、これとプラズマ電極76との間の距離L4(図3参照)を設定し、これによりプラズマ分散ノズル62の内部で放電が発生しないようにし、この結果、ガス噴射孔62Aでのホローカソード放電が発生することを防止する。また電極間の圧力PがP2よりも小さいとき、ω<<νとなれば、W∝νE2 となる。従って、ウエハWの周辺の圧力P3を以下に示すような条件に設定することにより、ホローカソード放電が発生することを確実に防止することができる。
P3<P2<P1
【0039】
すなわち、上記条件を満足するように例えば前記開口72の幅L1やガス流通スリット102の幅L1aの値が決定される。
また以上の実施例では、シリコン窒化膜をプラズマCVDにより成膜する場合を例にとって説明したが、他の異なる膜種をプラズマCVDにより形成するようにしてもよい。また、プラズマCVD処理に限定されず、他の処理、例えばプラズマエッチング処理、プラズマアッシング処理、プラズマ洗浄(クリーニング)処理等を行うようにしてもよく、この場合、使用するガス種が増加するならば、更に別のガス分散ノズルを設けるようにすればよい。また各ガス分散ノズルからは、必要とする処理ガス(プラズマ化ガス及び非プラズマ化ガス)を同時に供給して混合ガス状態としてもよい。この場合、開口72の出口近傍に非プラズマ分散ノズル64を設けることにより、プラズマ化ガスにより形成されたラジカルと非プラズマ化ガスとを効率よく混合させることができる。
【0040】
シリコン基板よりなるウエハWの表面に部分的に、或いは全面的に形成されている自然酸化膜(SiO2 )を除去するクリーニング処理を行う場合には、プラズマ化ガスと非プラズマ化ガスとを同時に供給して両ガスを混合させる。この場合、プラズマガス分散ノズル62から噴射されるプラズマ化ガスとしては、例えば水素と窒素との混合ガス、或いはアンモニアガスを選択的に用いることができる。また、非プラズマガス分散ノズル64から噴射される非プラズマ化ガスとしては例えば三フッ化窒素(NF3 )ガス等を用いることができる。またこのプラズマクリーニング処理は、処理容器32内や内部構造物のクリーニング時にも使用することができる。
【0041】
また更には、本発明は有機系絶縁膜の誘電率改善プラズマ処理にも適用することができる。例えば塗布法[SOG(Spin On Glass)法]、或いはCVD法で形成した低誘電率の層間絶縁膜であって、MSQ(Metyl Silses Queoxane)系、HSQ(Hydrogen SilsesQueoxane)系等の有機系絶縁膜を、加熱焼成する代わりに本発明のプラズマ処理装置により、例えば水素又はアンモニアガスをプラズマ化してプラズマ処理することもできる。この場合、水素ガスのプラズマ化によりその活性種を上記有機系絶縁膜に30分間プラズマ処理を行ったところ、その誘電率は初期に2.55であったものを2.40まで改善することができた。
また、被処理体としては、半導体ウエハに限定されず、ガラス基板やLCD基板等にも、本発明を適用することができる。
【0042】
【発明の効果】
以上説明したように、本発明のプラズマ処理装置によれば、次のように優れた作用効果を発揮することができる。
本発明によれば、プラズマが発生するプラズマ発生部を処理容器内に対して開口させて連通状態としてそこにスリット板を設けるようにすることにより、ホローカソード放電の発生を抑制すると共に、プラズマによるスパッタ等の発生も抑制することができる。
また、プラズマガス分散ノズルをプラズマ電極のプラズマ発生領域よりも離れた位置に設けているので、このプラズマガス分散ノズルがプラズマによりスパッタされたり、或いはこれにホローカソード放電が発生したりすることを、一層抑制することができる。
また、プロセス条件に応じてガス流通スリットの幅が最適化したスリット板を用いることができるので、発生したプラズマが被処理体の表面まで漏れ出ることを防止でき、従って、被処理体がプラズマによりダメージを受けることを確実に阻止することができる。
【図面の簡単な説明】
【図1】本発明の係るプラズマ処理装置の一例を示す縦断面構成図である。
【図2】プラズマ処理装置(加熱手段は省略)を示す横断面構成図である。
【図3】図2中のA部を示す部分拡大図である。
【図4】プラズマ電極の取り付け状態を示す斜視図である。
【図5】各種のガスの供給のタイミングを示すタイミングチャートである。
【図6】スリット板の一例を示す斜視図である。
【図7】スリット板を取り付けたプラズマ発生部の開口の部分を示す概略横断面図である。
【図8】平行平板型のプラズマ電極間の電圧と放電開始電圧との関係を示すグラフである。
【図9】従来のプラズマ処理装置の一例を示す概略横断面図である。
【図10】従来のプラズマ処理装置の他の一例を示す概略横断面図である。
【符号の説明】
30 プラズマ処理装置
32 処理容器
40 ウエハボート(被処理体支持手段)
58 プラズマガス供給手段
60 非プラズマガス供給手段
62 プラズマガス分散ノズル
62A ガス噴射孔
64 非プラズマガス分散ノズル
68 プラズマ発生部
70 排気口
72 開口
76 プラズマ電極
78 高周波電源
86 冷却機構
94 加熱手段
96 パージ期間
100 スリット板
102 ガス流通スリット
PS プラズマ発生領域
W 半導体ウエハ(被処理体)

Claims (11)

  1. 被処理体に対して所定のプラズマ処理を施すプラズマ処理装置において、
    真空引き可能になされた縦型の筒体状の処理容器と、
    複数の前記被処理体を多段に保持して前記処理容器内へ挿脱される被処理体保持手段と、
    前記処理容器の側壁の一部を凹部状に外側へ窪ませることにより一側が前記処理容器内に開口されて連通されると共に、前記処理容器の高さ方向に沿って設けられたプラズマ発生部と、
    前記プラズマ発生部に、その長さ方向に沿って設けられてプラズマ発生用の高周波電圧が印加されるべく対向して配置されるプラズマ電極と、
    前記プラズマ発生部内にプラズマ化されるプラズマ化ガスを供給するプラズマガス供給手段と、
    前記処理容器の外周に設けられる加熱手段とを備え、
    前記プラズマ発生部の開口に、その長さ方向に形成されたガス流通スリットを有するスリット板を設けるように構成したことを特徴とするプラズマ処理装置。
  2. 前記スリット板は、前記開口に着脱可能に設けられることを特徴とする請求項1記載のプラズマ処理装置。
  3. 前記プラズマ発生部に対向する前記処理容器の反対側の壁面には排気口が形成されていることを特徴とする請求項1又は2記載のプラズマ処理装置。
  4. 前記プラズマ発生部には、冷却機構が設けられることを特徴とする請求項1乃至3のいずれか一項に記載のプラズマ処理装置。
  5. 前記処理容器内へプラズマ化されない非プラズマ化ガスを供給する非プラズマガス供給手段が設けられることを特徴とする請求項1乃至4のいずれか一項に記載のプラズマ処理装置。
  6. 前記非プラズマガス供給手段は、長さ方向に沿って複数のガス噴射孔が間隔を隔てて形成された非プラズマガス分散ノズルを有していることを特徴とする請求項5記載のプラズマ処理装置。
  7. 前記非プラズマガス分散ノズルは、前記開口の外側に設置されていることを特徴とする請求項6記載のプラズマ処理装置。
  8. 前記プラズマ化ガスはアンモニアガスであり、前記非プラズマ化ガスはシラン系ガスであり、プラズマCVD処理によりシリコン窒化膜(SiN)を形成することを特徴とする請求項5乃至7のいずれか一項に記載のプラズマ処理装置。
  9. 前記アンモニアガスと前記シラン系ガスは、間にパージ期間を挟んで交互に間欠的に供給されることを特徴とする請求項8記載のプラズマ処理装置。
  10. 前記プラズマ化ガスは、水素と窒素の混合ガス、またはアンモニアガスであり、前記非プラズマ化ガスはエッチングガスであり、前記被処理体の表面に形成されている自然酸化膜を除去するプラズマ処理を行うことを特徴とする請求項5乃至7のいずれか一項に記載のプラズマ処理装置。
  11. 前記エッチングガスは、三フッ化窒素ガスであることを特徴とする請求項10記載のプラズマ処理装置。
JP2003141045A 2003-05-19 2003-05-19 プラズマ処理装置 Expired - Fee Related JP4329403B2 (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP2003141045A JP4329403B2 (ja) 2003-05-19 2003-05-19 プラズマ処理装置
TW093114123A TW200501213A (en) 2003-05-19 2004-05-19 Plasma processing apparatus
EP04733924A EP1638139A4 (en) 2003-05-19 2004-05-19 PLASMA PROCESSING DEVICE
KR1020057017068A KR100856654B1 (ko) 2003-05-19 2004-05-19 플라즈마 처리 장치
PCT/JP2004/006738 WO2004102650A1 (ja) 2003-05-19 2004-05-19 プラズマ処理装置
CNB2004800135982A CN100524641C (zh) 2003-05-19 2004-05-19 等离子体处理装置
US10/557,146 US20070137572A1 (en) 2003-05-19 2004-05-19 Plasma processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003141045A JP4329403B2 (ja) 2003-05-19 2003-05-19 プラズマ処理装置

Publications (2)

Publication Number Publication Date
JP2004343017A JP2004343017A (ja) 2004-12-02
JP4329403B2 true JP4329403B2 (ja) 2009-09-09

Family

ID=33447429

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003141045A Expired - Fee Related JP4329403B2 (ja) 2003-05-19 2003-05-19 プラズマ処理装置

Country Status (7)

Country Link
US (1) US20070137572A1 (ja)
EP (1) EP1638139A4 (ja)
JP (1) JP4329403B2 (ja)
KR (1) KR100856654B1 (ja)
CN (1) CN100524641C (ja)
TW (1) TW200501213A (ja)
WO (1) WO2004102650A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190135430A (ko) 2018-05-28 2019-12-06 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001156065A (ja) * 1999-11-24 2001-06-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
JP4495471B2 (ja) * 2004-01-13 2010-07-07 三星電子株式会社 エッチング方法
JP4987220B2 (ja) * 2004-01-13 2012-07-25 三星電子株式会社 エッチング装置
JP4495472B2 (ja) * 2004-01-13 2010-07-07 三星電子株式会社 エッチング方法
JP4495470B2 (ja) * 2004-01-13 2010-07-07 三星電子株式会社 エッチング方法
JP4987219B2 (ja) * 2004-01-13 2012-07-25 三星電子株式会社 エッチング装置
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
JP4475136B2 (ja) 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
JP4506677B2 (ja) * 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4228150B2 (ja) * 2005-03-23 2009-02-25 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US8039049B2 (en) 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
US7405168B2 (en) 2005-09-30 2008-07-29 Tokyo Electron Limited Plural treatment step process for treating dielectric films
JP4426518B2 (ja) * 2005-10-11 2010-03-03 東京エレクトロン株式会社 処理装置
US7387968B2 (en) * 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
KR100745130B1 (ko) * 2006-02-09 2007-08-01 삼성전자주식회사 박막 증착 장치 및 방법
US20070240644A1 (en) 2006-03-24 2007-10-18 Hiroyuki Matsuura Vertical plasma processing apparatus for semiconductor process
JP4245012B2 (ja) * 2006-07-13 2009-03-25 東京エレクトロン株式会社 処理装置及びこのクリーニング方法
JP4916257B2 (ja) * 2006-09-06 2012-04-11 東京エレクトロン株式会社 酸化膜の形成方法、酸化膜の形成装置及びプログラム
JP4793306B2 (ja) * 2007-03-30 2011-10-12 東京エレクトロン株式会社 プラズマ処理方法及び記憶媒体
JP5568212B2 (ja) * 2007-09-19 2014-08-06 株式会社日立国際電気 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法
JP4918453B2 (ja) * 2007-10-11 2012-04-18 東京エレクトロン株式会社 ガス供給装置及び薄膜形成装置
KR20090087190A (ko) * 2008-02-12 2009-08-17 삼성전자주식회사 반도체 제조설비 그를 이용한 반도체 제조방법
KR101046335B1 (ko) 2008-07-29 2011-07-05 피에스케이 주식회사 할로우 캐소드 플라즈마 발생방법 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리방법
JP5423205B2 (ja) 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5056735B2 (ja) 2008-12-02 2012-10-24 東京エレクトロン株式会社 成膜装置
WO2010137397A1 (ja) * 2009-05-26 2010-12-02 シャープ株式会社 プラズマ処理装置およびそのクリーニング方法
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
TWI474365B (zh) * 2010-08-25 2015-02-21 Canon Anelva Corp And a method of manufacturing the plasma processing apparatus and apparatus
JP5247781B2 (ja) * 2010-09-07 2013-07-24 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
KR20120040433A (ko) * 2010-10-19 2012-04-27 삼성전자주식회사 가스 분출 장치 및 이를 이용한 태양 전지의 제조 방법
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
JP5821039B2 (ja) * 2011-11-07 2015-11-24 パナソニックIpマネジメント株式会社 プラズマ処理装置
JP5993154B2 (ja) * 2012-01-20 2016-09-14 東京エレクトロン株式会社 パーティクル低減方法
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
DE102012024340A1 (de) * 2012-12-13 2014-06-18 Oerlikon Trading Ag, Trübbach Plasmaquelle
JP6113626B2 (ja) 2013-10-21 2017-04-12 東京エレクトロン株式会社 プラズマ処理装置
CN103695839B (zh) * 2013-12-07 2016-05-18 深圳市金凯新瑞光电有限公司 一种应用在镀膜设备中的离子源清洗装置
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
JPWO2016024586A1 (ja) * 2014-08-13 2017-07-20 国立研究開発法人産業技術総合研究所 金属材料の処理装置
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US20180240684A1 (en) * 2015-09-30 2018-08-23 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
CN106937475A (zh) * 2015-12-31 2017-07-07 中微半导体设备(上海)有限公司 等离子体处理装置
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
FI129609B (en) * 2020-01-10 2022-05-31 Picosun Oy SUBSTRATE PROCESSING EQUIPMENT
KR102371771B1 (ko) * 2020-06-02 2022-03-07 주식회사 한화 배치 타입 보트 장치
KR102371772B1 (ko) * 2020-06-02 2022-03-07 주식회사 한화 기판의 양면 처리 장치
JP7455013B2 (ja) 2020-07-10 2024-03-25 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP7433154B2 (ja) 2020-07-16 2024-02-19 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR102442458B1 (ko) * 2020-12-14 2022-09-14 주식회사 한화 복수 전극 전기 인가 장치
JP2024007904A (ja) 2022-07-06 2024-01-19 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62159433A (ja) 1986-01-08 1987-07-15 Hitachi Ltd レジスト除去方法及び装置
US4976920A (en) * 1987-07-14 1990-12-11 Adir Jacob Process for dry sterilization of medical devices and materials
JPH05251391A (ja) * 1992-03-04 1993-09-28 Tokyo Electron Tohoku Kk 半導体ウエハーのプラズマ処理装置
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
JPH06272035A (ja) * 1993-03-16 1994-09-27 Nippon Steel Corp プラズマ処理装置
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
JP2001284307A (ja) * 2000-03-29 2001-10-12 Ftl:Kk 半導体の表面処理方法
JP3979849B2 (ja) * 2001-01-11 2007-09-19 株式会社日立国際電気 プラズマ処理装置および半導体装置の製造方法
JP3954833B2 (ja) * 2001-10-19 2007-08-08 株式会社アルバック バッチ式真空処理装置
JP3957549B2 (ja) * 2002-04-05 2007-08-15 株式会社日立国際電気 基板処埋装置
JP2004039795A (ja) * 2002-07-02 2004-02-05 Hitachi Kokusai Electric Inc 基板処理装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190135430A (ko) 2018-05-28 2019-12-06 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
US11355320B2 (en) 2018-05-28 2022-06-07 Tokyo Electron Limited Plasma processing apparatus and method for plasma processing

Also Published As

Publication number Publication date
EP1638139A4 (en) 2008-09-17
CN1791972A (zh) 2006-06-21
WO2004102650A1 (ja) 2004-11-25
JP2004343017A (ja) 2004-12-02
EP1638139A1 (en) 2006-03-22
TW200501213A (en) 2005-01-01
TWI325600B (ja) 2010-06-01
CN100524641C (zh) 2009-08-05
KR20060007375A (ko) 2006-01-24
US20070137572A1 (en) 2007-06-21
KR100856654B1 (ko) 2008-09-04

Similar Documents

Publication Publication Date Title
JP4329403B2 (ja) プラズマ処理装置
JP4382750B2 (ja) 被処理基板上にシリコン窒化膜を形成するcvd方法
US20190074163A1 (en) Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
JP4228150B2 (ja) 成膜装置、成膜方法及び記憶媒体
US7392759B2 (en) Remote plasma apparatus for processing substrate with two types of gases
JP4470970B2 (ja) プラズマ処理装置
US20030119328A1 (en) Plasma processing apparatus, and cleaning method therefor
JP5887962B2 (ja) 成膜装置
US10224185B2 (en) Substrate processing apparatus
JP2003045864A (ja) 基板処理装置
JP2009170557A (ja) 成膜方法及び成膜装置
CN101106075A (zh) 半导体处理的成膜装置和此装置的使用方法
JP2014053136A (ja) 大気圧プラズマ処理装置
JP2006279058A (ja) 熱処理装置および半導体装置の製造方法
JP5228437B2 (ja) 処理装置及びその使用方法
JP2006073913A (ja) プラズマ処理装置
JPH07335563A (ja) プラズマcvd装置
JP3259453B2 (ja) プラズマcvd装置に用いる電極及びプラズマcvd装置
JPH09223672A (ja) プラズマ処理方法及び装置
JPH0892746A (ja) プラズマ化学蒸着方法及び装置
JPH0891987A (ja) プラズマ化学蒸着装置
US20230187180A1 (en) Substrate Processing Apparatus, Substrate Processing Method, Method of Manufacturing Semiconductor Device and Non-transitory Computer-readable Recording Medium
JPH08139037A (ja) 気相反応装置
JP3261795B2 (ja) プラズマ処理装置
TW202342811A (zh) 批次型基底處理裝置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051201

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080812

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081007

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090303

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090422

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090526

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090608

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120626

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120626

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150626

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees