KR100856654B1 - 플라즈마 처리 장치 - Google Patents

플라즈마 처리 장치 Download PDF

Info

Publication number
KR100856654B1
KR100856654B1 KR1020057017068A KR20057017068A KR100856654B1 KR 100856654 B1 KR100856654 B1 KR 100856654B1 KR 1020057017068 A KR1020057017068 A KR 1020057017068A KR 20057017068 A KR20057017068 A KR 20057017068A KR 100856654 B1 KR100856654 B1 KR 100856654B1
Authority
KR
South Korea
Prior art keywords
plasma
gas
processing container
processing apparatus
plasma gas
Prior art date
Application number
KR1020057017068A
Other languages
English (en)
Other versions
KR20060007375A (ko
Inventor
히로유끼 마쯔우라
히또시 가또
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20060007375A publication Critical patent/KR20060007375A/ko
Application granted granted Critical
Publication of KR100856654B1 publication Critical patent/KR100856654B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • ing And Chemical Polishing (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

본 발명은 종형 플라즈마 처리 장치에 있어서, 래디컬의 이용 효율을 저하시키지 않고, 피처리체의 플라즈마에 의한 손상을 방지하고, 또한 홀로 캐소드 방전 및 플라즈마에 의한 스패터의 발생을 억제하는 것을 목적으로 한다. 처리 용기(32)의 측벽의 내면의 일부에 상하 방향으로 연장되는 오목부(74)가 마련된다. 오목부(74) 속에 배치된 플라즈마 가스 노즐(62)로부터 토출된 플라즈마 가스는 오목부(74) 속에 있어서의 플라즈마 전극(76) 사이의 영역(PS)에서 플라즈마화되어 피처리체(W)를 향해 오목부(74)를 나온다.
플라즈마 처리 장치, 플라즈마 가스 노즐, 홀로 캐소드 방전, 반도체 웨이퍼, 고주파 전원

Description

플라즈마 처리 장치{PLASMA PROCESSING DEVICE}
본 발명은 반도체 웨이퍼 등의 피처리체에 대해 비교적 저온에서 플라즈마 처리를 실시하기 위한 플라즈마 처리 장치에 관한 것이다.
일반적으로, 반도체 집적 회로를 제조하기 위해서는 실리콘 기판으로 이루어지는 반도체 웨이퍼에 대해 성막 처리, 에칭 처리, 산화 처리, 확산 처리, 개질 처리, 자연 산화막의 제거 처리 등의 각종 처리가 행해진다. 이들 처리를 종형의, 소위 배치식 열처리 장치에서 행하는 경우에는, 우선 웨이퍼를 복수매, 예를 들어 25매 수용할 수 있는 카세트로부터 웨이퍼를 종형의 웨이퍼 보트로 이동 적재하여 상기 웨이퍼 보트에 다단으로 지지시킨다. 웨이퍼 보트는 웨이퍼 사이즈에도 의하지만, 30 내지 150매 정도의 웨이퍼를 적재할 수 있다. 배기 가능한 처리 용기 내에 그 하방으로부터 웨이퍼 보트가 삽입된 후, 처리 용기 내가 기밀하게 유지된다. 그리고, 처리 가스의 유량, 프로세스 압력, 프로세스 온도 등의 각종 프로세스 조건을 제어하면서 소정의 열처리가 웨이퍼에 실시된다.
그런데, 최근의 반도체 집적 회로의 한층 고집적화 및 고미세화의 요구에 수반하여 회로 소자의 특성의 향상의 관점으로부터 반도체 집적 회로의 제조 공정에 있어서의 열이력(履歷)을 저감시키는 것이 기대되고 있다. 이와 같은 상황 하에 있어서, 배치식 종형 처리 장치에 있어서도 웨이퍼를 고온에 노출시키지 않아도 목적으로 하는 처리가 가능한 플라즈마 처리가 제안되어 있다.
도9는 JP3-224222A에 개시된 플라즈마 처리 장치의 구성을 개략적으로 도시하는 횡단면도이다. 이 플라즈마 처리 장치에서는 진공화 가능한 원통형의 처리 용기(2)의 측벽의 외측에 직경 방향에 대향 배치된 2쌍의 전극(4, 6)이 설치되어 있다. 한쪽 쌍의 전극(4)에 플라즈마 발생용 고주파 전원(8)이 접속되고, 다른쪽 쌍의 전극(6)은 접지되어 있다. 전극(4, 6) 사이에 고주파 전압을 인가함으로써, 처리 용기(2) 내의 전체에 플라즈마를 발생시킬 수 있다. 반도체 웨이퍼(W)는 처리 용기(2) 내의 중앙부에 있어서 다단으로 지지된다. 처리 용기(2)의 한쪽의 측에 플라즈마 발생용 가스를 공급하는 가스 노즐(10)이 배치되어 있다. 웨이퍼(W)는 처리 용기(2)의 외주에 마련된 히터(12)에 의해 소정의 온도로 유지되면서 플라즈마 처리된다.
도10은 JP5-251391A 및 JP2002-280378A에 개시된 플라즈마 처리 장치의 구성을 개략적으로 도시하는 종단면도이다. 이 플라즈마 처리 장치는, 소위 리모트 플라즈마 방식의 것으로, 진공화 가능한 종형의 처리 용기 내의 격리된 영역 혹은 처리 용기의 외측의 영역에서 플라즈마를 발생시켜 생성된 래디컬을 웨이퍼에 대해 공급하도록 구성되어 있다. 도10에 도시하는 구성에서는 원통형의 처리 용기(14)의 측벽의 외측에 플라즈마 발생 용기(18)가 설치되고, 그 안에는 고주파 전압이 인가되는 전극(16)과, 프로세스 가스 공급관(20)이 설치되어 있다. 플라즈마 발생 용기(18) 내에서 발생한 래디컬은 처리 용기(14)의 측벽에 다수 형성된 직경이 작 은 래디컬 공급구(24)를 거쳐서 처리 용기(14) 내의 웨이퍼(W)에 공급되고, 이에 의해 플라즈마 처리가 행해진다.
도9 및 도10에 도시하는 플라즈마 처리 장치는 모두 플라즈마를 이용하고 있으므로 프로세스 온도가 비교적 낮아도 원하는 처리가 가능하다는 이점을 갖고 있다. 그러나, 이들 종래 장치에는 이하에 도시하는 바와 같은 문제점이 있다. 즉, 도9에 도시하는 플라즈마 처리 장치에서는 웨이퍼(W) 자체가 플라즈마에 직접적으로 노출되므로, 웨이퍼 표면이 플라즈마에 의해 큰 손상을 받는다. 또한, 처리 용기(2)의 주위에 설치된 전극(4, 6)이 다량의 열을 발생하므로, 전극(4, 6)의 외측의 히터(12)에 의한 웨이퍼의 온도 제어 정밀도가 저하된다.
또한, 석영제의 가스 노즐(10)이 전극(4, 6) 사이에 발생하는 전계 내에 위치하므로, 가스 노즐(10)이 플라즈마에 의해 스패터되어 회로 소자의 불량 원인이 되는 파티클이 발생한다. 또는, 스패터에 의해 분해된 불순물 성분이 웨이퍼(W) 상의 퇴적막 중에 취입된다. 또는, 플라즈마 가스나 처리 가스를 공급하는 가스 노즐(10)의 소직경의 가스 구멍(10A)의 부분에 큰 압력차가 생기기 때문에, 소위 홀로 캐소드 방전이 발생하여 석영제의 가스 노즐(10)이 스패터되고, 그 결과, 상술한 문제와 같은 문제가 발생한다.
도10에 도시하는 플라즈마 처리 장치에서는 플라즈마 발생 용기(18) 내에서 래디컬을 발생시키고, 이 래디컬을 처리 용기(14)와 플라즈마 발생 용기(18)를 구획하는 구획벽에 설치한 다수의 소직경의 래디컬 공급구(24)를 통해 웨이퍼(W)에 공급하는 리모트 방식을 채용하고 있다. 이로 인해, 발생한 래디컬의 일부는 웨이 퍼(W)에 도달하기 전에 실활(失活)하여 웨이퍼(W) 주변에 충분한 래디컬 농도를 확보할 수 없다는 문제가 있다. 또는, 래디컬 공급구(24)가 전극(16)에 가깝기 때문에, 래디컬 공급구(24)의 부분에서 홀로 캐소드 방전이 발생하여 석영제의 용기 측벽을 스패터하는 문제도 있다.
따라서, 본 발명의 목적은 웨이퍼의 손상을 방지하면서 발생시킨 래디컬을 유효하게 이용할 수 있는 플라즈마 처리 장치를 제공하는 것이다.
본 발명의 다른 목적은 홀로 캐소드 방전의 발생을 억제하는 동시에, 플라즈마에 의한 스패터 등의 발생도 억제하는 것이 가능한 플라즈마 처리 장치를 제공하는 것이다.
상기 목적을 달성하기 위해, 본 발명은 피처리체에 대해 소정의 플라즈마 처리를 실시하는 플라즈마 처리 장치에 있어서, 진공화 가능하게 이루어진 통형의 종형 처리 용기와, 상기 처리 용기 내에서 복수의 피처리체를 다단으로 보유 지지하는 피처리체 보유 지지 수단과, 상기 처리 용기의 외측에 설치된 히터와, 상기 처리 용기 내에 플라즈마화되는 플라즈마 가스를 공급하는 플라즈마 가스 노즐과, 상기 플라즈마 가스를 플라즈마화하기 위해 설치되어 고주파 전압이 인가되는 대향 배치된 플라즈마 전극을 구비하고, 상기 처리 용기의 측벽의 내면의 일부에 상하 방향으로 연장되는 오목부가 마련되어 있고, 상기 플라즈마 가스 노즐은 상기 오목부의 바닥부로부터 피처리체를 향해 플라즈마 가스를 토출하도록 배치되어 있고, 상기 플라즈마 전극은 상기 플라즈마 가스 노즐로부터 토출된 플라즈마 가스가 상기 오목부 속에서 플라즈마화되는 위치에 배치되어 있는 것을 특징으로 하는 플라즈마 처리 장치를 제공한다.
가장 적절한 일실시 형태에 있어서, 상기 처리 용기의 상기 오목부에 대향하는 측벽에 배기구가 형성되어 있다.
가장 적절한 일실시 형태에 있어서, 상기 오목부 또는 그 근방에 상기 플라즈마 전극이 발생한 열을 냉각하는 냉각 장치가 설치되어 있다.
가장 적절한 일실시 형태에 있어서, 상기 플라즈마 가스 노즐은 길이 방향을 따라서 복수의 가스 분사 구멍이 형성된 관형상 부재로 이루어진다.
가장 적절한 일실시 형태에 있어서, 상기 플라즈마 가스 노즐은 홀로 캐소드 방전이 생기지 않도록 상기 플라즈마 전극에 협지된 플라즈마 발생 영역으로부터 충분히 떨어진 위치에 배치되어 있다.
가장 적절한 일실시 형태에 있어서, 상기 오목부의 출구 부분에 상기 오목부의 출구 개구 면적을 결정하는 슬릿을 갖는 슬릿판이 착탈 가능하게 설치되어 있다.
가장 적절한 일실시 형태에 있어서, 상기 처리 용기 내로 플라즈마화되지 않은 비플라즈마 가스를 공급하는 비플라즈마 가스 노즐이 더 설치되어 있다. 상기 비플라즈마 가스 공급 노즐은 길이 방향을 따라서 복수의 가스 분사 구멍이 형성된 관형상 부재로 구성할 수 있다. 또한, 바람직하게는, 상기 비플라즈마 가스 노즐은 상기 오목부의 외측이며 또한 상기 오목부의 입구의 근방에 배치된다.
일실시 형태에 있어서, 상기 플라즈마 가스는 암모니아 가스이고, 상기 비플라즈마 가스는 실란계 가스이고, 이 플라즈마 처리 장치에 의해 행해지는 처리가 플라즈마 CVD 처리에 의해 실리콘 질화막(SiN)을 형성하는 처리이다. 상기 암모니아 가스와 상기 실란계 가스는 사이에 퍼지 기간을 협지하여 교대로 간헐적으로 공급할 수 있다.
일실시 형태에 있어서, 상기 플라즈마 가스는 수소와 질소의 혼합 가스, 또는 암모니아 가스이고, 상기 비플라즈마 가스는 에칭 가스이고, 이 플라즈마 처리 장치에 의해 행해지는 처리가 피처리체의 표면에 형성되어 있는 자연 산화막을 제거하는 플라즈마 처리이다. 상기 에칭 가스는 3불화질소 가스로 할 수 있다.
도1은 본 발명에 관한 플라즈마 처리 장치의 일실시 형태를 나타내는 종단면도이다.
도2는 도1에 도시하는 플라즈마 처리 장치의 구성을 도시하는 횡단면도이다.
도3은 도2 중 A부를 확대하여 도시하는 도면이다.
도4는 플라즈마 전극의 배치를 도시하는 사시도이다.
도5는 처리 가스의 공급의 타이밍을 도시하는 흐름도이다.
도6은 슬릿판의 일예를 나타내는 사시도이다.
도7은 슬릿판을 부착한 플라즈마 발생부의 개구의 부분을 도시하는 횡단면도이다.
도8은 평행 평판형의 플라즈마 전극 사이의 전압과 방전 개시 전압의 관계를 나타내는 그래프이다.
도9는 종래의 플라즈마 처리 장치의 일예를 개략적으로 나타내는 횡단면도이다.
도10은 종래의 플라즈마 처리 장치의 다른 일예를 개략적으로 나타내는 횡단면도이다.
이하에, 본 발명에 의한 플라즈마 처리 장치의 일실시 형태를 첨부 도면을 기초로 하여 상세하게 서술한다. 도1은 플라즈마 처리 장치의 종단면도, 도2는 플라즈마 처리 장치(히터의 표시는 생략)를 도시하는 횡단면도, 도3은 도2 중 A부를 도시하는 확대도, 도4는 플라즈마 전극의 배치를 도시하는 사시도, 도5는 프로세스 가스의 공급의 타이밍을 도시하는 흐름도이다. 이하에 있어서는 플라즈마화되는 가스(이하,「플라즈마 가스」라 칭함)로서 암모니아 가스를 이용하고, 플라즈마화되지 않는 가스(이하,「비플라즈마 가스」라 칭함)로서 헥사클로로실란(이하「HCD」라고도 칭함) 가스를 이용하여 플라즈마 CVD에 의해 실리콘 질화막(SiN)을 성막하는 경우를 예로 들어 설명한다.
플라즈마 처리 장치(30)는 하단부가 개구된 천정이 있는 원통형의 처리 용기(32)를 갖고 있다. 이 처리 용기(32)의 전체는 석영에 의해 형성되어 있고, 처리 용기(32) 내의 상부는 석영제의 천정판(34)에 의해 밀봉되어 있다. 처리 용기(32)의 하단부 개구부에는 스테인레스 스틸제의 원통형의 매니폴드(36)가 O링 등의 밀봉 부재(38)를 거쳐서 연결되어 있다. 처리 용기(32)의 하단부는 매니폴드(36)에 의해 지지되어 있다. 매니폴드(36)의 하방으로부터 다수매의 반도체 웨이퍼(W)(즉 피처리체)를 다단으로 적재한 석영제의 웨이퍼 보트(40)(즉 피처리체 보유 지지 수단)를 처리 용기(32) 내에 삽입할 수 있다. 전형적인 실시 형태에 있어서는, 웨이퍼 보트(40)의 지주(40A)는 직경이 300 ㎜인 30매의 웨이퍼(W)를 대략 등간격으로 다단으로 지지할 수 있도록 구성되어 있다.
웨이퍼 보트(40)는 석영제의 보온통(42)을 거쳐서 테이블(44) 상에 적재된다. 테이블(44)은 매니폴드(36)의 하단부 개구부를 개폐하는 스테인레스 스틸제의 덮개(46)를 관통하는 회전축(48) 상에 지지된다. 덮개(46)와 회전축(48) 사이에는 자성 유체 밀봉(50)이 개설되어 있고, 밀봉(50)은 회전축(48)을 기밀하게 밀봉하면서 회전 가능하게 지지하고 있다. 또한, 덮개(46)의 주변부와 매니폴드(36)의 하단부와의 사이에는 O링 등의 밀봉 부재(52)가 개설되어 처리 용기(32) 내의 기밀성을 보유 지지하고 있다. 회전축(48)은 보트 엘리베이터 등의 승강 기구(54)에 지지된 아암(56)의 선단부에 부착되어 있다. 따라서, 웨이퍼 보트(40)는 이것과 연결되는 덮개(46) 등의 부재와 함께 일체적으로 승강하여 처리 용기(32)에 삽입 및 이탈된다. 또한, 테이블(44)은 덮개(46)에 고정해도 좋고, 이 경우에는 웨이퍼 보트(40)를 회전시키지 않고 웨이퍼(W)의 처리가 행해진다.
매니폴드(36)에는 처리 용기(32) 내의 측으로 플라즈마 가스[본 예에서는 암모니아(NH3)가스]를 공급하는 플라즈마 가스 공급 수단(58)과, 비플라즈마 가스(본 예에서는 실란계 가스로서 HCD 가스)를 공급하는 비플라즈마 가스 공급 수단(60)이 마련된다. 플라즈마 가스 공급 수단(58)은 플라즈마 가스를 공급하기 위한 노즐로 서, 석영관으로 이루어지는 플라즈마 가스 분산 노즐(62)을 갖고 있다. 노즐(62)을 이루는 석영관은 매니폴드(36)의 측벽을 처리 용기(32) 내를 향해 수평으로 관통한 후에 굴곡하여 상방으로 연장되어 있다. 플라즈마 가스 분산 노즐(62)에는 그 길이 방향을 따라서 복수의 가스 분사 구멍(62A)이 소정 간격으로 형성되어 있고, 각 가스 분사 구멍(62A)으로부터 수평 방향을 향해 대략 균일하게 암모니아 가스를 분사할 수 있도록 되어 있다. 가스 분사 구멍(62A)의 직경은, 예를 들어 0.4 ㎜ 정도이다.
비플라즈마 가스 공급 수단(60)은 비플라즈마 가스를 공급하기 위한 노즐로서, 석영관으로 이루어지는 비플라즈마 가스 분산 노즐(64)을 갖고 있다. 이 노즐(64)을 이루는 석영관은 매니폴드(36)의 측벽을 처리 용기(32) 내로 수평으로 관통한 후에 굴곡하여 상방으로 연장되어 있다. 나타낸 실시 형태에 있어서는, 비플라즈마 가스 분산 노즐(64)은 2개 설치되어 있다(도2 및 도3 참조). 각 비플라즈마 가스 분산 노즐(64)에는 그 길이 방향을 따라서 복수(다수)의 가스 분사 구멍(64A)이 소정의 간격을 두고 형성되어 있고, 각 가스 분사 구멍(64A)으로부터 수평 방향을 향해 대략 균일하게 실란계 가스를 분사할 수 있도록 되어 있다. 2개의 비플라즈마 가스 분산 노즐(64)을 마련하는 것 대신에, 1개만 비플라즈마 가스 분산 노즐(64)을 마련해도 좋다.
처리 용기(32)의 측벽의 일부에는 그 높이 방향을 따라서 본 발명의 특징으로 하는 플라즈마 발생부(68)가 설치되어 있다. 플라즈마 발생부(68)와 반대측의 처리 용기(32)의 측벽에는 처리 용기(32)의 내부 분위기를 진공 배기하기 위해, 가 늘고 긴 배기구(70)가 설치되어 있다. 배기구(70)는 처리 용기(32)의 측벽을 상하 방향으로 깎음으로써 형성할 수 있다.
플라즈마 발생부(68)의 형성 시에는 처리 용기(32)의 측벽을 상하 방향을 따라서 소정의 폭으로 깎음으로써 상하로 가늘고 긴 개구(72)가 형성된다. 이 개구(72)를 덮도록 처리 용기(32)의 측벽의 외측에 처리 용기(32)측이 개방되는 동시에 상하 방향에 가늘고 긴 내부 공간을 갖는 석영제의 커버(74)[즉, 플라즈마실 벽(74)]가 기밀하게 용접 접합된다. 이에 의해, 처리 용기(32)의 측벽의 내면의 일부에 상하 방향으로 연장되는 오목부가 형성된다. 전술한 개구(72)는 이 오목부의 출구이다. 플라즈마실 벽(74) 및 개구(72)보다 안측의 플라즈마실 벽(74)에 의해 둘러싸인 공간은 플라즈마 발생부(68)로서 파악할 수 있다. 또한, 개구(72)는 웨이퍼 보트(40)에 보유 지지되어 있는 모든 웨이퍼(W)를 높이 방향에 있어서 커버할 수 있도록 상하 방향으로 충분히 길게 형성되어 있다. 개구(72)는 그 상단부로부터 하단부까지 도중에 끊기지 않고 연속적으로 상하로 연장되어 있다.
플라즈마실 벽(74)의 양측벽의 외측면 상에 서로 대향하여 상하 방향으로 연장되는 가늘고 긴 한 쌍의 플라즈마 전극(76)이 설치되어 있다. 플라즈마 전극(76)에는 플라즈마 발생용 고주파 전원(78)이 전력 공급 라인(80)을 거쳐서 접속되어 있고, 플라즈마 전극(76)에, 예를 들어 13.56 ㎒의 고주파 전압을 인가함으로써 플라즈마를 발생할 수 있도록 되어 있다(도4 참조). 고주파 전압의 주파수는 13.56 ㎒로 한정되지 않고, 다른 주파수, 예를 들어 400 ㎑라도 좋다.
처리 용기(32) 내를 상방향으로 연장하는 플라즈마 가스 분산 노즐(62)은 도 중에 처리 용기(32)의 반경 방향 외측으로 굴곡되어 플라즈마 발생부(68) 내의 가장 안측[처리 용기(32)의 중심으로부터 가장 떨어진 부분]을 상방을 향해 연장한다. 특히 도3에 도시한 바와 같이, 이 플라즈마 가스 분산 노즐(62)은 한 쌍의 플라즈마 전극(76)에 협지된 영역, 즉 주된 플라즈마가 실제로 발생하는 플라즈마 발생 영역(PS)으로부터 외측으로 떨어진 위치에 설치되어 있다. 따라서, 플라즈마 가스 분산 노즐(62)의 가스 분사 구멍(62A)으로부터 분사된 암모니아 가스는 플라즈마 발생 영역(PS)으로 들어가고, 그래서 분해 또는 활성화되어 처리 용기(32)의 중심을 향해 확산하면서 흐른다.
예시적인 실시 형태에 있어서, 개구(72)의 폭(L1)은 5 내지 10 ㎜, 플라즈마 발생부(68)의 반경 방향의 길이(깊이)(L2)는 60 ㎜, 플라즈마 전극(76)의 폭(L3)은 20 ㎜, 플라즈마 전극(76)과 플라즈마 가스 분산 노즐(62) 사이의 거리(L4)는 20 ㎜이다(도3을 참조). 또한, 처리 용기(32) 및 플라즈마실 벽(74)의 두께는 각각 5㎜이다.
플라즈마실 벽(74)의 외측은 석영제의 절연 보호 커버(82)에 의해 덮여 있다. 플라즈마 전극(76)의 배면에 대응하는 위치에 있어서, 절연 보호 커버(82)에는 냉매 통로(84)로 이루어지는 냉각 장치(86)가 설치되어 있다. 냉매 통로(84)에 냉매로서, 예를 들어 냉각된 질소 가스를 흐르게 함으로써, 플라즈마 전극(76)을 냉각할 수 있다. 절연 보호 커버(82)의 외측은 고주파의 누설을 방지하기 위해 도시하지 않은 실드에 의해 덮여 있다.
플라즈마 발생부(68)의 외측[처리 용기(32) 내측]에 있어서 개구(72)의 근방 은 전술한 2개의 비플라즈마 가스 분산 노즐(64)이 상하 방향으로 연장되어 있다. 노즐(64)의 각 가스 분사 구멍(64A)으로부터 처리 용기(32)의 중심 방향을 향해 실란계 가스를 분사할 수 있다.
플라즈마 발생부(68)의 반대측에 마련된 배기구(70)를 덮도록 "]"(각괄호)형 단면의 배기구 커버 부재(90)가 용접에 의해 처리 용기(32)에 부착되어 있다. 배기 커버 부재(90)는 처리 용기(32)의 측벽을 따라서 상방으로 연장되어 있다. 처리 용기(32)는, 도시하지 않은 진공 펌프를 구비한 진공 배기계에 의해 배기구(70) 및 처리 용기(32)의 상방의 가스 출구(92)를 거쳐서 진공화할 수 있다. 통형상의 히터(94)가 처리 용기(32) 및 그 내부의 웨이퍼(W)를 가열하기 위해, 처리 용기(32)의 외측에서 처리 용기(32)를 둘러싸고 있다. 배기구(70)의 근방에는 히터(94)의 온도 제어용 열전대(96)(도2 참조)가 설치된다.
다음에, 상술한 플라즈마 처리 장치를 이용하여 행해지는 플라즈마 처리에 대해 설명한다. 여기서는 플라즈마 처리로서 웨이퍼 표면에 플라즈마 CVD(chemical vapor deposition)에 의해 실리콘 질화막을 형성하는 경우를 예로 들어 설명한다. 우선, 다수매, 예를 들어 50매의 직경 300 ㎜의 상온의 웨이퍼(W)가 적재된 웨이퍼 보트(40)를 미리 소정의 온도로 승온된 처리 용기(32) 내에 그 하방으로부터 상승시켜 삽입하고, 덮개(46)로 매니폴드(36)의 하단부 개구부를 폐쇄함으로써 처리 용기(32)를 밀폐한다. 그리고 처리 용기(32) 내를 진공화하여 소정의 프로세스 압력으로 유지하는 동시에, 히터(94)로의 공급 전력을 증대시킴으로써 웨이퍼 온도를 상승시켜 소정의 프로세스 온도로 유지한다. 각종 처리 가스를 플라 즈마 가스 공급 수단(58) 및 비플라즈마 가스 공급 수단(60)으로부터 각각 교대로 간헐적으로 공급하여 회전하고 있는 웨이퍼 보트(40)에 지지되어 있는 웨이퍼(W)의 표면에 실리콘 질화막을 형성한다.
상세하게는, NH3 가스는 플라즈마 발생부(68) 내에 마련한 플라즈마 가스 분산 노즐(62)의 각 가스 분사 구멍(62A)으로부터 수평 방향으로 분사되고, 또한 HCD 가스는 비플라즈마 가스 분산 노즐(64)의 각 가스 분사 구멍(64A)으로부터 수평 방향으로 분사되어 양 가스가 반응하여 실리콘 질화막이 형성된다. 이 경우, 상기한 양 가스는 연속적으로 공급되는 것은 아니고, 도5에 도시한 바와 같이 타이밍을 어긋나게 하여 교대로 간헐적으로 반복해서 공급되고, 이에 의해 실리콘 질화막의 박막이 한층씩 반복해서 적층된다. NH3 가스의 공급 기간(T1)과 HCD 가스의 공급 기간(T2) 사이에는 처리 용기 내에 잔류하는 가스를 배제하는 퍼지 기간(96)(T3)이 설정된다. 전형적인 일실시 형태에 있어서, HCD 가스의 공급 기간(T1)은 약 5분, NH3 가스의 공급 기간(T2)은 약 2 내지 3분, 퍼지 기간(T3)은 약 2분이다. 퍼지는 N2 가스 등의 불활성 가스를 처리 용기 내로 흐르게 함으로써 이것 대신에, 혹은 이것에다가 처리 용기 내를 진공 흡입함으로써 실행된다. 나타낸 실시 형태에 있어서, 퍼지는 진공 흡입에 의해 행해진다.
플라즈마 가스 분산 노즐(62)의 가스 분사 구멍(62A)으로부터 분출된 NH3 가스는 고주파 전압이 인가되어 있는 플라즈마 전극(76) 사이의 플라즈마 발생 영역 (PS)(도3 참조)으로 유입하고, 여기서 플라즈마화되어 활성화되어 N*, NH*, NH2*, NH3*(기호 *는 래디컬인 것을 나타냄) 등의 래디컬(활성종)을 발생시킨다. 이들 래디컬은 개구(72)로부터 플라즈마 발생부(68)를 나와 처리 용기(32) 내의 중심 방향을 향해 확산하여 인접하는 웨이퍼(W) 사이를 층류 상태로 흘러간다.
각 래디컬은 웨이퍼(W)의 표면에 부착되어 있는 HCD 가스의 분자와 반응하여 실리콘 질화막을 형성한다. 또한, 래디컬이 부착되어 있는 웨이퍼(W)의 표면에 HCD 가스가 공급된 경우도 마찬가지로 실리콘 질화막이 형성된다. 이 플라즈마 CVD 처리에 있어서의 프로세스 조건은, 예를 들어 프로세스 온도가 300 내지 600 ℃, 프로세스 압력이 1333 ㎩(10 Torr) 이하, NH3의 유량이 5000 sccm 이하, HCD 가스의 유량이 10 내지 80 sccm이다. 그리고, 성막 속도는 약 0.2 ㎚/분 정도이다.
도9 및 도10에 도시한 바와 같은 종래의 플라즈마 처리 장치에서는 가스 노즐의 가스 구멍이나 래디컬 가스 도입구에 있어서 홀로 캐소드 방전이 발생한다. 그러나, 본 실시 형태에서는 플라즈마 발생부(68)(즉 오목부의 내부 공간)는 처리 용기(32)의 처리부[처리 용기(32)의 플라즈마 발생부(68) 이외의 내부 공간을 의미함]와 충분히 큰 개구 면적을 가진 개구(72)를 거쳐서 연통되어 있다. 따라서, 가스의 유동 방향에 관하여 개구(72)의 전후에서 가스의 급격한 압력 변화가 생기지 않는다. 환언하면, 오목부의 출구가 되는 개구(72)의 근방에서「교축 효과」가 발생하지 않는다. 이로 인해, 개구(72) 근방에서 홀로 캐소드 방전이 발생하는 것을 방지할 수 있다. 또한, 플라즈마 가스 분산 노즐(62)은, 플라즈마 전극(76) 혹은 플라즈마 발생 영역(PS)으로부터 소정의 거리(L4)(도3 참조)만큼 떨어져 있다. 이로 인해, 비교적 홀로 캐소드 방전이 발생하기 쉬운 플라즈마 가스 분산 노즐(62)의 가스 분사 구멍(62A)의 근방에 있어서도 홀로 캐소드 방전이 발생하는 것을 방지할 수 있다. 따라서, 홀로 캐소드 방전에 의해 석영제의 플라즈마 분산 노즐(62)이나 처리 용기(32)의 벽면이 스패터되는 일이 없으므로, 석영 재료에 유래되는 파티클이 발생하는 것도 저지할 수 있다.
또한 플라즈마는 플라즈마 발생부(68) 내에서 국소적으로 발생하므로, 이 플라즈마가 웨이퍼(W)까지 도달하는 일은 없고, 따라서 웨이퍼(W)에 플라즈마에 의한 손상이 발생하는 것을 방지할 수 있다. 또한 플라즈마 발생부(68) 내에서 발생한 래디컬은 충분히 큰 개구 면적을 가진 개구(72)를 거쳐서 웨이퍼(W)측으로 공급되므로, 종래의 리모트 플라즈마형의 처리 장치와는 달리, 래디컬을 소멸, 즉 실활시키지 않고 웨이퍼(W)측으로 공급할 수 있어, 플라즈마 처리 효율을 향상시킬 수 있다.
또한, 플라즈마 전극(76)에서 발생한 열은 냉각 장치(86)에 의해 냉각되므로, 플라즈마 전극(76)에서의 발열이 웨이퍼(W)의 온도 제어에 악영향을 미치는 것을 방지할 수 있다. 또한, 웨이퍼 온도의 제어용 열전대(96)(도2 참조)는 플라즈마 전극(76)으로부터 멀리 떨어진 위치에 있으므로, 열전대(96)의 출력 신호에 고주파 소음이 침입하지 않고, 웨이퍼(W)의 온도 제어를 정밀도 좋게 행할 수 있다.
상술한 실시 형태에 있어서는 실란계 가스로서 HCD 가스를 이용하였지만, 이것으로 한정되는 것은 아니고, 다른 실란계 가스를 이용해도 좋다. 다른 실란계 가스로서는, 예를 들어 모노실란[SiH4], 디실란[Si2H6], 디클로로실란(DCS), 헥사메틸디실라잔(HMDS), 테트라클로로실란(TCS), 디시릴아민(DSA), 트리시릴아민(TSA), 비스터셜부틸아미노실란(BTBAS) 등을 이용할 수 있다.
상술한 실시 형태에 있어서는, 플라즈마 발생부(68)의 개구(72)의 폭(L1)[플라즈마 발생부(68), 즉 오목부의 출구 개구폭]은 고정되어 있지만, 프로세스의 종류, 혹은 프로세스 조건에 따라서는 이 출구 개구폭을 변경하는 것이 바람직한 경우가 있다. 출구 개구폭을 간단하게 변경 가능하게 하기 위해, 충분히 큰 사이즈의 개구(72)를 처리 용기(32)에 형성하여 이 개구(72)에 슬릿판을 착탈 가능하게 설치하는 구성이 고려된다. 서로 다른 폭의 슬릿이 형성된 복수의 슬릿판을 준비하면, 슬릿판을 교환함으로써 출구 개구폭을 간단하게 변경할 수 있다.
도6은 상술한 슬릿판의 일예를 나타내는 사시도, 도7은 슬릿판을 부착한 플라즈마 발생부(68)의 개구의 부분을 도시하는 개략 횡단면도이다. 슬릿판(100)은 비교적 얇은(예를 들어 두께가 3 ㎜ 정도) 석영판으로 이루어진다. 슬릿판(100)의 중앙부에는 슬릿판(100)의 상하 방향으로 연장되는 폭이 넓은 관통 구멍 형태의 가스 유통 슬릿(102)이 형성되어 있다. 슬릿판(100)의 양측에는 부착용 테이퍼면(104)이 형성되어 있다. 처리 용기(32)의 개구(72) 부분에는 테이퍼면(104)과 끼워 맞추어지는 단면 삼각형의 오목부(106)가 형성되어 있다. 오목부(106)에 테이퍼면(104)을 끼워 맞추어 슬릿판(100)을 상하 방향으로 슬라이드시킴으로써 슬릿판(100)을 착탈 가능하게 고정할 수 있다. 가스 유통 슬릿(102)의 횡폭(L1a)이 다른 복수의 슬릿판(100)이 미리 준비되어 프로세스 조건 등에 따라서 최적의 횡폭(L1a)의 슬릿(102)을 갖는 슬릿판(100)이 선택된다.
최적의 슬릿판(100)을 선택함으로써, 홀로 캐소드 방전의 발생을 억제할 수 있을 뿐만 아니라, 발생한 플라즈마가 웨이퍼(W)에 도달하는 것을 보다 효과적으로 방지할 수 있고, 따라서 웨이퍼(W)가 플라즈마에 의해 손상을 받는 것을 방지할 수 있다.
이하에, 홀로 캐소드 방전이 생기지 않는 조건에 대해 검토한 결과에 대해 설명한다. 평행하게 배치된 평판형의 플라즈마 전극 사이에 고주파 전압을 인가하였을 때, 플라즈마 전극 사이의 압력을 변화시키면 방전 개시 전압도 변화된다. 이 때의 플라즈마 전극 사이의 전압(P)과 방전 개시 전압(E)의 관계는 일반적으로 도8에 도시한 바와 같은 관계가 된다. 즉 도8에 도시하는 특성 곡선은 아래로 볼록한 곡선이고, 압력(Pb)에서 방전 개시 전압은 극소치를 취한다.
여기서, 진폭(Ep)[실효치(E)], 각 주파수(ω)의 고주파의 전계 중에 놓여진 전자를 생각한다. 전극 사이의 압력이 P일 때, 전자와 중성 입자의 충돌 주파수를 ν로 하면, 전자의 운동 방정식은 이하와 같이 된다.
meㆍdV/dt = eㆍ√2exp(iωt) - meν V
단, me는 전자의 질량, V는 전자의 운동 속도, e는 전자의 전하이다.
상기 식으로부터 전자의 연동 속도(V)를 구하면 이하의 식과 같이 된다.
V = {e√2Eme(iω + ν)}exp(iωt)
전자군이 고주파 전계로부터 단위 시간당 획득하는 평균 에너지(W)는 전자 밀도를 ne로 하면 이하의 식과 같이 된다.
W = Re[{-(eneV)*√2exp(iωt)}/2]
=(nee2/meν){ν2/(ν2 + ω2)}E2
= (nee2/me){ν/(ν2 + ω2)}E2
단, "Re"는 [ ] 내의 실수부를 취하는 것을 의미한다. 또한 "()*"는 () 내의 공역 복소수를 의미한다.
여기서 K = ν/(ν2 + ω2)로 하면, K가 최대일 때, 방전 개시 전압(E)은 최소가 된다. 그 조건은 ω ≒ ν일 때에 만족한다.
이 때의 플라즈마 발생부(68) 내의 전극간 압력(P)을 P2로 한다(도3 참조). 또한, 플라즈마 가스 분산 노즐(62) 내의 압력을 P1, 플라즈마 발생부(68)의 외측[처리 용기(32) 내측]의 압력을 P3으로 한다.
전극간 압력(P)이 높아져 ω ≫ ν로 하면, K = ν/(ν2 + ω2) ≒ 1이 되고, 방전 개시 전압(E)은 증대된다. 따라서, 이와 같은 조건이 되도록 플라즈마 가스 분산 노즐(62) 내의 압력(P1)과, 노즐(62)과 플라즈마 전극(76) 사이의 거리(L4)(도3 참조)를 설정하여, 이에 의해 플라즈마 분산 노즐(62)의 내부에서 방전이 발생하지 않도록 하고, 그 결과로서, 가스 분사 구멍(62A)에서의 홀로 캐소드 방전 이 발생하는 것을 방지한다. 또한, 전극간 압력 P가 P2보다도 작을 때, ω ≪ ν가 되면, W ∝ νE2가 된다. 따라서, 웨이퍼(W)의 주변의 압력(P3)을「P3 < P2 < P1」로 함으로써 홀로 캐소드 방전의 발생을 방지할 수 있다. 즉, 상기 조건을 만족시키도록 개구(72)의 폭(L1)이나 가스 유통 슬릿(102)의 폭(L1a)의 값 등이 결정된다.
상술한 실시 형태에서는 실리콘 질화막을 플라즈마 CVD에 의해 성막하는 경우를 예로 들어 설명하였지만, 다른 종류의 막을 플라즈마 CVD에 의해 형성하도록 해도 좋다. 또한, 상술한 플라즈마 처리 장치에 의해 실시되는 처리는 플라즈마 CVD 처리로 한정되지 않고, 다른 처리, 예를 들어 플라즈마 에칭 처리, 플라즈마 애싱 처리, 플라즈마 세정(클리닝) 처리라도 좋다. 이 경우, 사용하는 가스의 종류가 증가하면, 또 다른 가스 분산 노즐을 설치하면 된다. 또한 각 가스 분산 노즐로부터 필요한 처리 가스(플라즈마 가스 및 비플라즈마 가스)를 동시에 공급하여 혼합 가스에 의해 처리를 행해도 좋다. 이 경우도 개구(72)의 출구 근방에 비플라즈마 분산 노즐(64)을 설치함으로써, 플라즈마 가스에 의해 형성된 래디컬과 비플라즈마 가스를 효율적으로 혼합할 수 있다.
실리콘 기판으로 이루어지는 웨이퍼(W)의 표면에 부분적으로, 혹은 전면적으로 형성되어 있는 자연 산화막(SiO2)을 제거하는 클리닝 처리를 행하는 경우에는 플라즈마 가스와 비플라즈마 가스를 동시에 공급하여 양 가스를 혼합한다. 이 경우, 플라즈마 가스 분산 노즐(62)로부터 분사되는 플라즈마 가스로서는, 예를 들어 수 소와 질소의 혼합 가스, 또는 암모니아 가스를 이용할 수 있다. 또한, 비플라즈마 가스 분산 노즐(64)로부터 분사되는 비플라즈마 가스로서는, 예를 들어 3불화질소(NF3) 가스를 이용할 수 있다. 또한 이 플라즈마 클리닝 처리는 처리 용기(32) 내 벽면이나 처리 용기(32) 내의 구조물의 클리닝 시에도 사용할 수 있다.
또한, 본 발명에 관한 플라즈마 처리 장치는 유기계 절연막의 유전률 개선 플라즈마 처리에도 적용할 수 있다. 도포법[SOG(Spin On Glass)법] 또는 CVD법으로 형성한 MSQ(Methyl Silsequioxane)계, HSQ(Hydrogen Silsequioxane)계 등의 저유전률의 유기계 층간 절연막을 가열 소성하는 대신에, 본 발명에 의한 플라즈마 처리 장치를 이용하여 수소 또는 암모니아 가스의 플라즈마를 이용하여 플라즈마 처리할 수도 있다. 수소 가스의 플라즈마(활성종)에 의해 상기한 유기계 절연막에 대해 30분간의 플라즈마 처리를 행한 후, 상기 절연막의 유전률을 처리 전의 2.55로부터 처리 후의 2.40 까지 개선할 수 있었다. 또한, 피처리체는 반도체 웨이퍼에 한정되지 않고, 글래스 기판이나 LCD 기판 등의 다른 기판이라도 좋다.

Claims (13)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 피처리체에 대해 소정의 플라즈마 처리를 실시하는 플라즈마 처리 장치에 있어서,
    진공화 가능하게 이루어진 통형의 종형 처리 용기와,
    상기 처리 용기 내에서 복수의 피처리체를 다단으로 보유 지지하는 피처리체 보유 지지 수단과,
    상기 처리 용기의 외측에 설치된 히터와,
    상기 처리 용기 내에 플라즈마화되는 플라즈마 가스를 공급하는 플라즈마 가스 노즐과,
    상기 플라즈마 가스를 플라즈마화하기 위해 설치되어 고주파 전압이 인가되는 대향 배치된 플라즈마 전극을 구비하고,
    상기 처리 용기의 측벽의 내면의 일부에 상하 방향으로 연장되는 오목부가 마련되어 있고,
    상기 플라즈마 가스 노즐은 상기 오목부의 바닥부로부터 피처리체를 향해 플라즈마 가스를 토출하도록 배치되어 있고,
    상기 플라즈마 전극은 상기 플라즈마 가스 노즐로부터 토출된 플라즈마 가스가 상기 오목부 속에서 플라즈마화되는 위치에 배치되어 있고,
    상기 오목부의 출구 부분에 상기 오목부의 출구 개구 면적을 결정하는 슬릿을 갖는 슬릿판이 착탈 가능하게 설치되어 있는 것을 특징으로 하는 플라즈마 처리 장치.
  7. 피처리체에 대해 소정의 플라즈마 처리를 실시하는 플라즈마 처리 장치에 있어서,
    진공화 가능하게 이루어진 통형의 종형 처리 용기와,
    상기 처리 용기 내에서 복수의 피처리체를 다단으로 보유 지지하는 피처리체 보유 지지 수단과,
    상기 처리 용기의 외측에 설치된 히터와,
    상기 처리 용기 내에 플라즈마화되는 플라즈마 가스를 공급하는 플라즈마 가스 노즐과,
    상기 플라즈마 가스를 플라즈마화하기 위해 설치되어 고주파 전압이 인가되는 대향 배치된 플라즈마 전극을 구비하고,
    상기 처리 용기의 측벽의 내면의 일부에 상하 방향으로 연장되는 오목부가 마련되어 있고,
    상기 플라즈마 가스 노즐은 상기 오목부의 바닥부로부터 피처리체를 향해 플라즈마 가스를 토출하도록 배치되어 있고,
    상기 플라즈마 전극은 상기 플라즈마 가스 노즐로부터 토출된 플라즈마 가스가 상기 오목부 속에서 플라즈마화되는 위치에 배치되어 있고,
    상기 처리 용기 내로 플라즈마화되지 않은 비플라즈마 가스를 공급하는 비플라즈마 가스 노즐을 더 구비한 것을 특징으로 하는 플라즈마 처리 장치.
  8. 제7항에 있어서, 상기 비플라즈마 가스 공급 노즐은 길이 방향을 따라서 복수의 가스 분사 구멍이 형성된 관형상 부재로 이루어지는 것을 특징으로 하는 플라즈마 처리 장치.
  9. 제8항에 있어서, 상기 비플라즈마 가스 노즐은 상기 오목부의 외측이며 또한 상기 오목부의 입구의 근방에 배치되어 있는 것을 특징으로 하는 플라즈마 처리 장치.
  10. 제7항에 있어서, 상기 플라즈마 가스는 암모니아 가스이고, 상기 비플라즈마 가스는 실란계 가스이고, 이 플라즈마 처리 장치에 의해 행해지는 처리가 플라즈마 CVD 처리에 의해 실리콘 질화막(SiN)을 형성하는 처리인 것을 특징으로 하는 플라즈마 처리 장치.
  11. 제10항에 있어서, 상기 암모니아 가스와 상기 실란계 가스가 사이에 퍼지 기간을 협지하여 교대로 간헐적으로 공급되도록 구성되어 있는 것을 특징으로 하는 플라즈마 처리 장치.
  12. 제7항에 있어서, 상기 플라즈마 가스는 수소와 질소의 혼합 가스, 또는 암모니아 가스이고, 상기 비플라즈마 가스는 에칭 가스이고, 이 플라즈마 처리 장치에 의해 행해지는 처리가 피처리체의 표면에 형성되어 있는 자연 산화막을 제거하는 플라즈마 처리인 것을 특징으로 하는 플라즈마 처리 장치.
  13. 제12항에 있어서, 상기 에칭 가스는 3불화질소 가스인 것을 특징으로 하는 플라즈마 처리 장치.
KR1020057017068A 2003-05-19 2004-05-19 플라즈마 처리 장치 KR100856654B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003141045A JP4329403B2 (ja) 2003-05-19 2003-05-19 プラズマ処理装置
JPJP-P-2003-00141045 2003-05-19

Publications (2)

Publication Number Publication Date
KR20060007375A KR20060007375A (ko) 2006-01-24
KR100856654B1 true KR100856654B1 (ko) 2008-09-04

Family

ID=33447429

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057017068A KR100856654B1 (ko) 2003-05-19 2004-05-19 플라즈마 처리 장치

Country Status (7)

Country Link
US (1) US20070137572A1 (ko)
EP (1) EP1638139A4 (ko)
JP (1) JP4329403B2 (ko)
KR (1) KR100856654B1 (ko)
CN (1) CN100524641C (ko)
TW (1) TW200501213A (ko)
WO (1) WO2004102650A1 (ko)

Families Citing this family (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001156065A (ja) * 1999-11-24 2001-06-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
JP4495471B2 (ja) * 2004-01-13 2010-07-07 三星電子株式会社 エッチング方法
JP4987219B2 (ja) * 2004-01-13 2012-07-25 三星電子株式会社 エッチング装置
JP4495472B2 (ja) * 2004-01-13 2010-07-07 三星電子株式会社 エッチング方法
JP4495470B2 (ja) * 2004-01-13 2010-07-07 三星電子株式会社 エッチング方法
JP4987220B2 (ja) * 2004-01-13 2012-07-25 三星電子株式会社 エッチング装置
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
JP4475136B2 (ja) 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
JP4506677B2 (ja) * 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4228150B2 (ja) * 2005-03-23 2009-02-25 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US7405168B2 (en) 2005-09-30 2008-07-29 Tokyo Electron Limited Plural treatment step process for treating dielectric films
US8039049B2 (en) 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
JP4426518B2 (ja) * 2005-10-11 2010-03-03 東京エレクトロン株式会社 処理装置
US7387968B2 (en) * 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
KR100745130B1 (ko) * 2006-02-09 2007-08-01 삼성전자주식회사 박막 증착 장치 및 방법
US20070240644A1 (en) * 2006-03-24 2007-10-18 Hiroyuki Matsuura Vertical plasma processing apparatus for semiconductor process
JP4245012B2 (ja) * 2006-07-13 2009-03-25 東京エレクトロン株式会社 処理装置及びこのクリーニング方法
JP4916257B2 (ja) * 2006-09-06 2012-04-11 東京エレクトロン株式会社 酸化膜の形成方法、酸化膜の形成装置及びプログラム
JP4793306B2 (ja) * 2007-03-30 2011-10-12 東京エレクトロン株式会社 プラズマ処理方法及び記憶媒体
JP5568212B2 (ja) * 2007-09-19 2014-08-06 株式会社日立国際電気 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法
JP4918453B2 (ja) * 2007-10-11 2012-04-18 東京エレクトロン株式会社 ガス供給装置及び薄膜形成装置
KR20090087190A (ko) * 2008-02-12 2009-08-17 삼성전자주식회사 반도체 제조설비 그를 이용한 반도체 제조방법
KR101046335B1 (ko) 2008-07-29 2011-07-05 피에스케이 주식회사 할로우 캐소드 플라즈마 발생방법 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리방법
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5056735B2 (ja) 2008-12-02 2012-10-24 東京エレクトロン株式会社 成膜装置
WO2010137397A1 (ja) * 2009-05-26 2010-12-02 シャープ株式会社 プラズマ処理装置およびそのクリーニング方法
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
TWI474365B (zh) * 2010-08-25 2015-02-21 Canon Anelva Corp And a method of manufacturing the plasma processing apparatus and apparatus
JP5247781B2 (ja) * 2010-09-07 2013-07-24 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
KR20120040433A (ko) * 2010-10-19 2012-04-27 삼성전자주식회사 가스 분출 장치 및 이를 이용한 태양 전지의 제조 방법
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
JP5821039B2 (ja) * 2011-11-07 2015-11-24 パナソニックIpマネジメント株式会社 プラズマ処理装置
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5993154B2 (ja) * 2012-01-20 2016-09-14 東京エレクトロン株式会社 パーティクル低減方法
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
DE102012024340A1 (de) * 2012-12-13 2014-06-18 Oerlikon Trading Ag, Trübbach Plasmaquelle
JP6113626B2 (ja) 2013-10-21 2017-04-12 東京エレクトロン株式会社 プラズマ処理装置
CN103695839B (zh) * 2013-12-07 2016-05-18 深圳市金凯新瑞光电有限公司 一种应用在镀膜设备中的离子源清洗装置
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US20170239730A1 (en) * 2014-08-13 2017-08-24 National Institute Of Advanced Industrial Science And Technology Processing device for metal materials
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
CN108028193B (zh) * 2015-09-30 2022-04-22 东京毅力科创株式会社 基板处理装置和基板处理方法
CN106937475A (zh) * 2015-12-31 2017-07-07 中微半导体设备(上海)有限公司 等离子体处理装置
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
JP6987021B2 (ja) * 2018-05-28 2021-12-22 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
FI129609B (en) * 2020-01-10 2022-05-31 Picosun Oy SUBSTRATE PROCESSING EQUIPMENT
KR102371771B1 (ko) * 2020-06-02 2022-03-07 주식회사 한화 배치 타입 보트 장치
KR102371772B1 (ko) * 2020-06-02 2022-03-07 주식회사 한화 기판의 양면 처리 장치
JP7455013B2 (ja) 2020-07-10 2024-03-25 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP7433154B2 (ja) 2020-07-16 2024-02-19 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR102442458B1 (ko) * 2020-12-14 2022-09-14 주식회사 한화 복수 전극 전기 인가 장치
JP2024007904A (ja) 2022-07-06 2024-01-19 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62159433A (ja) 1986-01-08 1987-07-15 Hitachi Ltd レジスト除去方法及び装置
JPH05251391A (ja) * 1992-03-04 1993-09-28 Tokyo Electron Tohoku Kk 半導体ウエハーのプラズマ処理装置
JP2002280378A (ja) 2001-01-11 2002-09-27 Hitachi Kokusai Electric Inc バッチ式リモートプラズマ処理装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4976920A (en) * 1987-07-14 1990-12-11 Adir Jacob Process for dry sterilization of medical devices and materials
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
JPH06272035A (ja) * 1993-03-16 1994-09-27 Nippon Steel Corp プラズマ処理装置
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
JP2001284307A (ja) * 2000-03-29 2001-10-12 Ftl:Kk 半導体の表面処理方法
JP3954833B2 (ja) * 2001-10-19 2007-08-08 株式会社アルバック バッチ式真空処理装置
JP3957549B2 (ja) * 2002-04-05 2007-08-15 株式会社日立国際電気 基板処埋装置
JP2004039795A (ja) * 2002-07-02 2004-02-05 Hitachi Kokusai Electric Inc 基板処理装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62159433A (ja) 1986-01-08 1987-07-15 Hitachi Ltd レジスト除去方法及び装置
JPH05251391A (ja) * 1992-03-04 1993-09-28 Tokyo Electron Tohoku Kk 半導体ウエハーのプラズマ処理装置
JP2002280378A (ja) 2001-01-11 2002-09-27 Hitachi Kokusai Electric Inc バッチ式リモートプラズマ処理装置

Also Published As

Publication number Publication date
WO2004102650A1 (ja) 2004-11-25
KR20060007375A (ko) 2006-01-24
US20070137572A1 (en) 2007-06-21
TW200501213A (en) 2005-01-01
EP1638139A1 (en) 2006-03-22
JP2004343017A (ja) 2004-12-02
TWI325600B (ko) 2010-06-01
EP1638139A4 (en) 2008-09-17
CN1791972A (zh) 2006-06-21
JP4329403B2 (ja) 2009-09-09
CN100524641C (zh) 2009-08-05

Similar Documents

Publication Publication Date Title
KR100856654B1 (ko) 플라즈마 처리 장치
KR100771800B1 (ko) 피처리 기판 상에 실리콘 질화막을 형성하는 cvd 방법
KR0155151B1 (ko) 반응처리 장치 및 방법
KR100944833B1 (ko) 성막 장치, 성막 방법 및 기억 매체
JP4470970B2 (ja) プラズマ処理装置
TW323387B (ko)
TWI507091B (zh) 電漿處理設備
JP2009152603A (ja) プラズマcvd装置及びその方法
KR101160788B1 (ko) 반도체 처리용 종형 플라즈마 처리 장치
KR20110010631A (ko) 유동성 유전 장치 및 프로세스
US20090280650A1 (en) Flowable dielectric equipment and processes
US20040194708A1 (en) Method of cleaning a cvd device
US20090017635A1 (en) Apparatus and method for processing a substrate edge region
US10224185B2 (en) Substrate processing apparatus
JP2014053136A (ja) 大気圧プラズマ処理装置
US11309167B2 (en) Active gas generation apparatus and deposition processing apparatus
JP4149051B2 (ja) 成膜装置
JP2004006551A (ja) 基板処理装置および基板処理方法
JP2021052086A (ja) 基板処理装置、及び半導体の製造方法
US20220165567A1 (en) Systems and methods for deposition residue control
JPH1022279A (ja) 誘導結合型プラズマcvd装置
JP2004186534A (ja) 基板処理装置
JPH08139037A (ja) 気相反応装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120802

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130801

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140808

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150730

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160727

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170804

Year of fee payment: 10