KR100791334B1 - 원자층 증착법을 이용한 금속 산화막 형성 방법 - Google Patents

원자층 증착법을 이용한 금속 산화막 형성 방법 Download PDF

Info

Publication number
KR100791334B1
KR100791334B1 KR1020060070371A KR20060070371A KR100791334B1 KR 100791334 B1 KR100791334 B1 KR 100791334B1 KR 1020060070371 A KR1020060070371 A KR 1020060070371A KR 20060070371 A KR20060070371 A KR 20060070371A KR 100791334 B1 KR100791334 B1 KR 100791334B1
Authority
KR
South Korea
Prior art keywords
oxide film
reactor
oxidation reaction
reaction gas
metal oxide
Prior art date
Application number
KR1020060070371A
Other languages
English (en)
Inventor
원석준
김주연
박정민
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020060070371A priority Critical patent/KR100791334B1/ko
Priority to US11/828,897 priority patent/US20080075881A1/en
Application granted granted Critical
Publication of KR100791334B1 publication Critical patent/KR100791334B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges

Abstract

원자층 증착법을 이용한 금속 산화막 형성 방법이 제공된다. 원자층 증착법을 이용한 금속 산화막 형성 방법은 반응기에 기판을 로딩하고, 반응기에 금속 소스 가스를 공급하여 기판 상에 흡착시키고, 흡착되지 않은 금속 소스 가스를 퍼지하고, 반응기 내에 N기가 포함된 산화 반응 가스를 공급하고, 반응기 내에 산화 반응 가스를 공급한 후에 반응기 내에 플라즈마 파워를 공급하여 N기가 포함된 산화 반응 가스의 플라즈마를 반응기 내에서 다이렉트 방식으로 형성하고, 반응기 내의 N기가 포함된 산화 반응 가스를 퍼지하는 것을 포함한다.
원자층 증착법, 플라즈마

Description

원자층 증착법을 이용한 금속 산화막 형성 방법{Method of forming a metal oxide by atomic layer deposition}
도 1은 본 발명의 일 실시예에 따른 원자층 증착법을 이용한 금속 산화막 형성 방법을 설명하기 위한 흐름도이다.
도 2는 본 발명의 다른 실시예에 따른 원자층 증착법을 이용한 금속 산화막 형성 방법을 설명하기 위한 흐름도이다.
도 3은 본 발명의 또 다른 실시예에 따른 원자층 증착법을 이용한 금속 산화막 형성 방법을 설명하기 위한 흐름도이다.
도 4는 본 발명의 일 실시예에 따른 원자층 증착법을 이용한 금속 산화막 형성 방법에서 플라즈마를 형성하는 금속 반응 가스의 종류에 따른 금속 소스 가스의 흡착율 및 탈착율의 분석 결과를 나타내는 그래프이다.
도 5 및 도 6은 본 발명의 일 실시예에 따른 원자층 증착법을 이용한 금속 산화막 형성 방법에서 플라즈마를 형성하는 금속 반응 가스의 종류에 따른 금속 산화막의 증착 두께를 나타내는 그래프이다.
도 7은 본 발명의 일 실시예에 따른 원자층 증착법을 이용한 금속 산화막 형성 방법에서 플라즈마를 형성하는 금속 반응 가스의 종류에 따른 금속 산화막의 증착율의 분석 결과를 나타내는 그래프이다.
본 발명은 원자층 증착법을 이용한 금속 산화막 형성 방법에 관한 것으로, 더욱 상세하게는 생산성이 향상된 원자층 증착법을 이용한 금속 산화막 형성 방법에 관한 것이다.
일반적으로, 반도체 소자 제조 공정시 반도체 기판 상에 박막을 형성하기 위해 물리적 기상 증착(PVD: Physical vapor deposition), 화학 기상 증착(CVD: Chemical Vapor Deposition) 및 원자층 증착(ALD: Atomic Layer Deposition) 등과 같은 박막 형성 방법이 이용되고 있다.
이 중, 원자층 증착 방법(ALD)은 박막을 형성하기 위한 가스들을 동시에 공급하지 않고 시분할하여 독립적인 펄스 형태로 공급하여 박막을 형성하는 방법이다. 즉, 소스 가스(source gas)와 퍼지 가스(purge gas), 반응 가스(reactant gas)와 퍼지 가스를 교대로 공급하여 원자층 두께로 박막을 형성한다. 이러한 원자층 증착 방법은 스텝 커버리지(step coverage)가 우수하고, 대면적을 기판에 균일한 두께의 박막을 형성할 수 있다. 그리고 반복 실시 회수를 조절하여 박막의 두께를 미세하게 조절할 수 있다.
한편, 일반적인 원자층 증착법에서 더 나아가 반응 가스를 플라즈마 상태로 형성하여 박막을 형성하는 플라즈마 원자층 증착법(PEALD: Plasma Enhanced Atomic Layer Deposition)이 사용되고 있다. 이러한 플라즈마 원자층 증착법은 증착율이 뛰어나고 전기적 특성이 우수하며, 여러가지 물질이 증착 가능하다는 점 등의 장점이 있다.
그러나, 원자층 증착법은 화학 기상 증착 등과 비교하여, 증착 속도가 느려 사용할 수 있는 범위가 한정되어 있다. 따라서, 원자층 증착법의 증착 속도를 개선하여 보다 광범위하게 원자층 증착법을 활용할 수 있는 방안이 요구된다.
본 발명이 이루고자 하는 기술적 과제는, 생산성이 향상된 원자층 증착법을 이용한 금속 산화막 형성 방법을 제공하는 것이다.
본 발명의 기술적 과제들은 이상에서 언급한 기술적 과제로 제한되지 않으며, 언급되지 않은 또 다른 기술적 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 기술적 과제들을 달성하기 위한 본 발명의 일 실시예에 따른 원자층 증착법을 이용한 금속 산화막 형성 방법은 반응기에 기판을 로딩하고, 상기 반응기에 금속 소스 가스를 공급하여 상기 기판 상에 흡착시키고, 흡착되지 않은 상기 금속 소스 가스를 퍼지하고, 상기 반응기 내에 N기가 포함된 산화 반응 가스를 공급하고, 상기 반응기 내에 산화 반응 가스를 공급한 후에 상기 반응기 내에 플라즈마 파워를 공급하여 상기 N기가 포함된 산화 반응 가스의 플라즈마를 상기 반응기 내에서 다이렉트 방식으로 형성하고, 상기 반응기 내의 N기가 포함된 산화 반응 가스를 퍼지하는 것을 포함한다.
본 발명의 기타 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예들을 참조하면 명확해질 것이다. 그러나 본 발 명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.
이하 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다. 및/또는 은 언급된 아이템들의 각각 및 하나 이상의 모든 조합을 포함한다.
본 명세서에서 사용된 용어는 실시예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서 사용되는 포함한다(comprises) 및/또는 포함하는(comprising)은 언급된 구성요소, 단계, 동작 및/또는 소자는 하나 이상의 다른 구성요소, 단계, 동작 및/또는 소자의 존재 또는 추가를 배제하지 않는다.
이하, 도 1을 참조하여 본 발명의 일 실시예에 따른 원자층 증착법을 이용한 금속 산화막 형성 방법을 설명한다. 도 1은 본 발명의 일 실시예에 따른 원자층 증착법을 이용한 금속 산화막 형성 방법을 설명하기 위한 흐름도이다.
이하 제조 방법 설명 시, 본 발명의 기술분야에서 통상의 지식을 가진 자에게 널리 알려진 공정 단계들에 따라 형성될 수 있는 공정에 대해서는 본 발명이 모호하게 해석되는 것을 피하기 위하여 개략적으로 설명한다.
도 1을 참조하면, 우선 반응기 내부에 기판을 로딩한다(S110).
기판은 3차원 구조체를 가지고 있으며, 예를 들어 실린더형 캐패시터의 하부 전극과 같이 깊은 홀을 갖는 구조체일 수 있다. 또한, 기판이 로딩되는 반응기로는 이중 챔버가 사용될 수 있는데, 이중 챔버는 외부 공기를 차단하여 반응기 내의 진공도를 높이기 위한 외부 챔버 및 외부 챔버 내에 구비되며 반응이 실질적으로 일어나는 내부 챔버를 포함한다. 이러한 반응기는 실질적으로 반응이 일어나는 반응 공간이 작아 반응 효율을 높일 수 있다. 반응기의 내부 챔버의 반응 공간은 예를 들어, 2000cc 이하, 보다 바람직하게는 1000cc 이하일 수 있다. 한편, 반응기 내에 금속 소스 가스 및 금속 반응 가스를 공급하는 방법으로써, 래터럴 플로우 타입(lateral flow type)을 사용할 수 있다.
이어서, 반응기 내에 금속 소스 가스를 공급하여 기판 상에 흡착시킨다(S120).
이때, 공급하는 금속 소스 가스는 형성하려는 금속 산화막의 종류에 따라 달라지는데, 형성하고자 하는 금속 산화막의 금속을 포함하는 화합물을 금속 소스 가스로 사용할 수 있다. 형성하려는 금속 산화막은 예를 들어, 하프늄 산화막(Hafnium Oxide), 지르코늄 산화막(Zirconium Oxide), 알루미늄 산화막(Aluminum Oxide), 티타늄 산화막(Titanium Oxide), 탄탈륨 산화막(Tantalum Oxide), 란탄 산화막(Lanthanum Oxide), 프라세오디뮴 산화막(Praseodymium Oxide), 텅스텐 산화막(Tungsten Oxide), 니오브 산화막(Niobium Oxide), 몰리브덴 산화막(Molybdenum Oxide), 스트론튬 산화막(Strontium Oxide), 바륨 산화막(Barium Oxide) 또는 이들의 조합일 수 있다. 또는, 루테늄 산화막(Ruthenium Oxide), 이리듐 산화막(Iridium Oxide) 또는 이들의 조합일 수 있다.
이때, 공급하는 금속 소스 가스는 예를 들어, 알루미늄 산화막을 형성하려는 경우, TMA(Tri Methyl Aluminum), DMAH(Di Methyl Aluminum Hydride), DMAH-EPP(DiMethyl Aluminum Hydride Ethyl PiPeridine) 등일 수 수 있다. 하프늄 산화막을 형성하려는 경우, 예를 들어, TEMAH(Tetrakis EthylMethylAmino Hafnium), TDEAH(Tetrakis DiEthylAmino Hafnium), TDMAH(Tetrakis DiMethylAmino Hafnium) 등이 사용될 수 있다. 또한, 지르코늄 산화막을 형성하려는 경우, 예를 들어, TEMAZ(Tetrakis EthylMethylAmino Zirconium), TDEAZ(Tetrakis DiEthylAmino Zirconium), TDMAZ(Tetrakis DiMethylAmino Zirconium) 등이 사용될 수 있다.
반응기 내로, 금속 소스 가스를 소정의 시간 동안 공급하면, 기판 표면에 금속 소스 가스가 반응 또는 화학 흡착되고, 나머지는 반응 또는 화학 흡착된 금속 소스 가스 표면에 물리적으로 흡착되거나, 반응기 내부에 머물게 된다.
여기서, 금속 소스 가스와 함께 비활성 가스가 공급될 수도 있다. 비활성 가스는 예를 들어, Ar, He, Kr, Xe 또는 이들의 조합일 수 있다.
이어서, 기판과 반응하지 않은 금속 소스 가스를 퍼지한다(S130). 이때, 금속 소스 가스를 퍼지하는 것은 퍼지 가스를 공급하여 수행하는데, 퍼지 가스로는 비활성 가스가 사용될 수 있다.
이어서, 반응기 내에 N기가 포함된 산화 반응 가스를 공급한다(S140). 여기서, N기가 포함된 산화 반응 가스는 예를 들어, N2O, NO, NO2일 수 있다. 이때, 공정 조건으로는 50~500℃, 100mTorr~10Torr에서 N2O를 5~2000sccm 공급할 수 있다. 보다 바람직하게는 200~400℃, 1~5Torr에서 N2O를 10~1000sccm 공급할 수 있다.
이어서, 반응기에 플라즈마 파워를 공급하여 다이렉트 방식으로 플라즈마를 형성한다(S150).
즉, 반응기 내에 직접 플라즈마 파워를 공급하여 플라즈마를 형성하는 다이렉트 방식으로 플라즈마를 형성한다. 플라즈마 파워는 예를 들어, 약 50~2000W를 공급할 수 있는데, 보다 바람직하게는 약 100~1000W를 공급할 수 있다. 한편, 플라즈마를 이용한 원자층 증착법에서는 플라즈마의 매칭 컨트롤(matching control)이 중요하다. 이러한 매칭값은 금속 산화막의 종류에 따라 O2 플라즈마와 N2O 플라즈마를 형성할 때에 값의 차이가 없기도 하고, 큰 차이가 나기도 한다. 따라서, 여러 가지 물질의 복합막일 경우에 있어서, 각각 N기가 포함된 금속 반응 가스를 사용하기도 하고, N기가 포함되지 않은 금속 반응 가스를 사용하기도 하는 경우, 매칭값을 다르게 컨트롤하여 플라즈마를 발생시키는 것이 필요하다.
N기가 포함된 산화 반응 가스의 플라즈마를 형성하여 금속 산화막을 형성하면 N기가 포함되지 않은 산화 반응 가스의 플라즈마를 형성하여 금속 산화막을 형성하는 것보다 금속 산화막의 증착 속도가 빨라진다. 예를 들어, N2O의 플라즈마를 공급하면서 금속 산화막을 형성하면 O2 플라즈마를 공급하면서 금속 산화막을 형성하는 것보다 약 1.7배 이상 증착 속도가 빨라지게 된다.
이어서, 산화 반응 가스를 퍼지한다(S160). 이때, 산화 반응 가스를 퍼지하 는 것은 퍼지 가스를 공급하여 수행하는데, 퍼지 가스로는 비활성 가스가 사용될 수 있다.
본 발명의 일 실시예에 따른 원자층 증착법을 이용한 금속 산화막 형성 방법에서, 금속 소스 가스 공급, 금속 소스 가스 퍼지, N기를 포함한 산화 반응 가스의 플라즈마를 다이렉트 방식으로 형성 및 산화 반응 가스를 퍼지하는 것은 복수회 반복 수행될 수 있다.
본 발명의 일 실시예에 따른 원자층 증착법을 이용한 금속 산화막 형성 방법에 따르면, N기가 포함된 산화 반응 가스의 플라즈마를 형성하여 금속 산화막을 형성한다. N기가 포함된 산화 반응 가스의 플라즈마를 형성하여 금속 산화막을 형성하면 N기가 포함되지 않은 산화 반응 가스의 플라즈마를 형성하여 금속 산화막을 형성하는 것보다 금속 산화막의 증착 속도를 빠르게 할 수 있다. 따라서, 공정 진행이 빨라지고 시간이 절약되어 생산성이 증가할 수 있다.
이하, 도 2를 참조하여, 본 발명의 다른 실시예에 따른 원자층 증착법을 이용한 금속 산화막 형성 방법을 설명한다. 도 2는 본 발명의 다른 실시예에 따른 원자층 증착법을 이용한 금속 산화막 형성 방법을 설명하기 위한 흐름도이다.
도 2를 참조하면, 반응기 내부에 기판을 로딩하고, 금속 소스 가스를 공급하여 기판 상에 흡착시킨 후, 기판과 반응하지 않은 금속 소스 가스를 퍼지하는 것은 본 발명의 일 실시예에 따른 원자층 증착법을 이용한 금속 산화막 형성 방법과 동일하다. 즉, S130 단계 이전까지의 단계는 본 발명의 일 실시예와 동일하므로, 그 이후의 단계만을 설명한다.
이어서, 반응기 내에 N기가 포함된 산화 반응 가스 및 N기가 포함되지 않은 산화 반응 가스를 공급한다(S142).
이때, N기가 포함된 산화 반응 가스는 예를 들어, N2O, NO, NO2 등일 수 있고, N기가 포함되지 않은 산화 반응 가스는 예를 들어, O2, O3, H2O 또는 이들의 조합일 수 있다. N기가 포함된 산화 반응 가스와 N기가 포함되지 않은 산화 반응 가스를 같이 공급하면, 금속 산화막의 증착 속도가 빨라진다. 즉, N기가 포함된 산화 반응 가스 만을 공급할 때뿐만 아니라, N기가 포함된 산화 반응 가스와 N기가 포함되지 않은 산화 반응 가스를 같이 공급하여도, N기가 포함된 산화 반응 가스가 소정 비 이상 공급되기만 하면 금속 산화막의 증착 속도가 빨라지게 된다. 여기서, N기가 포함된 산화 반응 가스와 N기가 포함되지 않은 산화 반응 가스의 비는 약 1/8 이상일 수 있다.
이어서, 반응기에 플라즈마 파워를 공급하여 다이렉트 방식으로 플라즈마를 형성하고, 산화 반응 가스를 퍼지하는 것은 본 발명의 일 실시예에 따른 원자층 증착법을 이용한 금속 산화막 형성 방법과 동일하다.
본 발명의 다른 실시예에 따른 원자층 증착법을 이용한 금속 산화막 형성 방법에서도, 금속 소스 가스 공급, 금속 소스 가스 퍼지, N기가 포함된 산화 반응 가스 및 N기가 포함되지 않은 산화 반응 가스의 플라즈마를 다이렉트 방식으로 형성 및 산화 반응 가스를 퍼지하는 것은 복수회 반복 수행될 수 있다.
이하, 도 3을 참조하여, 본 발명의 또 다른 실시예에 따른 원자층 증착법을 이용한 금속 산화막 형성 방법을 설명한다. 도 3은 본 발명의 또 다른 실시예에 따른 원자층 증착법을 이용한 금속 산화막 형성 방법을 설명하기 위한 흐름도이다.
도 3을 참조하면, 반응기 내부에 기판을 로딩하고, 금속 소스 가스를 공급하여 기판 상에 흡착시키는 것은 본 발명의 일 실시예에 따른 원자층 증착법을 이용한 금속 산화막 형성 방법과 동일하다. 즉, S120 단계 이전까지의 단계는 본 발명의 일 실시예와 동일하므로, 그 이후의 단계만을 설명한다.
이어서, 금속 소스 가스와 플라즈마 없이는 반응하지 않는 N기가 포함된 산화 반응 가스를 퍼지 가스로 사용하여 금속 소스 가스를 퍼지한다(S132).
이때, N기가 포함된 산화 반응 가스만을 공급할 수도 있고, N기가 포함된 산화 반응 가스와 N기가 포함되지 않은 산화 반응 가스를 함께 공급할 수도 있다. 다만, 금속 소스 가스와 플라즈마 없이 반응하지 않는 산화 반응 가스를 퍼지 가스로 사용한다. 여기서, N기가 포함된 산화 반응 가스는 예를 들어, N2O, NO, NO2 등일 수 있다. 또한, N기가 포함되지 않은 산화 반응 가스는 예를 들어, O2, O3, H2O 또는 이들의 조합일 수 있다.
이어서, 반응기 내에 플라즈마 파워를 공급하여 다이렉트 방식으로 플라즈마를 형성한다(S150).
본 발명의 또 다른 실시예에 따른 원자층 증착법을 이용한 금속 산화막 형성 방법에서도, 금속 소스 가스 공급, 금속 소스 가스와 플라즈마 없이는 반응하지 않는 N기가 포함된 산화 반응 가스를 퍼지 가스로 사용하여 금속 소스 가스를 퍼지, 산화 반응 가스의 플라즈마를 다이렉트 방식으로 형성 및 산화 반응 가스를 퍼지하는 것은 복수회 반복 수행될 수 있다.
본 발명의 또 다른 실시예에 따른 원자층 증착법을 이용한 금속 산화막 형성 방법에 따르면, N기가 포함된 산화 반응 가스의 플라즈마를 형성하여 금속 산화막을 형성함으로써, N기가 포함되지 않은 산화 반응 가스의 플라즈마를 형성하여 금속 산화막을 형성하는 것보다 금속 산화막의 증착 속도를 빠르게 할 수 있다. 따라서, 공정 진행이 빨라지고 시간이 절약되어 생산성이 증가할 수 있다.
또한, 산화 반응 가스를 퍼지 가스로 사용하고, 퍼지 가스에 플라즈마 파워를 공급하여 플라즈마를 형성함으로써, 퍼지를 한 후에 반응기 내에 다시 산화 반응 가스를 공급하는 공정을 생략할 수 있다. 따라서, 공정 진행 시간이 단축되어 생산성을 증가시킬 수 있다. 또한, 퍼지 가스와 산화 반응 가스를 따로 사용하지 않고, 하나의 가스를 사용함으로써 비용이 절약되어 생산 단가가 저렴해 질 수 있다.
도 4는 본 발명의 일 실시예에 따른 원자층 증착법을 이용한 금속 산화막 형성 방법에서 플라즈마를 형성하는 금속 반응 가스의 종류에 따른 금속 소스 가스의 흡착율 및 탈착율의 분석 결과를 나타내는 그래프이다.
도 4는 하프늄 산화막을 형성하는 경우에 있어서, 하프늄의 흡착율 및 탈착율의 분석 결과를 나타낸 그래프이다. 하프늄 산화막의 원자층 증착에서, 서로 다른 공정 조건에서 흡착된 하프늄의 양을 비교하기 위해서 하프늄의 양을 직접 분석하는 것은 어려움이 있다. 원자층 증착시 흡착되는 하프늄의 양이 많고, 흡착되는 하프늄의 양에 비하여 서로 다른 공정 조건에서 흡착된 각각의 하프늄 양이 차이가 크게 나지 않기 때문이다. 따라서, 하프늄 내에 미세하게 포함되어 있는 지르코늄(Zr)의 양을 분석하여 흡착된 하프늄의 양을 추정한다. 하프늄과 지르코늄은 같은 광물에서 추출되므로, 하프늄 내에는 미세하게 지르코늄이 포함되어 있다. 따라서, 지르코늄의 양을 분석함에 따라 하프늄의 양을 추정할 수 있다.
(A)는 하프늄의 금속 소스 가스인 TEMAH를 공급하고, 퍼지한 후, O2 플라즈마를 공급한 1 싸이클(cycle)의 단위 면적당 지르코늄의 원자수와, TEMAH를 공급하고, 퍼지한 후, N2O 플라즈마를 공급한 1 싸이클의 단위 면적당 지르코늄의 원자수를 측정한 것이다.
도 4의 (A)를 참조하면, N2O 플라즈마를 공급한 경우가 O2 플라즈마를 공급한 경우에 비해, 단위 면적당 지르코늄의 원자수가 약 1.53배 가량 많이 검출되었다. 따라서, 하프늄도 N2O 플라즈마를 공급한 경우가 O2 플라즈마를 공급한 경우에 비해 더 많이 검출될 것으로 추정된다. 금속 소스 가스를 공급하여 기판에 흡착시킨 후, 금속 반응 가스의 플라즈마를 형성하면 흡착된 금속 소스 가스의 일부가 기판에서 탈착된다. N2O 플라즈마를 공급한 경우, O2 플라즈마를 공급한 경우보다 탈착율이 감소하여 더 많은 수의 하프늄이 흡착되어 있는 것으로 추정된다.
(B)는 O2 플라즈마를 공급하고 퍼지한 후, 하프늄의 금속 소스 가스인 TEMAH를 공급한 1 싸이클(cycle)의 단위 면적당 지르코늄의 원자수와, N2O 플라즈마를 공 급하고 퍼지한 후, 하프늄의 금속 소스 가스인 TEMAH를 공급한 1 싸이클의 단위 면적당 지르코늄의 원자수를 측정한 것이다.
도 4의 (B)를 참조하면, N2O 플라즈마를 공급한 경우가 O2 플라즈마를 공급한 경우에 비해, 단위 면적당 지르코늄의 원자수가 약 1.3배 가량 많이 검출되었다. 따라서, 하프늄도 N2O 플라즈마를 공급한 경우가 O2 플라즈마를 공급한 경우에 비해 더 많이 검출될 것으로 추정된다. 원자층 증착법에서 쉐도우 효과(shadow effect) 등에 의해 금속 소스 가스의 흡착율이 차이가 나게 된다. N2O 플라즈마를 공급한 경우, O2 플라즈마를 공급한 경우보다 흡착율 증가하여 더 많은 수의 하프늄이 흡착되어 있는 것으로 추정된다.
도 5 및 도 6은 본 발명의 일 실시예에 따른 원자층 증착법을 이용한 금속 산화막 형성 방법에서 플라즈마를 형성하는 금속 반응 가스의 종류에 따른 금속 산화막의 증착 두께를 나타내는 그래프이다.
도 5의 C는 300℃, 3Torr의 공정 분위기에서 TEMAH를 공급하고, 퍼지한 후, O2 플라즈마를 형성하고, 퍼지하는 1 싸이클을 반복함에 따른 하프늄 산화막의 두께를 분석한 것이다. D는 300℃, 3Torr의 공정 분위기에서 TEMAH를 공급하고, 퍼지한 후, N2O 플라즈마를 형성하고, 퍼지하는 1 싸이클을 반복함에 따른 하프늄 산화막의 두께를 분석한 것이다.
도 5를 참조하면, C의 기울기는 약 0.84이고, D의 기울기는 약 1.60이 된다. 즉, O2 플라즈마를 공급하여 하프늄 산화막을 형성한 경우에 비해 N2O 플라즈마를 공급하고 하프늄 산화막을 형성한 경우, 약 1.9배 정도 하프늄 산화막의 두께가 두꺼워짐을 확인할 수 있다.
도 6의 E는 300℃, 3Torr의 공정 분위기에서 TEMAZ를 공급하고, 퍼지한 후, O2 플라즈마를 형성하고, 퍼지하는 1 싸이클을 반복함에 따른 지르코늄 산화막의 두께를 분석한 것이다. F는 300℃, 3Torr의 공정 분위기에서 TEMAZ를 공급하고, 퍼지한 후, N2O 플라즈마를 형성하고, 퍼지하는 1 싸이클을 반복함에 따른 지르코늄 산화막의 두께를 분석한 것이다.
도 6을 참조하면, E의 기울기는 약 0.69이고, F의 기울기는 약 1.34가 된다. 즉, O2 플라즈마를 공급하여 지르코늄 산화막을 형성한 경우에 비해 N2O 플라즈마를 공급하고 지르코늄 산화막을 형성한 경우, 약 2.3배 정도 지르코늄 산화막의 두께가 두꺼워짐을 확인할 수 있다.
도 7은 본 발명의 일 실시예에 따른 원자층 증착법을 이용한 금속 산화막 형성 방법에서 플라즈마를 형성하는 금속 반응 가스의 종류에 따른 금속 산화막의 증착율의 분석 결과를 나타내는 그래프이다.
300℃, 3Torr의 공정 분위기에서 TEMAH를 공급하고, 퍼지한 후, 플라즈마를 형성하는 금속 반응 가스를 다르게 하였다. O2를 150sccm 공급하고 플라즈마를 형성한 경우의 1 싸이클당 증착율을 1로 비교하면, O2를 150sccm 공급하고, N2O를 20sccm 공급한 경우에는 증착율이 약 1.72배 정도 증가한 것을 확인할 수 있다. O2를 150sccm 공급하고, N2O를 30sccm 공급한 경우에는 증착율이 약 1.79배 정도 증가하였다. 한편, N2O를 150sccm 공급하고 플라즈마를 형성한 경우에는 증착율이 약 1.81배 정도로 증가하였다.
따라서, N기가 포함된 산화 반응 가스로 플라즈마를 형성하여 금속 산화막을 형성할 때뿐 아니라, N기가 포함된 산화 반응 가스와 N기가 포함되지 않은 산화 반응 가스를 함께 공급하고 플라즈마를 형성한 경우에도 N기가 포함되지 않은 산화 반응 가스로 플라즈마를 형성하는 것보다 증착률이 증가함을 확인할 수 있다.
이상 첨부된 도면을 참조하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
상기한 바와 같은 원자층 증착법을 이용한 금속 산화막 형성 방법에 따르면 다음과 같은 효과가 하나 혹은 그 이상 있다.
첫째, N기가 포함된 산화 반응 가스의 플라즈마를 형성하여 금속 산화막을 형성하면 금속 산화막의 증착 속도를 보다 빠르게 할 수 있다.
둘째, 금속 산화막의 증착 속도가 보다 빨라짐으로써, 공정 진행이 빨라지고 시간이 절약되어 생산성이 증가할 수 있다.
셋째, 퍼지 가스에 플라즈마 파워를 공급하여 플라즈마를 형성함으로써, 공정 진행 시간이 단축되고, 비용이 절약될 수 있다.

Claims (23)

  1. 반응기에 기판을 로딩하고,
    상기 반응기에 금속 소스 가스를 공급하여 상기 기판 상에 흡착시키고,
    흡착되지 않은 상기 금속 소스 가스를 퍼지하고,
    상기 반응기 내에 N기가 포함된 산화 반응 가스를 공급하고,
    상기 반응기 내에 산화 반응 가스를 공급한 후에 상기 반응기 내에 플라즈마 파워를 공급하여 상기 N기가 포함된 산화 반응 가스의 플라즈마를 상기 반응기 내에서 다이렉트 방식으로 형성하고,
    상기 반응기 내의 N기가 포함된 산화 반응 가스를 퍼지하는 것을 포함하는 원자층 증착법을 이용한 금속 산화막 형성 방법.
  2. 제 1항에 있어서,
    상기 N기가 포함된 산화 반응 가스는 N2O, NO, NO2인 원자층 증착법을 이용한 금속 산화막 형성 방법.
  3. 제 1항에 있어서,
    상기 플라즈마를 형성할 때에 N기가 포함된 산화 반응 가스와 함께 N기가 포함되지 않은 산화 반응 가스를 공급하는 원자층 증착법을 이용한 금속 산화막 형성 방법.
  4. 제 3항에 있어서,
    상기 N기가 포함되지 않은 산화 반응 가스는 O2, O3, H2O 또는 이들의 조합인 원자층 증착법을 이용한 금속 산화막 형성 방법.
  5. 제 3항에 있어서,
    상기 N기가 포함된 산화 반응 가스와 N기가 포함되지 않은 산화 반응 가스의 비는 1/8 이상인 원자층 증착법을 이용한 금속 산화막 형성 방법.
  6. 제 1항에 있어서,
    상기 금속 소스 가스와 함께 비활성 가스를 공급하는 원자층 증착법을 이용한 금속 산화막 형성 방법.
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 제 1항에 있어서,
    상기 금속 소스 가스 공급, 상기 금속 소스 가스 퍼지, 상기 산화 반응 가스의 공급, 상기 산화 반응 가스의 플라즈마 형성 및 상기 산화 반응 가스를 퍼지하는 것은 복수회 반복 수행되는 원자층 증착법을 이용한 금속 산화막 형성 방법.
  13. 제 1항에 있어서,
    상기 금속 산화막은 하프늄 산화막(Hafnium Oxide), 지르코늄 산화 막(Zirconium Oxide), 알루미늄 산화막(Aluminum Oxide), 티타늄 산화막(Titanium Oxide), 탄탈륨 산화막(Tantalum Oxide), 란탄 산화막(Lanthanum Oxide), Praseodymium Oxide, 텅스텐 산화막(Tungsten Oxide), Niobium Oxide, Molybdenum Oxide, 스트론튬 산화막(Strontium Oxide), 바륨 산화막(Barium Oxide) 또는 이들의 조합인 원자층 증착법을 이용한 금속 산화막 형성 방법.
  14. 제 1항에 있어서,
    상기 금속 산화막은 루테늄 산화막(Ruthenium Oxide), 이리듐 산화막(Iridium Oxide) 또는 이들의 조합인 원자층 증착법을 이용한 금속 산화막 형성 방법.
  15. 제 1항에 있어서,
    상기 반응기는 내부 챔버 및 외부 챔버를 포함하며, 상기 기판은 상기 내부 챔버 상에 구비되는 원자층 증착법을 이용한 금속 산화막 형성 방법.
  16. 제 15항에 있어서,
    상기 내부 챔버는 2000cc 이하인 원자층 증착법을 이용한 금속 산화막 형성 방법.
  17. 제 16항에 있어서,
    상기 내부 챔버는 1000cc 이하인 원자층 증착법을 이용한 금속 산화막 형성 방법.
  18. 제 1항에 있어서,
    상기 반응기는 래터럴 플로우 타입(lateral flow type)인 원자층 증착법을 이용한 금속 산화막 형성 방법.
  19. 반응기 내에 기판을 로딩하고,
    상기 반응기에 TEMAH(Tetrakis EthylMethylAmino Hafnium), TDEAH(Tetrakis DiEthylAmino Hafnium) 및 TDMAH(Tetrakis DiMethylAmino Hafnium)를 포함하는 그룹에서 선택된 하나 또는 그 이상의 조합인 하프늄 소스 가스, TEMAZ(Tetrakis EthylMethylAmino Zirconium), TDEAZ(Tetrakis DiEthylAmino Zirconium) 및 TDMAZ(Tetrakis DiMethylAmino Zirconium)를 포함하는 그룹에서 선택된 하나 또는 그 이상의 조합인 지르코늄 소스 가스, TMA(Tri Methyl Aluminum), DMAH(Di Methyl Aluminum Hydride) 및 DMAH-EPP(DiMethyl Aluminum Hydride Ethyl PiPeridine)를 포함하는 그룹에서 선택된 하나 또는 그 이상의 조합인 알루미늄 소스 가스 중 하나의 소스 가스를 공급하여 상기 기판 상에 흡착시키고,
    흡착하지 않은 상기 소스 가스를 퍼지하고,
    상기 반응기 내에 N2O를 공급하고,
    상기 반응기 내에 플라즈마 파워를 공급하여 상기 N2O의 플라즈마를 상기 반응기 내에서 다이렉트 방식으로 형성하여 상기 기판 상에 상기 소스 가스의 종류에 따라 하프늄 산화막, 지르코늄 산화막 및 알루미늄 산화막을 포함하는 그룹에서 선택된 하나를 형성하고,
    상기 N2O를 퍼지하는 것을 포함하는 원자층 증착법을 이용한 금속 산화막 형성 방법.
  20. 반응기에 기판을 로딩하고,
    상기 반응기에 TEMAH(Tetrakis EthylMethylAmino Hafnium), TDEAH(Tetrakis DiEthylAmino Hafnium) 및 TDMAH(Tetrakis DiMethylAmino Hafnium)를 포함하는 그룹에서 선택된 하나 또는 그 이상의 조합인 하프늄 소스 가스, TEMAZ(Tetrakis EthylMethylAmino Zirconium), TDEAZ(Tetrakis DiEthylAmino Zirconium) 및 TDMAZ(Tetrakis DiMethylAmino Zirconium)를 포함하는 그룹에서 선택된 하나 또는 그 이상의 조합인 지르코늄 소스 가스, TMA(Tri Methyl Aluminum), DMAH(Di Methyl Aluminum Hydride) 및 DMAH-EPP(DiMethyl Aluminum Hydride Ethyl PiPeridine)를 포함하는 그룹에서 선택된 하나 또는 그 이상의 조합인 알루미늄 소스 가스 중 하나의 소스 가스를 공급하여 상기 기판 상에 흡착시키고,
    상기 기판에 흡착하지 않은 상기 소스 가스를 퍼지하고,
    N기가 포함된 산화 반응 가스와 함께 N기가 포함되지 않은 산화 반응 가스를 공급하고 플라즈마를 형성하여 상기 소스 가스의 종류에 따라 하프늄 산화막, 지르코늄 산화막 및 알루미늄 산화막을 포함하는 그룹에서 선택된 하나를 형성하는 것을 포함하되,
    상기 플라즈마는 상기 반응기 내에서 다이렉트 방식으로 형성하고,
    상기 N기가 포함된 산화 반응 가스와 N기가 포함되지 않은 산화 반응 가스의 비는 1/8 이상 1 미만인 원자층 증착법을 이용한 금속 산화막 형성 방법.
  21. 제 20항에 있어서,
    상기 N기가 포함된 산화 반응 가스는 N2O, NO, NO2인 원자층 증착법을 이용한 금속 산화막 형성 방법.
  22. 제 20항에 있어서,
    상기 N기가 포함되지 않은 산화 반응 가스는 O2, O3, H2O 또는 이들의 조합인 원자층 증착법을 이용한 금속 산화막 형성 방법.
  23. 반응기에 기판을 로딩하고,
    상기 반응기에 금속 소스 가스를 공급하여 상기 기판 상에 흡착시키고,
    흡착되지 않은 상기 금속 소스 가스를 퍼지하고,
    상기 반응기 내에 N2O 및 O2를 1/8 이상의 비로 포함하는 산화 반응 가스를 공급하고,
    상기 반응기 내에 플라즈마 파워를 공급하여 상기 산화 반응 가스의 플라즈마를 상기 반응기 내에서 다이렉트 방식으로 형성하고,
    상기 반응기 내의 산화 반응 가스를 퍼지하는 것을 포함하는 원자층 증착법을 이용한 금속 산화막 형성 방법.
KR1020060070371A 2006-07-26 2006-07-26 원자층 증착법을 이용한 금속 산화막 형성 방법 KR100791334B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020060070371A KR100791334B1 (ko) 2006-07-26 2006-07-26 원자층 증착법을 이용한 금속 산화막 형성 방법
US11/828,897 US20080075881A1 (en) 2006-07-26 2007-07-26 Method of Forming A Metallic Oxide Film Using Atomic Layer Deposition

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060070371A KR100791334B1 (ko) 2006-07-26 2006-07-26 원자층 증착법을 이용한 금속 산화막 형성 방법

Publications (1)

Publication Number Publication Date
KR100791334B1 true KR100791334B1 (ko) 2008-01-07

Family

ID=39216590

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060070371A KR100791334B1 (ko) 2006-07-26 2006-07-26 원자층 증착법을 이용한 금속 산화막 형성 방법

Country Status (2)

Country Link
US (1) US20080075881A1 (ko)
KR (1) KR100791334B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101000308B1 (ko) 2008-07-24 2010-12-13 주식회사 아토 고유전막 형성 방법
KR20120073201A (ko) * 2009-08-14 2012-07-04 에이에스엠 아메리카, 인코포레이티드 여기된 질소-산소 종을 이용한 금속 산화물의 박막 증착을 위한 시스템 및 방법

Families Citing this family (373)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
US8257799B2 (en) 2009-02-23 2012-09-04 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) * 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US20140030444A1 (en) * 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9376455B2 (en) 2013-11-27 2016-06-28 Veeco Ald Inc. Molecular layer deposition using reduction process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10366879B2 (en) 2017-11-14 2019-07-30 International Business Machines Corporation Dry and wet etch resistance for atomic layer deposited TiO2 for SIT spacer application
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020065245A (ko) * 2001-02-06 2002-08-13 주식회사 하이닉스반도체 피이에이엘디법을 이용한 박막 증착방법
JP2002371359A (ja) 2001-06-14 2002-12-26 Samsung Electronics Co Ltd β−ジケトンの配位子を有する有機金属錯体を利用した原子層蒸着方法
KR20030002022A (ko) * 2001-06-30 2003-01-08 주식회사 하이닉스반도체 캐패시터의 제조 방법
KR20040048618A (ko) * 2002-12-04 2004-06-10 삼성전자주식회사 원자층 증착 장치
KR20060032923A (ko) * 2004-10-13 2006-04-18 삼성전자주식회사 원자층증착법을 이용한 박막 형성방법
KR20070002579A (ko) * 2005-06-30 2007-01-05 주식회사 하이닉스반도체 반도체 소자의 캐패시터 형성방법

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US7087482B2 (en) * 2001-01-19 2006-08-08 Samsung Electronics Co., Ltd. Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
DE10222083B4 (de) * 2001-05-18 2010-09-23 Samsung Electronics Co., Ltd., Suwon Isolationsverfahren für eine Halbleitervorrichtung
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
EP1466034A1 (en) * 2002-01-17 2004-10-13 Sundew Technologies, LLC Ald apparatus and method
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6730164B2 (en) * 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
JP4015510B2 (ja) * 2002-09-09 2007-11-28 日本エー・エス・エム株式会社 半導体集積回路の多層配線用層間絶縁膜及びその製造方法
US20050016956A1 (en) * 2003-03-14 2005-01-27 Xinye Liu Methods and apparatus for cycle time improvements for atomic layer deposition
KR100505419B1 (ko) * 2003-04-23 2005-08-04 주식회사 하이닉스반도체 반도체 소자의 소자분리막 제조방법
US7128570B2 (en) * 2004-01-21 2006-10-31 Asm International N.V. Method and apparatus for purging seals in a thermal reactor
KR100581993B1 (ko) * 2004-06-09 2006-05-22 삼성전자주식회사 원자층 증착법을 이용한 물질 형성방법
KR100589062B1 (ko) * 2004-06-10 2006-06-12 삼성전자주식회사 원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020065245A (ko) * 2001-02-06 2002-08-13 주식회사 하이닉스반도체 피이에이엘디법을 이용한 박막 증착방법
JP2002371359A (ja) 2001-06-14 2002-12-26 Samsung Electronics Co Ltd β−ジケトンの配位子を有する有機金属錯体を利用した原子層蒸着方法
KR20030002022A (ko) * 2001-06-30 2003-01-08 주식회사 하이닉스반도체 캐패시터의 제조 방법
KR20040048618A (ko) * 2002-12-04 2004-06-10 삼성전자주식회사 원자층 증착 장치
KR20060032923A (ko) * 2004-10-13 2006-04-18 삼성전자주식회사 원자층증착법을 이용한 박막 형성방법
KR20070002579A (ko) * 2005-06-30 2007-01-05 주식회사 하이닉스반도체 반도체 소자의 캐패시터 형성방법

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101000308B1 (ko) 2008-07-24 2010-12-13 주식회사 아토 고유전막 형성 방법
KR20120073201A (ko) * 2009-08-14 2012-07-04 에이에스엠 아메리카, 인코포레이티드 여기된 질소-산소 종을 이용한 금속 산화물의 박막 증착을 위한 시스템 및 방법
KR102042281B1 (ko) * 2009-08-14 2019-11-07 에이에스엠 아메리카, 인코포레이티드 여기된 질소-산소 종을 이용한 금속 산화물의 박막 증착을 위한 시스템 및 방법

Also Published As

Publication number Publication date
US20080075881A1 (en) 2008-03-27

Similar Documents

Publication Publication Date Title
KR100791334B1 (ko) 원자층 증착법을 이용한 금속 산화막 형성 방법
US9466574B2 (en) Plasma-enhanced atomic layer deposition of conductive material over dielectric layers
US9631272B2 (en) Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
TWI432597B (zh) 金屬矽氮化物膜的電漿增強循環性沉積方法
JP4684706B2 (ja) 薄膜形成方法
TWI585857B (zh) 半導體裝置之製造方法、基板處理方法、及電腦可讀取記錄媒體
US20150364318A1 (en) Method for manufacturing semiconductor device, method for processing substrate, substrate processing apparatus and recording medium
US7595270B2 (en) Passivated stoichiometric metal nitride films
US20080113110A1 (en) Plasma-enhanced deposition of metal carbide films
US20090130414A1 (en) Preparation of A Metal-containing Film Via ALD or CVD Processes
TW201408810A (zh) 用於沉積貧氧金屬膜的方法
US20060078678A1 (en) Method of forming a thin film by atomic layer deposition
US7018469B2 (en) Atomic layer deposition methods of forming silicon dioxide comprising layers
US8372746B2 (en) Electrode of semiconductor device and method for fabricating capacitor
US20110014770A1 (en) Methods of forming a dielectric thin film of a semiconductor device and methods of manufacturing a capacitor having the same
US20230139917A1 (en) Selective deposition using thermal and plasma-enhanced process
US20230140812A1 (en) Selective thermal deposition method
KR100781543B1 (ko) 원자층 증착법을 이용한 금속 산화막 형성 방법
US7208412B2 (en) Method of forming metal oxide and semimetal oxide
KR20070114519A (ko) 캐패시터의 유전막 및 그 제조 방법과 이를 이용한 반도체소자의 캐패시터 및 그 제조 방법
WO2014112572A1 (ja) 半導体装置の製造方法および基板処理装置
KR100582405B1 (ko) 캐패시터 및 그 제조 방법
KR20240049771A (ko) 유전막 활성화제, 이를 사용하여 제조된 반도체 기판 및 반도체 소자
KR20050002011A (ko) 반도체 소자의 절연박막 형성방법
KR20050015442A (ko) 엠오씨브이디에 의한 산화하프늄 박막 증착 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Publication of correction
FPAY Annual fee payment

Payment date: 20121130

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131129

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee