TWI609426B - 在基板上形成氮化矽膜之方法、設備及系統 - Google Patents

在基板上形成氮化矽膜之方法、設備及系統 Download PDF

Info

Publication number
TWI609426B
TWI609426B TW102102054A TW102102054A TWI609426B TW I609426 B TWI609426 B TW I609426B TW 102102054 A TW102102054 A TW 102102054A TW 102102054 A TW102102054 A TW 102102054A TW I609426 B TWI609426 B TW I609426B
Authority
TW
Taiwan
Prior art keywords
substrate
nitrogen
tantalum nitride
reaction chamber
containing reactant
Prior art date
Application number
TW102102054A
Other languages
English (en)
Other versions
TW201342476A (zh
Inventor
丹尼斯 豪斯曼恩
強 亨利
史貴凡迪 巴頓 凡
伊斯華 斯尼華森
Original Assignee
諾發系統有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 諾發系統有限公司 filed Critical 諾發系統有限公司
Publication of TW201342476A publication Critical patent/TW201342476A/zh
Application granted granted Critical
Publication of TWI609426B publication Critical patent/TWI609426B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

在基板上形成氮化矽膜之方法、設備及系統 【優先權之主張】
本申請案主張申請於2012年1月20日之美國專利臨時申請案第61/588964號、及申請於2012年3月7日之美國專利申請案第13/414619號,其二者皆於此併入作為參考。
本揭露內容通常有關基板上之SiN材料的形成。本揭露內容尤其關於半導體基板上之SiN膜的形成。
氮化矽(SiN)薄膜具有獨特的物理、化學、及機械特性,且因此被用在各種應用中,尤其是半導體裝置(例如在擴散阻障層、閘極絕緣層、側壁間隔物、包覆層、電晶體中的應變膜、及其類似者之中)。伴隨SiN膜的一個問題為用以形成膜之相對高溫,例如在前段製程(FEOL)應用中,通常在大於750℃下使用二氯矽烷及氨於反應器中藉由化學氣相沉積(CVD)來沉積SiN膜。然而,當SiN膜被用在後段半導體製程中時,並且隨著裝置尺寸持續縮小,對於在較低溫(例如小於600℃)下形成SiN膜有逐漸增加的需求。
本發明所敘述為在基板上製作氮化矽(SiN)材料的方法。亦包括由該方法製作之改良SiN膜。一實施態樣涉及沉積無氯(Cl)保形SiN膜。在一些實施例中,SiN膜係無Cl且無碳(C)。另一實施態樣涉及調整保形SiN膜之應力及/或濕蝕刻速率。另一實施態樣涉及沉積高品質保 形SiN膜之低溫方法。在一些實施例中,該方法涉及使用三矽烷胺(TSA,trisilylamine)作為含矽前驅物。
一實施態樣係包括下列操作之方法:將基板週期性地曝露至無鹵素含矽反應物之氣相流量中,其中該無鹵素含矽反應物係吸附至基板的表面上;將基板曝露至第一含氮反應物之氣相流量中,其中該第一含氮反應物係吸附至基板的表面上;以及當該第一含氮反應物之氣相流量存在於反應腔室中、並且無鹵素含矽反應物之氣相流量已停止時,週期性地在反應腔室中激發電漿。在一些實施例中,無鹵素含矽反應物係TSA。在一些實施例中,第一含氮反應物係無碳的。無碳含氮反應物的例子包括氨及聯氨。在一些實施例中,第一含氮反應物係胺,例如C1-10烷基胺。在一些實施例中,第一含氮反應物係第三丁基胺。
在一些實施例中,將基板曝露至與第一含氮反應物不同之第二含氮反應物的氣相流量中。在第二含氮反應物包含碳的情況下,第一含氮反應物可係無碳的。在一些實施例中,第一含氮反應物對第二含氮反應物之體積流量比率介於約1:1與10:1之間,例如介於約1:1與4:1之間。在一些實施例中,第一含氮反應物對第二含氮反應物之體積流量比率介於約1:10與1:1之間,例如介於約1:4與1:1之間。
在一些實施例中,讓反應腔室中的壓力循環,使其於含矽反應物之氣相流量期間較高。例如,反應腔室中的壓力可於第一壓力與第二壓力之間循環,第一壓力介於約5與50Torr之間、並且第二壓力介於約1與5Torr之間。
在一些實施例中,藉由該方法使基板持續地曝露至第一含氮反應物之氣相流量。在一些實施例中,使基板週期性地曝露至第一含氮反應物之氣相流量。
在一些實施例中,於溫度維持在不高於約400℃或更低(例如不高於375℃、350℃、或325℃)的情況下,提供低溫製程。在一些實施例中,可調整氮化矽材料的應力。例如,可沉積具有介於約-4GPa與-2GPa之間的應力之氮化矽材料。在另一範例中,可沉積具有介於約-2GPa與1GPa之間的應力之氮化矽材料。氮化矽材料可係無鹵素的。在一些實施例中,氮化矽材料係無鹵素且無碳。
本發明之一實施態樣涉及在基板上形成氮化矽材料的方法,包括:將基板設置在反應腔室中;將基板曝露至氣相中之TSA反應物,以使TSA反應物吸附至基板的表面上;將基板曝露至氣相中之含氮反應物,以使含氮反應物吸附至基板的表面上;以及當含氮反應物存在於氣相中時,激發電漿。基板表面可包括凸起或凹入之特徵部。基板可包括例如金屬(如銅)、介電材料(如氧化矽)、或鍺銻碲(GST)合金其中一或多者。在一些實施例中,含氮反應物係如氨或聯氨之無碳含氮反應物。在一些實施例中,含氮反應物係含碳反應物。在一些實施例中,含氮反應物係含碳反應物及無碳含氮反應物之混合物。基板溫度可例如為介於約300℃與約450℃之間、或介於約300℃與約400℃之間。在一些實施例中,溫度小於400℃。在一些實施例中,RF功率可介於約0.15-0.5 W/cm2之間。
另一實施態樣涉及用於氮化矽膜的設備。該設備可包括反應腔室;用以形成氮化矽膜的活化能之源;反應物入口;以及控制器。控制器可包括用於下列操作之指令:於沉積循環期間,使第一及第二含氮反應物流入反應腔室;於沉積循環期間,使無鹵素含矽反應物週期性地流入反應腔室;以及當含矽反應物之流量已停止、並且第一及第二含氮反應物存在於反應腔室中之氣相中時,在反應腔室中週期性地激發電漿。
以下將參考相關圖式更詳細地敘述本發明的這些及其他特徵。
100‧‧‧製程
110A、110B‧‧‧沉積循環
120A、120B‧‧‧反應物A曝露階段
130‧‧‧反應物A後飽和曝露時間
140A、140B‧‧‧反應物B曝露階段
150‧‧‧反應物B後飽和曝露時間
160A、160B‧‧‧清除階段
180A、180B‧‧‧電漿活化階段
190‧‧‧電漿後飽和曝露時間
200‧‧‧製程流程
205、210、215、220‧‧‧操作
300‧‧‧製程流程
305、310、315、320‧‧‧操作
400‧‧‧製程流程
405、410、415、420、425‧‧‧操作
1300‧‧‧處理站
1301‧‧‧反應物遞送系統
1302‧‧‧處理腔室本體
1303‧‧‧汽化點
1304‧‧‧混合容器
1306‧‧‧噴淋頭
1307‧‧‧微體積
1308‧‧‧基座
1310‧‧‧加熱器
1312‧‧‧基板
1314‧‧‧RF電力供應
1316‧‧‧匹配網路
1318‧‧‧蝶形閥
1320‧‧‧混合容器進氣閥
2400‧‧‧多站處理工具
2402‧‧‧入站裝載鎖
2404‧‧‧出站裝載鎖
2406‧‧‧機械臂
2408‧‧‧箱體
2410‧‧‧大氣口
2412‧‧‧基座
2414‧‧‧處理腔室
2416‧‧‧腔室運送口
2418‧‧‧加熱基座
2450‧‧‧系統控制器
2452‧‧‧處理器
2454‧‧‧大量儲存裝置
2456‧‧‧記憶體裝置
2458‧‧‧系統控制軟體
2490‧‧‧晶圓搬運系統
圖1顯示CFD製程中之示範性階段的時間進展。
圖2-4顯示用於製作SiN膜的範例製程流程。
圖5繪示一CFD處理站之範例。
圖6繪示一多站處理工具之示意圖的範例。
圖7顯示利用TSA/氨系統所沉積之SiN膜的I-V曲線。
圖8顯示針對利用TSA/氨系統所沉積之SiN膜的各種特徵部高寬比之底部及側邊階梯覆蓋。
概要
本揭露內容有關SiN膜的形成,尤其在半導體基板上。於此敘述之方法包括控制SiN膜中碳含量的方法(尤其形成低碳含量SiN膜)、以及形成SiN膜的保形膜沉積(CFD)方法。
定義
除非另有所指,否則作為本文所使用者應適用以下定義。
「含矽反應物」係用以製作SiN材料之試劑(單一或混合物試劑),其中該試劑包含至少一矽化合物。矽化合物可為例如矽烷、鹵素矽烷、或胺基矽烷。矽烷包含氫及/或碳基團,但不包含鹵素。矽烷的例子為甲矽烷(SiH4)、乙矽烷(Si2H6)、以及如甲基矽烷、乙基矽烷、異丙基矽烷、第三丁基矽烷、二甲基矽烷、二乙基矽烷、二第三丁基矽烷、烯丙基矽烷、第二丁基矽烷、叔己基矽烷(thexylsilane)、異戊基矽烷、第三丁基乙矽烷、二第三丁基乙矽烷、及其類似之有機矽烷。鹵素矽烷包含至少一鹵素基團並且可(或可不)包含氫及/或碳基團。鹵素矽烷的例子為碘矽烷、溴矽烷、氯矽烷、及氟矽烷。雖然鹵素矽烷(尤其氟矽烷)能形成可蝕刻矽材料之反應性鹵化物物種,但在本文描述的一些實施例中,當電漿激發時不存在含矽反應物。具體的氯矽烷為四氯矽烷(SiCl4)、三氯矽烷(HSiCl3)、二氯矽烷(H2SiCl2)、一氯矽烷(ClSiH3)、氯烯丙基矽烷、氯甲基矽烷、二氯甲基矽烷、氯二甲基矽烷、氯乙基矽烷、第三丁基氯矽烷、二第三丁基氯矽烷、氯異丙基矽烷、氯第二丁基矽烷、第三丁基二甲基氯矽烷、叔己基二甲基氯矽烷(thexyldimethylchlorosilane)、及其類似者。胺基矽烷包括至少一氮原子鍵結至矽原子,但也可包含氫、氧、鹵素、及碳。胺基矽烷的例子為一、二、三、及四胺基矽烷(分別為H3Si(NH2)4、H2Si(NH2)2、HSi(NH2)3、及Si(NH2)4),以及例如第三丁基胺基矽烷、甲基胺基矽烷、第三丁基矽烷胺、二(第三丁基胺基)矽烷(SiH2(NHC(CH3)3)2(BTBAS)、第三丁基矽烷基氨基甲酸酯、SiH(CH3)-(N(CH3)2)2、SiHCl-(N(CH3)2)2、(Si(CH3)2NH)3、及其類似之經取代一、二、三、及四胺基矽烷。胺基矽烷的另一例子為三矽烷胺(N(SiH3))。
「含氮反應物」含有至少一個氮,例如氨、聯氨、如甲基胺、二甲基胺、乙基胺、異丙基胺、第三丁基胺、二第三丁基胺、環丙基胺、 第二丁基胺、環丁基胺、異戊基胺、2-甲基丁基-2-胺(2-methylbutan-2-amine)、三甲基胺、二異丙基胺、二乙基異丙基胺、二第三丁基聯氨之胺類(帶有碳之胺)、以及包含如苯胺、吡啶(pyridines)、及苯甲基胺之胺類的芳香族。胺可為一級、二級、三級、或四級(例如四烷基銨化合物)。含氮反應物可包含除了氮以外之雜原子,例如羥基胺、第三丁基氧羰基胺(t-butyloxycarbonyl)、及N-第三丁基羥基胺(N-t-butylhydroxylamine)係含氮反應物。
「電漿」是指在反應腔室中或遠端激發並帶入反應腔室之電漿。電漿可包括於此所述之反應物,並且可包括其他例如載體氣體、或反應性物種(如氫氣)之媒介物。當電漿激起時反應物及其他媒介可存在於反應腔室中、或遠端電漿可流入其中存在反應物之腔室、及/或反應物及/或載體氣體可遠端激發至電漿中並帶入反應腔室。「電漿」意指包括已知技術上可行之任何電漿,包括感應耦合電漿及微波表面波電漿。本領域中具有通常技術者會瞭解將出現技術中的進步,且因此未發展之電漿產生技術預期落在本發明的範圍內。
「可熱移除基團」(thermally removable group)是指在約200℃與約500℃之間分解成揮發性成分之含氮反應物及含矽反應物其中一者或兩者上的一部份。於此所述係例如在此溫度範圍中經過脫去反應之二級及三級碳基團的非限制性範例。本領域中具有通常技術者將瞭解到其他基團如所述般藉由其他機制而熱分解,例如第三丁基氧羰基(t-BOC或「BOC」,t-butyloxycarbonyl)經由其中該基團的第三丁基部份形成異丁烯之脫去機制、以及形成二氧化碳之分解作用而熱分解。因此可熱移除基團不限於特定機制或機制的組合。只要基團在指定溫度範圍下分解以產生至少一揮發性成分,則其具有作為可熱分解基團的資格。例如,在給定一組條件下,第三丁基乙基胺將經過第三丁基的熱分解以形成異丁烯、而保留乙基,且因此異丁烯及乙基胺為熱分解的產物。本領域中具有通常技術者將瞭解到組成物的揮發性在某種程度上取決於產生組成物的反應條件。舉例而言,由於異丁烯不與吸附反應物反應,因此在加熱及低壓的條件下異丁烯可揮發並且自反應腔室移除;然而,例如氨(儘管通常為揮發性化合物)則與吸附在基板的表面上之含矽反應物進行反應。
方法
於此所述係製作SiN膜的方法。在具體實施例中,SiN膜係利用電漿活化保形膜沉積(CFD)來製作。在一些實施例中,SiN膜係使用胺基矽烷含矽反應物來沉積。在一些實施例中,SiN膜係使用非鹵素胺基矽烷來沉積。在一些實施例中,SiN膜係使用三矽烷胺來沉積。
在一些實施例中,SiN膜係使用無碳含氮反應物來沉積。在一些實施例中,SiN膜係使用氨來沉積。在一些實施例中,SiN膜係使用無碳化合物含氮反應物及含碳含氮反應物之混合物來沉積。
在一些實施例中,沉積無鹵素保形SiN膜。在一些實施例中,沉積無鹵素且無碳保形SiN膜。在一些實施例中,沉積具有經調整過之應力的無鹵素保形SiN膜。在一些實施例中,在小於約400℃的溫度下沉積保形SiN膜。以下更詳細描述這些實施態樣之每一者。
在一些實施例中,CFD係用以沉積SiN膜(儘管於此所述之方法不限於CFD)。其他適合的方法包括ALD、PEALD、CVD、PECVD、及電漿輔助循環化學氣相沉積(PECCVD)。利用CFD來形成膜之方法係描述在2011年4月11日所申請之美國專利申請案第13/084399號中,並且其係於此併入作為所有目的之參考。提供CFD之簡短描述作為背景。
半導體裝置的製造通常涉及在一整合製程中於非平面基板上沉積一或更多薄膜。在整合製程的一些實施態樣中,沉積保形薄膜是有幫助的。例如,可在提高之閘極堆疊的頂部上沉積氮化矽膜作為用以保護輕度摻雜源極和汲極區域免於受到後續之離子植入製程影響的間隔層。
在間隔層沉積製程中,化學氣相沉積(CVD)製程可用以在非平面基板上形成隨後進行非等向蝕刻以形成間隔層結構之氮化矽膜。然而,隨著閘極堆疊之間的距離減小,CVD氣相反應的質量傳輸限制可能導致「麵包條」(“bread-loafing”)沉積效應。如此效應通常展現在閘極堆疊的頂面處之較厚沉積、以及在閘極堆疊的底角處之較薄沉積。此外,因為一些晶粒可具有不同裝置密度的區域,所以晶圓表面範圍之質量傳輸效應可能導致晶粒內和晶圓內的膜厚度差異。這些厚度差異可能導致一些區域的過蝕刻及其他區域的蝕刻不足。這可能降低裝置效能及/或晶粒良率。
對應這些問題的一些方法涉及原子層沉積(ALD)。與其中使 用熱活化氣相反應以沉積膜之CVD製程相比,ALD製程使用表面介導沉積反應在逐層基礎上沉積膜。在一範例性ALD製程中,使包括表面活性部位之群體的基板表面曝露至第一反應物(A)之氣相分佈。一些反應物A的分子可在包含反應物A的化學吸附物種及物理吸附分子之基板表面的頂上形成凝相。然後排空反應器以移除氣相及物理吸附反應物A使得僅化學吸附物種存留。然後將第二膜反應物(B)導入反應器以使反應物B的一些分子吸附至基板表面。提供至基板之熱能使反應物A及B的吸附分子之間的表面反應活化,從而形成膜層。最後,將反應器排空以移除反應副產物和未反應的反應物B,而結束ALD循環。可包括額外ALD循環以增長薄膜厚度。電漿或其他具能量的手段可結合加熱使用,或作為替代方法來對基板加熱以驅動反應物A及B之間的反應。
依據反應物施用步驟的曝露時間及反應物的黏附係數,在一實例中各ALD循環可沉積介於二分之一埃與三埃厚之膜層。因此,當沉積大於數奈米厚的膜時,ALD製程會很耗時。此外,一些反應物會具有長曝露時間以沉積保形膜,而其亦會減低晶圓吞吐量時間。
亦可將保形膜沉積在平面基板上。例如,可由包含交替膜類型之平面堆疊形成用於微影圖案化應用之抗反射層。如此之抗反射層可為大約100至1000埃厚,因而使得ALD製程比CVD製程較不具吸引力。然而,如此之抗反射層亦可具有比許多CVD製程可提供較為低的晶圓內厚度差異之允差。例如,600埃厚的抗反射層可容許小於3埃的厚度範圍。
於此描述之各種實施例包括用以沉積SiN膜之CFD。一般而言,CFD不依賴在形成SiN的反應之前完全清除一或更多反應物。例如,當電漿(或其他活化能量)激起時,會有一或更多反應物存在於氣相中。因此,在範例CFD製程中可縮短或排除一或更多描述在ALD製程中的製程步驟。此外,在一些實施例中,沉積反應之電漿活化可導致比熱活化反應更低的沉積溫度,從而潛在地降低整合製程的熱預算。
圖1顯示CFD製程100中關於各種製程參數(例如惰性氣體流量、反應物A、反應物B、以及何時激發電漿)的範例階段之時間進展。在圖1中,顯示二沉積循環110A及110B。本領域中具有通常技術者將瞭解到任何合適數目的沉積循環可包括在CFD製程中以沉積期望之膜厚度。範 例CFD製程參數包括(但不限於)惰性及反應物物種之流速、電漿功率及頻率、基板溫度、及處理站壓力。
CFD「循環」的概念與本文中各種實施例的討論有關。通常一循環係執行一次表面沉積反應所需之最小一組操作。一循環的結果係在基板表面上產生至少一部份膜層。通常,CFD循環將僅包含那些遞送及吸附各反應物至基板表面、並隨後使那些吸附之反應物發生反應以形成膜的部份層之必要步驟。循環可包含一些輔助步驟,例如清除反應物或副產物之一者、及/或處理所沉積之部份膜。一般而言,一循環僅包含唯一的操作序列之實例。作為一範例,一循環可包含以下操作:(i)反應物A之遞送/吸附、(ii)反應物B之遞送/吸附、(iii)將B清除至反應腔室外、以及(iv)施加電漿以驅動A和B之表面反應以便在表面上形成部份膜層。
參考圖1,惰性氣體在製程100的所有階段期間流通。在反應物A曝露階段120A,以受控之流速將反應物A供應至處理站以使基板的曝露表面飽和。反應物A可為任何合適的沉積反應物,例如含氮反應物。在圖1所示之實施例中,反應物A在沉積循環110A及110B自始至終持續流入。不同於其中隔開膜前驅物(反應物)曝露以防止氣相反應之典型ALD製程,在CFD製程的一些實施例之氣相中可允許反應物A和B混合。相較於其中先將反應物A開啟、隨後穩定並曝露至基板、然後關閉、以及最後自反應器移除之ALD製程,持續供應反應物A至處理站可縮短或排除反應物A流速開啟及穩定時間。雖然圖1所示之實施例繪示反應物A曝露階段120A為具有固定流速,但應瞭解到在本揭露內容的範圍內可採用任何合適的反應物A流量(包括變動流量)。在一些實施例中,反應物A曝露階段120A可具有超過反應物A的基板表面飽和時間之持續時間。例如,圖1之實施例包括反應物A曝露階段120A中之反應物A後飽和曝露時間130。選擇性地,反應物A曝露階段120A可包括惰性氣體的受控流速。範例惰性氣體包括(但不限於)氮、氬、及氦。可提供惰性氣體以幫助處理站的壓力及/或溫度控制、液體反應物的汽化、更多反應物的快速遞送、及/或作為用以自處理站及/或處理站管路移除處理氣體之清除氣體。
在圖1所示之實施例的反應物B曝露階段140A,將反應物B以受控之流速供應至處理站以使曝露之基板表面飽和。在此範例中,反應 物B可例如為含氮反應物。雖然圖1之實施例繪示反應物B曝露階段140A為具有固定流速,但應瞭解到在本揭露內容的範圍內可採用任何合適的反應物B流量(包括變動流量)。此外,應瞭解到反應物B曝露階段140A可具有任何合適的持續時間。在一些實施例中,反應物B曝露階段140A可具有超過反應物B的基板表面飽和時間之持續時間。例如,圖1所示之實施例繪示包括在反應物B曝露階段140A之中的反應物B後飽和曝露時間150。
在一些實施例中,表面吸附之B物種可如不連續島狀存在於基板表面上,而使其難以達到反應物B的表面飽和。各種表面條件可延遲反應物B於基板表面上的成核及飽和。舉例而言,在反應物A及/或B吸附時所釋放之配位基可阻斷一些表面活性部位,而防止進一步吸附反應物B。因此,在一些實施例中,反應物B之連續吸附層可在反應物B曝露階段140A期間藉由調整反應物B的流量及/或離散地以脈衝輸送反應物B進入處理站來提供。此可為表面吸附及脫附過程提供額外時間,同時與固定流量情形相比更為節省反應物B。額外地(或選擇性地),在一些實施例中,可於反應物B的連續曝露之間包括一或更多清除階段。
在電漿的活化之前,在一些實施例中可於清除階段160A中自處理站移除氣相反應物B。清除處理站可避免其中反應物B對電漿活化不穩定或其中可能形成不必要之物種的氣相反應。此外,清除處理站可移除表面吸附之配位基,否則其可能餘留並污染膜。範例清除氣體可包括(但不限於)氬、氦、及氮。在圖1所示之實施例中,用於清除階段160A之清除氣體係由連續惰性氣體流所供應。在一些實施例中,清除階段160A可包括一或更多用以排空處理站之排空次階段。或者,應瞭解到在一些實施例中可省略清除階段160A。
清除階段160A可具有任何合適的持續時間。在一些實施例中,增加一或更多清除氣體的流速可減短清除階段160A的持續時間。例如,可根據各種反應物熱力特性、及/或處理站的幾何特性、及/或處理站管路來調整清除氣體流速,從而修改清除階段160A的持續時間。在一非限制性範例中,可藉由調整清除氣體流速而使清除階段的持續時間最佳化。此可減短沉積循環時間,從而可增進基板吞吐量。
在圖1所示之實施例的電漿活化階段180A,提供電漿能量 以活化表面吸附反應物A和B之間的表面反應。例如,電漿可直接地或間接地活化反應物A的氣相分子以形成反應物A自由基。這些自由基隨後可與表面吸附反應物B交互作用,從而導致膜形成表面反應。沉積循環110A結束於電漿活化階段180A,在圖1之實施例中沉積循環110A後接以反應物A曝露階段120B為開始、並接續B曝露階段140B、清除階段160B、及電漿活化階段180B之沉積循環110B。
在一些實施例中,於電漿活化階段180A中激發之電漿可直接在基板表面上方形成。此可提供更大的電漿密度並且增進反應物A和B之間的表面反應速率。例如,可使用二電容式耦合板藉由施加射頻(RF)場至低壓氣體來產生用於CFD製程之電漿。可使用任何合適的氣體來形成電漿。在此範例中,使用如氬或氦之惰性氣體連同反應物A(含氮反應物)來形成電漿。藉由RF場使平板之間的氣體離子化來激發電漿,從而在電漿放電區域中產生自由電子。這些電子受到RF場加速,並且可與氣相反應物分子碰撞。這些電子與反應物分子的碰撞可形成參與沉積製程之自由基物種。應瞭解到RF場可經由任何合適的電極耦合。電極的非限制性範例包括處理氣體分配噴淋頭及基板支撐座。應瞭解到除了電容式耦合RF場至氣體之外,還可藉由一或更多合適的方法來形成用於CFD製程之電漿。
電漿活化階段180A可具有任何合適的持續時間。在一些實施例中,電漿活化階段180A可具有超過電漿活化自由基與所有曝露之基板表面及被吸附物交互作用、從而在基板表面頂上形成連續膜之時間的持續時間。例如,圖1所示之實施例在電漿活化階段180A中包括電漿後飽和曝露時間190。
在一些實施例中,延長電漿曝露時間及/或提供複數電漿曝露階段可提供沉積膜之主體及/或近表面部份的後反應處理。在一實施例中,減少表面污染可使表面準備好對反應物A的吸附。例如,由含矽反應物與含氮反應物之反應所形成的氮化矽膜可具有能抵抗後續反應物之吸附的表面。以電漿處理氮化矽表面可產生用以促進後續吸附及反應事件之氫鍵。於此所述之SiN膜可曝露至非電漿處理。
在一些實施例中,採用除了電漿處理以外的處理來修改所沉積膜之特性。如此處理包括電磁輻射處理、熱處理(例如回火或高溫脈衝)、 及其類似者。這些處理之任一者可單獨或結合另一處理(包括電漿處理)來執行。如此處理之任一者可用作替代任一上述之電漿處理。在一具體實施例中,該處理涉及使薄膜曝露至紫外線輻射。如以下所述,在一具體實施例中,該方法涉及原位(即於膜的形成期間)或膜沉積之後施加UV輻射至膜。如此處理用以減少或消除缺陷結構,並提供改善之電性效能。
在一些具體實施例中,UV處理可與電漿處理結合。此二操作可同時或相繼執行。在相繼的選項中,UV操作選擇性地首先發生。在同時的選項中,該二處理可由各自的源(例如用於電漿之RF電源與用於UV之燈)、或由單一源(例如產生UV輻射作為副產品之氦電漿)提供。
在一些實施例中,如膜應力、介電常數、折射率、蝕刻速率之膜特性可藉由修改電漿參數而加以調整。
雖然於此所討論的許多範例包括二反應物(A和B),但應瞭解到在本揭露內容的範圍內可採用任何合適數目的反應物。在一些實施例中,可使用供應表面反應用之電漿能量的單一反應物及惰性氣體。或者,一些實施例可使用多數反應物來沉積膜。例如,在一些實施例中,可藉由一含矽反應物以及一或更多含氮反應物、或者一或更多含矽反應物以及單一含氮反應物、或者含矽反應物以及含氮反應物皆多於一個之反應而形成氮化矽膜。
在一些實施例中,採用無鹵素含矽反應物來沉積無鹵素SiN膜。圖1提供可用以沉積無鹵素SiN膜之製程的範例,而三矽烷胺(TSA)於圖1之範例中用作反應物B。雖然圖1提供了沉積SiN膜之製程的範例,但可能有各種修改。例如,在一些實施例中,可使反應物A及B的流量在該流量之間具有選擇性清除氣體的情況下交替。在另一範例中,在反應物B為含氮反應物的情況下,反應物A可為含矽反應物。在一些實施例中,只能在含矽反應物的流量停止時激起電漿。
在一些實施例中,採用無鹵素含矽反應物。在特定的範例中,三矽烷胺(TSA)係用作含矽反應物。TSA係可分離、穩定之胺基矽烷。
圖2及3繪示使用TSA之製程流程200及300的範例。首先注意到圖2,將基板設置在腔室(見操作205)。基板可為其上需要SiN膜之任何合適的基板。例如,基板可為部份製作之積體電路、快閃記憶體或相 變記憶體基板。基板可提供為空白基板(例如空白矽基板)、或其上沉積一或多層。其上待沉積SiN膜之表面可為(或包括)例如矽、多晶矽、銅、鈦、鎢、二氧化矽、或鍺銻碲(GST)合金。在一些實施例中,表面包括一或更多凸起或凹入之特徵部。一或更多凸起特徵部可具有例如2:1-10:1的高寬比。基板係曝露至TSA(見操作210)。在一些實施例中,操作210係非電漿操作。在一些實施例中,反應器可加壓至例如約5與50 Torr之間的第一壓力。在一特定實施例中,於操作210期間壓力為約20 Torr。可根據期望之實施例使用此範圍以外之壓力。TSA係吸附在基板表面上。在期望之TSA數量吸附於表面上之後,停止TSA的流量(未顯示)。隨後將基板曝露至一或更多含氮反應物(見操作215)。當含氮反應物存在氣相中時激起電漿(見操作220),從而在基板上形成無鹵素SiN膜。在一些實施例中,讓反應器中的壓力循環,使其於操作215及/或220期間較操作210為低。舉例而言,這些操作期間的壓力可介於約1與5 Torr之間,例如2 Torr。在一些實施例中,於操作220之後可停止含氮反應物的流量(未顯示)。
在一實施例中,於激起電漿之前,藉由惰性氣體及/或含氮反應物的流量將未吸附至基板的表面之TSA清出腔室。在另一實施例中,可利用清除來移除氣相含矽反應物。在一些實施例中,清除同樣可在操作220之後使用。
可執行一或更多操作210-220之重複以建立SiN層。在一實施例中,重複這些操作以便在基板上形成介於約1 nm與約100 nm厚之保形層。在另一實施例中,介於約5 nm與約50 nm厚。在另一實施例中,介於約5 nm與約30 nm厚。
在使用於此所述之任一方法的實施例中,基板係加熱至約50℃與約550℃之間、或尤其從約300℃至約450℃(例如約350℃或400℃)。在一實施例中,於整個沉積過程對晶圓加熱;在其他實施例中,於沉積期間或於沉積步驟後週期性地對晶圓加熱作為回火。
圖3繪示了概述該方法之實施例的實施態樣之製程流程300。將基板設置至腔室(見操作305)。於整個製程流程300期間建立並持續一或更多含氮反應物之流量(見操作310)。使基板週期性地曝露至TSA(見操作315)。又,週期性地激起電漿,但僅於TSA反應物流量已停止時 (見操作320)。在一實施例中,藉由惰性氣體及/或含氮反應物的流量將未吸附至基板的表面之TSA清出腔室。在另一實施例中,可使用清除。在電漿處理之後,完成該循環。操作310-320可重複數次以建立期望厚度之層。
圖4繪示了概述該方法之實施例的實施態樣之製程流程400。將基板設置至腔室(見操作405)。建立載體流量(見操作410)。使基板曝露至含氮反應物(見操作415)。使基板曝露至含矽反應物(見操作420)。在含矽反應物流量停止後激起電漿(見操作425)。此反應形成SiN。執行一或更多操作410-425之重複以建立期望厚度之層。在此方法中,操作415及420不必以所述順序完成。含氮反應物流量可(或可不)連續。
各種額外操作可結合至任何上述製程中。例如,在一些實施例中,在全部或部份SiN膜形成至期望厚度後,可將SiN膜曝露至氫電漿。這可從SiN膜移除碳含量(若有的話)。在一實施例中,氫電漿係使用氫(H2)以及如氮、氦、或氬之載體氣體來產生。其他含氫氣體、或藉由遠端電漿源所產生之活性氫原子可用以處理該沉積膜。此外,在一些實施例中,膜的碳含量可藉由修改一或更多處理脈衝數目及其持續時間、處理電漿的強度、基板溫度、及處理氣體組成物而調整至任何合適的濃度。
無鹵素含矽反應物
雖然圖2-4提供了使用TSA沉積SiN之範例製程流程,但在一些實施例中,非鹵素胺基矽烷可代替TSA使用或在TSA之外使用來沉積其他SiN膜。TSA或其他胺基矽烷可不被取代或選擇性地以任何非鹵素取代基取代。在一些實施例中,使用不被取代之TSA(SiH3)3N。在一些實施例中,可使用以一或更多含碳取代基取代之TSA。含碳取代基的例子包括烷基、烯基、炔基、及其他有機基團。
無鹵素含矽反應物的使用對於在一些特別容易受到沉積製程之鹵化物副產物蝕刻及/或腐蝕影響之基板上的沉積會具有優勢。這些包括金屬基板(如銅、鎢、鈦基板)以及GST合金。例如,鎢及氯化物副產物可反應形成揮發性六氯化鎢,從而移除下方的鎢。在另一範例中,氯化物氣體會腐蝕銅。
無鹵素含矽反應物的使用對於低溫(例如400℃及小於400℃)反應也會有幫助。這是因為含鹵素矽反應物在低溫反應中會產生無法 如揮發性副產物般移除之固體副產物(如NH4Cl)。TSA可用於低溫反應,因其在表面處較例如二氯矽烷(DCS)更具反應性。
在一些實施例中,TSA可與任何合適的含氮反應物一起使用。在一實施例中,含氮反應物係選自由氨、聯氨、胺、及其混合物所組成的群組。在一實施例中,含氮反應物包括C1-10烷基胺或C1-10烷基胺之混合物。在一實施例中,C1-10烷基胺係一級烷基胺或二級烷基胺。在一實施例中,C1-10烷基胺係一級烷基胺。在一實施例中,C1-10烷基胺係根據化學式I: 其中R1、R2、及R3之每一者係H或C1-3烷基(與他者無關);或R1、R2、及R3之其中二者與其所接附之碳原子一起形成C3-7環烷基,並且其餘R1、R2、及R3係H或C1-3烷基。在一實施例中,C1-10烷基胺具有直接接附至氮之二級或三級碳。在一實施例中,C1-10烷基胺係選自由異丙基胺、環丙基胺、第二丁基胺、第三丁基胺、環丁基胺、異戊基胺、2-甲基丁基-2-胺、及叔己基胺(thexylamine)(2,3-二乙基丁基-2-胺)(2,3-dimethylbutan-2-amine)所組成的群組。在一實施例中,化學式I之C1-10烷基胺當中的R1、R2、及R3之每一者係C1-3烷基。在一實施例中,C1-10烷基胺係第三丁基胺(TBA)。由於上述原因,故TBA會特別有用。
在一些實施例中,所產生之SiN膜具有不適當的碳含量。此膜內碳可能導致漏電,並可能使該膜無法用於一些介電阻障層應用。碳含量會變化,但在一些實施例中大約10%碳(依重量)會視為過高。於此所述之方法應對SiN膜中不必要的碳。於此所述之方法產生具有低於2%碳之SiN膜,在一實施例中低於1%碳,在又另一實施例中低於0.5%碳。雖然本領域中具有通常技術者所知的其他分析方法可量測在這些範圍中的碳含量,但是在一些實施例中,碳殘留物之減少可在FTIR光譜中輕易地觀察到。
在一些實施例中,含氮反應物可包含可熱移除基團。可熱移除基團係在約200℃與約500℃之間分解成揮發性成份之基團。例如,二級和尤其三級碳基團可在此溫度範圍中進行脫去反應。在一特定的範例中,第三丁基在此溫度範圍中分解而形成異丁烯。例如,當第三丁基胺被 加熱時進行脫去反應而形成異丁烯及氨。作為另一範例,第三丁基氧羰基(t-BOC)同樣例如在約150℃下熱分解而形成異丁烯、二氧化碳、以及t-BOC基團所接附之自由基。例如,第三丁基氨基甲酸酯熱分解而產生異丁烯氨及二氧化碳。
基板可加熱至約200℃與約550℃之間使得此類基團分解並釋出其碳含量,且因此降低SiN膜的碳含量。反應物係吸附至基板上,電漿係用以將反應物轉變成SiN材料。剩餘碳基團可藉由對基板加熱而移除。可在整個沉積期間或週期性地執行加熱以分解可熱移除基團。在一實施例中,基板係加熱至約200℃與約550℃之間、在另一實施例約350℃與約550℃之間、在另一實施例約450℃與約550℃之間、以及在另一實施例約450℃與約500℃之間。在例如其中使用TBA之實施例中,SiN膜可加熱至約450℃與約500℃之間,長達約1秒與約30秒之間、或約1秒與約20秒之間、或約1秒與約10秒之間。雖然任一特定可熱移除基團在某溫度臨限值將分解,但仍可使用更高溫度以增加分解速率及/或作為回火以改善SiN膜的特性。
如以上所述,可熱移除基團可包括二級或三級碳官能。含矽反應物及含氮反應物之其中一或二者可包括一或更多相同或不同的可熱移除基團。在一實施例中,可熱移除基團係根據化學式II: 其中R1、R2、及R3之每一者係H或C1-3烷基(與他者無關);或R1、R2、及R3之其中二者與其所接附之碳原子形成C3-7環烷基,並且其餘R1、R2、及R3係H或C1-3烷基;且其中該可熱移除基團之每一者係接附至含氮反應物之氮或氧(當為含氮反應物之一部份時),並且係接附至含矽反應物之矽或氮或氧(當為含矽反應物之一部份時)。在一實施例中,R1、R2、及R3之每一者係C1-3烷基(與他者無關)。在一實施例中,可熱移除基團係第三丁基。
無碳含氮反應物
在一些實施例中,含氮反應物係無碳含氮反應物。例子包括 氨及聯氨。例如,在一些實施例中,TSA及氨係分別用作沉積SiN之含矽反應物及含氮反應物。
在一些實施例中,例如無碳含氮反應物係用以沉積快閃記憶體之SiO2/SiN/SiO2(亦稱為ONO堆疊)的SiN膜。所沉積之膜可為無鹵素且無碳,甚至沒有微量的鹵素或碳存在(除非來自如人體之污染源、或在沉積工具中於先前處理之後未充分清除)。
調整應力及濕蝕刻速率
在一些實施例中,可挑選含氮反應物以調整所沉積SiN膜的應力及/或濕蝕刻速率。例如,氨或其他無碳含氮反應物可用以沉積具有高濕蝕刻速率的拉伸膜。
在另一實施例中,TBA或含碳含氮反應物可用以沉積蝕刻光阻(壓縮膜)。
在一些實施例中,二含氮反應物可用以調整所期望之膜的應力。例如,含碳含氮反應物(例如TBA)及無碳含氮反應物(例如氨)可用以沉積具有期望之應力及濕蝕刻速率的SiN膜。在一些實施例中,這些含氮反應物可與TSA一起使用以沉積具有期望之應力及濕蝕刻速率的無氯SiN膜。
以此方式,可達到具有範圍從-4 GPa(壓縮)至2 GPa(拉伸)的SiN膜。例如,在一些實施例中,利用純TBA(或其他含碳含氮反應物)之含氮反應物流量可達到具有介於約-4 GPa與約-2 GPa之間的應力之壓縮SiN膜。在一些實施例中,利用純氨(或其他無碳含氮反應物)之含氮反應物流量可達到具有介於約1 GPa與約2 GPa之間的應力之拉伸SiN膜。在一些實施例中,利用TBA(或其他含碳含氮反應物)及氨(或其他無碳含氮反應物)之混合物可達到具有介於約-2 GPa與1 GPa之間的應力之SiN膜。
可根據特定實施例及其他製程條件(包括於此所述製程之電漿階段期間的RF功率以及電漿持續時間)來修改利用純TBA(或其他含碳含氮反應物)、純氨(或其他無碳含氮反應物)、及其混合物可達到之應力值。更久且更高功率電漿可使SiN膜更為壓縮。在一些實施例中,利用TSA以及僅無碳含氮反應物(例如氨或聯氨)所沉積之SiN膜可藉由適當地調 節RF功率而調整成具有任何從例如-0.5 GPa之輕微壓縮至高達2 GPa之拉伸應力。
在一些實施例中,例如可利用TSA及無碳含氮反應物在約0.15 W/cm2之HFRF功率的情況下沉積拉伸(1-2 GPa)SiN膜,而利用TSA及無碳含氮反應物在接近1 W/cm2之HFRF功率的情況下沉積輕微壓縮SiN膜。(RF功率係表示成:W/基板面積,例如300 mm晶圓具有大約706 cm2的面積。)可藉由添加TBA或其他含碳含氮反應物將膜製作得更為壓縮。在一些情況下,當將相當高的RF功率用以分解TBA分子的第三丁基時,可能難以利用TBA形成拉伸膜。因此,利用例如氨或聯氨之無碳含氮反應物可有助於達到拉伸膜。RF電漿為僅有HF之電漿(儘管在一些其他實施例中可增加一些LF功率)。
利用TSA及無碳反應物系統可達成之濕蝕刻範圍亦大於習知製程。針對如上述之低HFRF功率,例如利用TSA/氨所沉積之SiN膜對熱氧化物之濕蝕刻速率比率(WERR)可為約2.0。增加HFRF功率至約1 W/cm2或更大可降低WERR至約0.5。此對比DCS/TBA系統之WERR(其範圍可從約0.1至1.0)。
低溫沉積
如以上所述,由於TSA在表面處較例如二氯矽烷更具反應性,故其可用於低溫反應。此外,在一些實施例中,無碳含氮反應物在低溫下相較TBA或其他含氮反應物允許高品質SiN膜的沉積。例如,由於TBA分子中的第三丁基之不佳分解動能,因此DCS/TBA系統在400℃及以下開始顯出劣化之側壁沉積。
在一些實施例中,利用無鹵素胺基矽烷無碳含氮反應物之SiN沉積可在顯著低於DCS/TBA及類似系統所能達到之溫度下提供高品質SiN膜。例如,TSA/氨在350℃、以及400℃或450℃下提供高品質沉積。因此,在一些實施例中,TSA可與一或更多無碳含氮反應物一起使用在範圍從50℃至450℃、或從50℃至400℃、或從50℃至350℃的溫度下。
保形膜
根據各種實施例,於此所述之製程可沉積與SiN膜所沉積於其上之基板表面高度保形之SiN膜。在一些實施例中,階梯覆蓋至少90%。 為了此敘述的目的,「階梯覆蓋」係定義為沉積膜之二厚度的比率,底部階梯覆蓋為以下比率:特徵部於底部處的厚度/特徵部於頂部處的厚度;並且側面階梯覆蓋為以下比率:特徵部於側壁上的厚度/特徵部於頂部處的厚度。
設備
本發明之另一實施態樣係配置成實現本文所述之方法的設備。合適的設備包括依據本發明之用於實現製程操作之硬體、以及具有用於控制製程操作之指令的系統控制器。
應瞭解到在以上所述之一或更多實施例的情況下可採用任何合適的處理站。例如,圖5示意地顯示CFD處理站1300。為簡單起見,CFD處理站1300係繪示為具有用以維持低壓環境之處理腔室本體1302之獨立處理站。然而,應瞭解到複數CFD處理站1300可包括在共同的低壓處理工具環境中。雖然圖5所示之實施例顯示一處理站,但應瞭解到在一些實施例中複數處理站可包括在一處理工具中。例如,圖6繪示一多站處理工具2400之實施例。此外,應瞭解到在一些實施例中可藉由一或更多電腦控制器程式性地調整CFD處理站1300之一或更多硬體參數(包括以下詳述之參數)。
CFD處理站1300與用於遞送處理氣體至分配噴淋頭1306之反應物遞送系統1301流體式連通。反應物遞送系統1301包括用以混和及/或調節遞送至噴淋頭1306之處理氣體的混合容器1304。一或更多混合容器進氣閥1320可控制處理氣體至混合容器1304之導入。
一些反應物可在汽化並隨後遞送至處理站之前以液體形式儲存。例如,圖5之設備包括用於汽化欲供應至混合容器1304之液態反應物的汽化點1303。在一些實施例中,汽化點1303可為加熱之汽化器。從如此汽化器產生的飽和反應物蒸汽可在下游遞送管道中凝結。不相容氣體曝露至凝結的反應物可能產生小顆粒。這些小顆粒會阻塞管道、妨礙閥操作、污染基板等等。應對這些問題的一些方法涉及清除及/或排空遞送管道以移除殘留反應物。然而,清除遞送管道會增加處理站循環時間,從而降低處理站吞吐量。因此,在一些實施例中,對汽化點1303下游之遞送管道進行熱追蹤。在一些範例中,同樣對混合容器1304進行熱追蹤。在一非限制性範例中,汽化點1303下游之管道具有在混合容器1304處從大約100℃延 伸至大約150℃之遞增溫度曲線。
在一些實施例中,反應物液體係於液體注入器汽化。例如,液體注入器可將液體反應物之脈衝注入在混合容器上游之載體氣體流中。在一實施例中,液體注入器藉由將液體從較高壓力急驟蒸發至較低壓力來使反應物汽化。在另一實施例中,液體注入器使液體霧化成分散微滴,分散微滴隨後在加熱遞送管道中汽化。應瞭解到較小液滴可比較大液滴更快汽化,從而縮短液體注入和完全汽化之間的延遲。更快的汽化可減短自汽化點1303下游管道的長度。在一實施例中,液體注入器係直接安裝至混合容器1304。在另一實施例中,液體注入器係直接安裝至噴淋頭1306。
在一些實施例中,汽化點1303上游之液體流量控制器係設置以控制汽化並遞送至處理站1300之液體的質流。在一範例中,液體流量控制器(LFC)包括位於LFC下游之熱質流計(MFM,thermal mass flow meter)。LFC之活塞閥係因應由與MFM電性連通之比例-積分-微分(PID)控制器所提供之反饋控制信號而調整。然而,利用反饋控制來使液體流量穩定需要1秒鐘或更久。這會延長施用液體反應物的時間。因此,在一些實施例中,LFC係於反饋控制模式及直接控制模式之間作動態切換。在一些實施例中,LFC藉由停用LFC的感測管及PID控制器而從反饋控制模式動態切換至直接控制模式。
噴淋頭1306朝基板1312分配處理氣體。在圖5所示的實施例中,基板1312係設置在噴淋頭1306下方,並且如所示般置於基座1308上。應瞭解到噴淋頭1306可具有任何合適的形狀,並且可具有用於分配處理氣體至基板1312之任何合適的數目及配置之埠口。
在一些實施例中,微體積1307係位在噴淋頭1306下方。在微體積中(而非在處理站的整個體積中)執行CFD製程可縮短反應物曝露及清除時間、可縮短修改CFD製程條件(例如壓力、溫度等等)的時間、可限制處理站機械臂曝露至處理氣體等等。範例微體積大小包括(但不限於)介於0.1公升與2公升之間的體積。
在一些實施例中,基座1308可上升或下降以使基板1312曝露至微體積1307及/或改變微體積1307的體積。例如,在基板搬運階段中,降下基座1308以允許基板1312裝載至基座1308上。於CFD製程階段期間, 升高基座1308以使基板1312位於微體積1307之內。在一些實施例中,微體積1307完全圍住基板1312以及部份基座1308以便在CFD製程期間產生高流阻。
選擇性地,基座1308可於部份CFD製程期間下降及/或上升以調節微體積1307內的處理壓力、反應物濃度等等。在其中處理腔室本體1302於CFD製程期間保持在基礎壓力之實施例中,降下基座1308允許排空微體積1307。微體積對處理腔室體積之範例比率包括(但不限於)介於1:500與1:10之間的體積比。應瞭解到在一些實施例中的基板高度可藉由合適的電腦控制器而程式性地調整。
在另一實施例中,調整基座1308的高度允許於包刮在CFD製程中的電漿活化及/或處理循環期間修改電漿密度。在CFD製程階段結束時,於另一基板搬運階段期間降下基座1308以允許從基座1308移開基板1312。
雖然於此所述之微體積變化涉及可調整高度之基座,但應瞭解在一些實施例中,噴淋頭1306的位置可相對基座1308作調整以改變微體積1307的體積。此外,應瞭解到基座1308及/或噴淋頭1306的垂直位置可藉由任何合適的機制來改變。本領域中具有通常技術者將瞭解到如此機制將包括例如液壓、氣壓、彈簧機構、螺線管、及其類似者。在一些實施例中,基座1308可包括例如沿著垂直基板表面的軸而使基板1312順著一方向旋轉之旋轉機制。應瞭解在一實施例中,這些範例性調整之一或多者可藉由一或更多合適的電腦控制器而程式性地執行。
回到圖5所示之實施例,噴淋頭1306及基座1308與RF電力供應1314及匹配網路1316電性連通以便對電漿供電。在一些實施例中,電漿能量係藉由控制處理站壓力、氣體濃度、RF源功率、RF源頻率、及電漿電力脈衝時序其中一或多者而加以控制。例如,RF電力供應1314及匹配網路1316可操作在任何合適功率以形成具有期望之自由基物種組成物的電漿。合適功率的例子包括(但不限於)介於100 W與5000 W之間的功率。同樣地,RF電力供應1314可提供任何合適頻率的RF功率。在一些實施例中,RF電力供應1314可配置成彼此獨立地控制高頻及低頻RF電源。範例性低頻RF頻率可包括(但不限於)介於50 kHz與500 kHz之間的頻率。範 例性高頻RF頻率可包括(但不限於)介於1.8 MHz與2.45 GHz之間的頻率。應瞭解到可離散地或連續地調整任何合適參數以提供用於表面反應之電漿能量。在一非限制性範例中,相對於連續地對電漿供電,可間歇地以脈衝輸送電漿電力以降低基板表面之離子轟擊。
在一些實施例中,藉由一或更多電漿監測器來原位監測電漿。在一實施例中,藉由一或更多電壓、電流感測器(例如VI探針)來監測電漿功率。在另一實施例中,藉由一或更多光學放射光譜感測器(OES)來量測電漿密度及/或處理氣體濃度。在一些實施例中,基於從如此原位電漿監測器之量測來程式性地調整一或更多電漿參數。例如,可在回饋迴路中使用OES感測器以提供電漿電力之程式控制。將瞭解到在一些實施例中可使用其他監測器來監測電漿及其他製程特性。如此之監測器可包括(但不限於)紅外線(IR)監測器、聲學監測器、及壓力轉換器。
在一些實施例中,電漿係經由輸入/輸出控制(IOC)定序指令來加以控制。例如,用以設定電漿處理階段之電漿條件的指令可包括在CFD製程配方的對應電漿活化配方階段中。在一些實施例中,可依序安排製程配方階段使得關於CFD製程階段的所有指令與該處理階段同時執行。將瞭解到電漿產生的一些實施態樣可具有可能延長電漿處理階段之良好特徵化之暫態及/或穩定時間。換言之,如此時間延遲係可預料的。如此時間延遲可包括激起電漿的時間、以及使電漿穩定在提出之電力設定的時間。
在一些實施例中,可經由加熱器1310對基座1308進行溫度控制。此外,在一些實施例中,可藉由蝶形閥1318提供對CFD處理站1300之壓力控制。如圖5所示,蝶形閥1318調節由下游真空泵(未顯示)所提供之真空。然而,在一些實施例中,亦可藉由改變一或更多導入至CFD處理站1300之氣體的流速來調整處理站1300之壓力控制。
如以上所述,一多站處理工具中可包含一或更多處理站。圖6顯示具有入站裝載鎖2402及出站裝載鎖2404(其中一或二者可包含遠端電漿源)之多站處理工具2400的示意圖。一處於大氣壓力下之機械臂2406係配置成將來自經由箱體2408載入之卡匣的晶圓經由大氣口2410移動至入站裝載鎖2402中。藉由機械臂2406將晶圓放置在入站裝載鎖2402中的基座2412上、關閉大氣口2410、並且將裝載鎖抽空。在導入處理腔室2414之前 可使晶圓曝露至裝載鎖中的遠端電漿處理,其中入站裝載鎖2402包含遠端電漿源。此外,同樣地亦可在入站裝載鎖2402中對晶圓加熱(例如去除水分及吸附氣體)。接著,開啟通至處理腔室2414之腔室運送口2416,並且另一機械臂(未顯示)將晶圓置入反應器中之該反應器所示第一站的基座上以供處理。雖然圖6所示之實施例包括裝載鎖,但應瞭解到在一些實施例中可提供晶圓至處理站之直接進入。
所繪示之處理腔室2414包含四處理站,在圖6所示之實施例中編號從1到4。各站具有加熱基座(顯示在站1之2418處)、以及氣體管線入口。應瞭解到在一些實施例中,各處理站可具有不同或多個用途。例如,在一些實施例中,處理站可於CFD及PECVD製程模式之間切換。額外地或選擇性地,在一些實施例中,處理腔室2414可包括一或更多CFD及PECVD處理站之匹配對。雖然繪示之處理腔室2414包含四站,但應瞭解到根據本揭露內容之處理腔室可具有任何合適數目的站。舉例而言,在一些實施例中處理腔室可具有五或更多站,而在其他實施例中處理腔室可具有三或更少站。
圖6亦繪示用於在處理腔室2414內運送晶圓之晶圓搬運系統2490的實施例。在一些實施例中,晶圓搬運系統2490可在各個處理站之間及/或處理站與裝載鎖之間運送晶圓。應瞭解到可採用任何合適的晶圓搬運系統。非限制性的範例包含晶圓傳送帶及晶圓搬運機械臂。圖6亦繪示用以控制處理工具2400之製程條件及硬體狀態之系統控制器2450。系統控制器2450可包含一或更多記憶體裝置2456、一或更多大量儲存裝置2454、以及一或更多處理器2452。處理器2452可包括CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等等。
在一些實施例中,系統控制器2450控制處理工具2400的所有活動。系統控制器2450執行儲存在大量儲存裝置2454中、載入至記憶體裝置2456、並於處理器2452上執行之系統控制軟體2458。系統控制軟體2458可包括用以控制由處理工具2400執行之特定製程的計時、氣體混合、腔室及/或站壓力、腔室及/或站溫度、晶圓溫度、目標功率位準、RF功率位準、基板基座、夾盤及/或晶座位置、以及其他參數之指令。系統控制軟體2458可以任何合適的方式來配置。例如,可寫入各種處理工具元件子程式或控 制目標程式以控制實行各種處理工具製程必要之處理工具元件的操作。系統控制軟體2458可用任何合適的電腦可讀程式語言予以編碼。
在一些實施例中,系統控制軟體2458可包括用以控制以上所述之各種參數的輸入/輸出控制(IOC)定序指令。例如,CFD製程的每一階段可包括供系統控制器2450執行之一或更多指令。用以設定CFD製程階段之製程條件的指令可包括在對應的CFD配方階段中。在一些實施例中,可依序安排CFD製程階段使得關於CFD製程的所有指令皆與該製程階段同時執行。
在一些實施例中,可採用儲存在與系統控制器2450相關聯之大量儲存裝置2454及/或記憶體裝置2456上的其他電腦軟體及/或程式。用於此用途之程式或程式片段的範例包括基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、以及電漿控制程式。
基板定位程式可包括用於將基板裝載至基座2418上並且控制基板與處理工具2400其他部份之間的間距之處理工具元件的程式碼。
處理氣體控制程式可包括用於控制氣體成分和流速、以及選擇性地用於在沉積之前使氣體流入一或更多處理站以使處理站中之壓力穩定的編碼。壓力控制程式可包括藉由調節例如處理站之排氣系統中的節流閥、進入處理站之氣體流量等等以控制處理站中之壓力的編碼。
加熱器控制程式可包括用於控制通到用以加熱基板之加熱單元之電流的編碼。或者,加熱器控制程式可控制熱傳送氣體(如氦)至基板之遞送。
電漿控制程式可包括用於設定施加至一或更多處理站中之處理電極之RF電力位準的編碼。
在一些實施例中,可具有與系統控制器2450相關聯之使用者介面。使用者介面可包括顯示螢幕、設備及/或製程條件之圖形軟體顯示器、以及如指標裝置、鍵盤、觸控螢幕、麥克風等等之使用者輸入裝置。
在一些實施例中,由系統控制器2450調整之參數可與製程條件有關。非限制性範例包括處理氣體成分及流速、溫度、壓力、電漿條件(如RF偏壓電力位準)、壓力、溫度等等。這些參數可以其可利用使用者介面輸入之配方的形式提供給使用者。
用來監測製程之信號可從各個處理工具感測器藉由系統控制器2450之類比及/或數位輸入連接來提供。用來控制製程之信號可輸出到處理工具2400之類比及數位輸出連接上。可受監測之處理工具感測器的非限制性範例包括質量流量控制器、壓力感測器(如壓力計)、熱電偶等等。適當程式化回饋及控制演算法可與來自這些感測器的資料一起使用以維持製程條件。
系統控制器2450可提供用以實施上述沉積製程的程式指令。程式指令可控制如DC電力位準、RF偏壓電力位準、壓力、溫度等等之各種製程參數。該指令可控制該參數以操作根據本文所述之各種實施例之膜堆疊的原位沉積。
系統控制器通常將包括配置以執行指令之一或更多記憶體裝置以及一或更多處理器,使得設備能執行依據本發明之方法。包含依據本發明來控制處理操作之指令的機器可讀媒體可耦接至系統控制器。
圖案化方法/設備
於此所述之設備/製程可與例如用於製作或製造半導體裝置、顯示器、LED、光伏板、及其類似之微影圖案化工具或製程結合使用。通常(儘管非必然),如此之工具/製程將在共同的製作設施中一起使用或實施。膜之微影圖案化通常包含部份或所有以下步驟(用一些合適的工具來實現每一步驟):(1)使用旋塗或噴塗工具在工作件(即基板)上塗佈光阻;(2)使用熱板或加熱爐或UV硬化工具使光阻硬化;(3)用例如晶圓步進機之工具使光阻曝露至可見或UV或x射線光;(4)使用如濕式工作檯之工具使光阻顯影以選擇性地移除光阻並從而將其圖案化;(5)藉由使用乾式或電漿輔助蝕刻工具將光阻圖案轉移至下方膜或工作件中;以及(6)使用如RF或微波電漿光阻剝除器之工具來移除光阻。在一實施例中,利用本文所述之方法來形成SiN膜。SiN膜係用於例如本文所述其中之一目的。此外,該方法包括以上所述步驟(1)-(6)其中之一或更多者。
範例
藉由參考以下範例(其意圖為純粹示範性)來進一步瞭解本發明。本發明不限於示範性實施例(其意圖僅為本發明之單一實施態樣的說明)的範圍。功能上相等的任何方法皆在本發明的範圍內。對於本領域 中具有通常技術者由前文敘述及附圖,除了本文所述以外之本發明的各種修改將變得顯而易見。此外,如此之修改落在隨附之申請專利範圍的範圍內。
範例1
表1包括一些依據本文所述之實施例之可用以製造SiN膜之反應對象、以及溫度和壓力參數。
製程A使用TSA作為含矽反應物、用氨作為含氮反應物。溫度範圍根據處理中之基板的需求可從50℃至約550℃。RF功率範圍可從約0.15 W/cm2至約3 W/cm2以調整上述應力。
製程B係製程A的具體範例,其取決於相關熱預算而在介於約50℃及450℃之間的較低溫度下使用TSA及氨來沉積拉伸SiN膜。例如具有銅膜之基板可在低於約400℃下處理以防止銅的晶粒結構改變。例如GST合金可在350℃-375℃附近的溫度下處理。如以上所述,TSA及氨可用在這些較低溫度下、以在合理沉積速度提供具有高階梯覆蓋之高品質膜。以相對低的RF功率來達成拉伸膜。
製程C係製程A的另一具體範例,其取決於相關熱預算而在介於約300℃及400℃之間的較低溫度下使用TSA及氨來沉積拉伸SiN膜。在此範圍中的溫度可有助於在包括銅、GST合金、及其他熱敏材料之基板上沉積SiN膜,而保持較高沉積速率。
製程D係製程A的另一具體範例,並且除了使用較高RF功率來沉積更具壓縮力之膜以外皆類似製程C。
製程E-H係使用氨及TBA混合物作為含氮反應物以調整所沉積SiN膜之應力及濕蝕刻速率的製程範例。在一範例中,製程E可使用如製程D之高RF位準,而在TBA存在的情況下使得膜甚至更具壓縮力。
製程I係分別使用TSA及TBA作為含矽及含氮反應物之製程範例。製程I可例如用於沉積壓縮無鹵素SiN膜。
在以上製程範例中,如聯氨之無碳含氮反應物可代替氨使用或在氨之外使用。其他如上述之含碳反應物可代替TBA使用或在TBA之外使用。
範例2
將300 mm晶圓置入真空腔室中。晶圓係支撐在腔室內的鋁基座上,該基座在整個程序中受到加熱。例如,基座係被加熱在介於約50℃與約550℃之間的固定溫度。三矽烷胺(TSA)係以約0.25 slm(每分鐘標準公升)與約5 slm之間、長達約1秒與約30秒之間的氣相流量導入反應器中,以使TSA吸附至晶圓的表面上。在TSA流量停止後,反應器中的惰性氣體流量清除剩餘的氣相TSA及任何副產物。然後,以約1 slm與約10 slm之間、長達約1秒與約30秒之間在反應器中建立氨氣相流量。在晶圓上方激發電漿(例如13.56 MHz、150 W功率)長達約1秒與約15秒之間。反應器中的惰性氣體流量清除剩餘的氣相氨及任何副產物。重複TSA流量、惰性氣體清除、氨流量、電漿、及惰性氣體清除以沉積所期望之厚度的SiN膜。如所述之每一循環沉積介於約0.5 Å與約1.5 Å之間的SiN膜。
選擇性地,1-5 slm的TBA可代替氨使用或在氨之外使用。
在另一選擇中,於CFD運作中,氨流量(及/或TBA流量)係連續地流動。在這些運作中,除了先建立並維持氨流量以外,還使用如以上所述之相同條件。TSA流量係以如上述之相同速率及時間導入反應器 中,後接如上述之惰性氣體清除。如上述般激發電漿,後接如上述之惰性氣體清除。重複TSA流量、惰性氣體清除、電漿激發、及惰性氣體清除以沉積所期望之厚度的SiN膜。如所述之每一循環沉積介於約0.5 Å與約1.5 Å之間的SiN膜。
範例3
如範例2所述之製程係利用TSA及氨在450℃下用以沉積SiN膜。圖7顯示沉積膜之I-V曲線。明顯地,在施加高達約22 MV/cm的場時,SiN膜並未崩潰。圖8顯示針對各種特徵部高寬比之底部及側邊階梯覆蓋。
該處理係使用以下系統來沉積SiN膜:DCS/TBA、TSA/TBA、及TSA/氨。各個膜的折射率為DCS/TBA:1.83、TSA/TBA:1.83、及TSA/氨:1.90。
雖然為清楚瞭解之目的前文已就一些細節加以描述,但應瞭解到在隨附的專利申請範圍之範圍內仍可實施一些變化及修改。應注意有許多實施所述之製程、系統、及設備的替代方式。因此,所述之實施例應視為示例性而非限制性。
100‧‧‧製程
110A、110B‧‧‧沉積循環
120A、120B‧‧‧反應物A曝露階段
130‧‧‧反應物A後飽和曝露時間
140A、140B‧‧‧反應物B曝露階段
150‧‧‧反應物B後飽和曝露時間
160A、160B‧‧‧清除階段
180A、180B‧‧‧電漿活化階段
190‧‧‧電漿後飽和曝露時間

Claims (59)

  1. 一種在反應腔室中於基板上形成氮化矽材料的方法,包含:將該基板週期性地曝露至無鹵素含矽反應物之氣相流量中,其中該無鹵素含矽反應物係吸附至該基板的表面上;將該基板曝露至第一含氮反應物之氣相流量中,其中該第一含氮反應物係吸附至該基板的表面上;將該基板曝露至第二含氮反應物之氣相流量中,該第二含氮反應物係不同於該第一含氮反應物,其中該第一含氮反應物係無碳的且該第二含氮反應物含碳;以及當氣相含氮反應物存在於該反應腔室中、並且該無鹵素含矽反應物之氣相流量已停止時,週期性地在該反應腔室中激發電漿。
  2. 如申請專利範圍第1項之在反應腔室中於基板上形成氮化矽材料的方法,其中該無鹵素含矽反應物係三矽烷胺(TSA,trisilylamine)。
  3. 如申請專利範圍第1項之在反應腔室中於基板上形成氮化矽材料的方法,其中該第一含氮反應物係氨、聯氨、或羥基胺。
  4. 如申請專利範圍第1項之在反應腔室中於基板上形成氮化矽材料的方法,其中該第二含氮反應物係C1-10烷基胺。
  5. 如申請專利範圍第4項之在反應腔室中於基板上形成氮化矽材料的方法,其中該第二含氮反應物係第三丁基胺。
  6. 如申請專利範圍第4項之在反應腔室中於基板上形成氮化矽材料的方法,其中該第一含氮反應物對該第二含氮反應物之體積流量比率係介於約1:1與10:1之間。
  7. 如申請專利範圍第6項之在反應腔室中於基板上形成氮化矽材料的方法,其中該第一含氮反應物對該第二含氮反應物之體積流量比率係介於約 1:1與4:1之間。
  8. 如申請專利範圍第4項之在反應腔室中於基板上形成氮化矽材料的方法,其中該第一含氮反應物對該第二含氮反應物之體積流量比率係介於約1:10與1:1之間。
  9. 如申請專利範圍第8項之在反應腔室中於基板上形成氮化矽材料的方法,其中該第一含氮反應物對該第二含氮反應物之體積流量比率係介於約1:4與1:1之間。
  10. 如申請專利範圍第1項之在反應腔室中於基板上形成氮化矽材料的方法,其中該基板包括鍺碲銻合金。
  11. 如申請專利範圍第1項之在反應腔室中於基板上形成氮化矽材料的方法,其中該基板包括其上形成該氮化矽材料之金屬表面。
  12. 如申請專利範圍第1項之在反應腔室中於基板上形成氮化矽材料的方法,其中該基板包括其上形成該氮化矽材料之氧化物表面。
  13. 如申請專利範圍第1項之在反應腔室中於基板上形成氮化矽材料的方法,其中使該反應腔室中的壓力循環,使得於該含矽反應物之氣相流量期間該反應腔室中的壓力較高。
  14. 如申請專利範圍第13項之在反應腔室中於基板上形成氮化矽材料的方法,其中該反應腔室中的壓力係於第一壓力與第二壓力之間循環,該第一壓力介於約5與50Torr之間、並且該第二壓力介於約1與5Torr之間。
  15. 如申請專利範圍第1項之在反應腔室中於基板上形成氮化矽材料的方法,其中在整個該方法期間,該基板係持續地暴露至該第一含氮反應物的氣相流量。
  16. 一種在反應腔室中於基板上形成氮化矽材料的方法,包含:將該基板週期性地曝露至含矽反應物之氣相流量中,其中該含矽反應物係吸附至該基板的表面上;將該基板曝露至第一含氮反應物之氣相流量中,其中該第一含氮反應物係吸附至該基板的表面上;將該基板曝露至第二含氮反應物之氣相流量中,該第二含氮反應物係不同於該第一含氮反應物,其中該第一含氮反應物係無碳的且該第二含氮反應物含碳;及當氣相含氮反應物存在於該反應腔室中、並且該含矽反應物之氣相流量已停止時,週期性地在該反應腔室中激發電漿。
  17. 如申請專利範圍第16項之在反應腔室中於基板上形成氮化矽材料的方法,其中該電漿係具有介於約0.15W/cm2與0.5W/cm2之間的功率之RF電漿。
  18. 如申請專利範圍第16項之在反應腔室中於基板上形成氮化矽材料的方法,其中該電漿係具有介於約1W/cm2與3W/cm2之間的功率之RF電漿。
  19. 如申請專利範圍第16項之在反應腔室中於基板上形成氮化矽材料的方法,其中該電漿係僅有HF之RF電漿。
  20. 如申請專利範圍第16項之在反應腔室中於基板上形成氮化矽材料的方法,其中該基板係週期性地暴露至該第一含氮反應物的氣相流量。
  21. 一種在反應腔室中於基板上形成氮化矽材料的方法,包含:將該基板週期性地曝露至無鹵素含矽反應物之氣相流量中,其中該無鹵素含矽反應物係吸附至該基板的表面上;將該基板曝露至第一含氮反應物之氣相流量中,其中該第一含氮反應物係吸附至該基板的表面上;及當氣相含氮反應物存在於該反應腔室中、並且該無鹵素含矽反應物之氣 相流量已停止時,週期性地在該反應腔室中激發電漿,其中該電漿係具有介於0.15W/cm2與3W/cm2之間的功率之RF電漿;其中在該反應腔室中的壓力係加以循環,使得與當該含矽反應物的氣相流量已停止時相比於該含矽反應物之氣相流量期間該反應腔室中的壓力較高。
  22. 如申請專利範圍第21項之在反應腔室中於基板上形成氮化矽材料的方法,其中該電漿具有介於0.15W/cm2與1W/cm2之間的功率。
  23. 如申請專利範圍第21項之在反應腔室中於基板上形成氮化矽材料的方法,其中該含矽反應物係三矽烷胺(TSA,trisilylamine)。
  24. 如申請專利範圍第21項之在反應腔室中於基板上形成氮化矽材料的方法,其中該第一含氮反應物係氨、聯氨、或羥基胺。
  25. 如申請專利範圍第21項之在反應腔室中於基板上形成氮化矽材料的方法,其中該基板包括鍺碲銻合金。
  26. 如申請專利範圍第21項之在反應腔室中於基板上形成氮化矽材料的方法,其中該基板包括其上形成該氮化矽材料之金屬表面。
  27. 如申請專利範圍第21項之在反應腔室中於基板上形成氮化矽材料的方法,其中該基板包括其上形成該氮化矽材料之氧化物表面。
  28. 如申請專利範圍第21項之在反應腔室中於基板上形成氮化矽材料的方法,其中該反應腔室中的壓力係於第一壓力與第二壓力之間循環,該第一壓力介於約5與50Torr之間、並且該第二壓力介於約1與5Torr之間。
  29. 如申請專利範圍第21項之在反應腔室中於基板上形成氮化矽材料的方法,其中在整個該方法期間,該基板係持續地暴露至該第一含氮反應物的 氣相流量。
  30. 如申請專利範圍第21項之在反應腔室中於基板上形成氮化矽材料的方法,其中該基板係週期性地暴露至該第一含氮反應物的氣相流量。
  31. 如申請專利範圍第21項之在反應腔室中於基板上形成氮化矽材料的方法,其中該電漿係僅有HF之RF電漿。
  32. 如申請專利範圍第21項之在反應腔室中於基板上形成氮化矽材料的方法,更包含:將該基板曝露至第二含氮反應物之氣相流量中,該第二含氮反應物係不同於該第一含氮反應物,其中該第一含氮反應物係無碳的且該第二含氮反應物含碳。
  33. 如申請專利範圍第21項之在反應腔室中於基板上形成氮化矽材料的方法,其中該第一含氮反應物係無碳的。
  34. 如申請專利範圍第21項之在反應腔室中於基板上形成氮化矽材料的方法,其中該第一含氮反應物係胺。
  35. 如申請專利範圍第21項之在反應腔室中於基板上形成氮化矽材料的方法,其中該基板溫度係維持在不大於約400℃。
  36. 如申請專利範圍第35項之在反應腔室中於基板上形成氮化矽材料的方法,其中該基板溫度係維持在不大於約350℃。
  37. 如申請專利範圍第21項之在反應腔室中於基板上形成氮化矽材料的方法,其中該氮化矽材料之應力係介於約-4GPa與-2GPa之間。
  38. 如申請專利範圍第21項之在反應腔室中於基板上形成氮化矽材料的方 法,其中該氮化矽材料之應力係介於約-2GPa與1GPa之間。
  39. 如申請專利範圍第21項之在反應腔室中於基板上形成氮化矽材料的方法,其中該基板之表面包括一或更多凸起或凹入特徵部,並且該氮化矽材料係對於該一或更多凸起或凹入特徵部保形。
  40. 一種在半導體晶圓上沉積氮化矽膜的設備,該設備包含:(a)一反應腔室;(b)一活化能之來源,用以形成該氮化矽膜;(c)一反應物入口;以及(d)一控制器,該控制器包含用於以下之指令:於沉積循環期間,使第一含氮反應物流入該反應腔室;於該沉積循環期間,使含矽反應物週期性地流入該反應腔室;當該含矽反應物的流量已停止、並且在該第一含氮反應物存在於該反應腔室中之氣相中時,週期性地在該反應腔室中激發電漿;及使該反應腔室中的壓力循環,使得與當該含矽反應物的流量已停止時相比於該含矽反應物之流量期間該反應腔室中的壓力係較高。
  41. 如申請專利範圍第40項之在半導體晶圓上沉積氮化矽膜的設備,其中該電漿係具有介於0.15W/cm2與3W/cm2之間的功率之RF電漿。
  42. 如申請專利範圍第41項之在半導體晶圓上沉積氮化矽膜的設備,其中該電漿具有介於0.15W/cm2與1W/cm2之間的功率。
  43. 如申請專利範圍第40項之在半導體晶圓上沉積氮化矽膜的設備,其中該電漿係僅有HF之RF電漿。
  44. 如申請專利範圍第40項之在半導體晶圓上沉積氮化矽膜的設備,其中使該第一含氮反應物流入該反應腔室係在該第一含氮反應物將吸附至該反應腔室中的一基板之表面上的條件下進行。
  45. 如申請專利範圍第44項之在半導體晶圓上沉積氮化矽膜的設備,其中使該含矽反應物流入該反應腔室係在該含矽反應物將吸附至該反應腔室中的該基板之表面上的條件下進行。
  46. 如申請專利範圍第40項之在半導體晶圓上沉積氮化矽膜的設備,其中該反應腔室中的壓力係於第一壓力與第二壓力之間循環,該第一壓力介於約5與50Torr之間、並且該第二壓力介於約1與5Torr之間。
  47. 如申請專利範圍第40項之在半導體晶圓上沉積氮化矽膜的設備,其中在整個該沉積循環期間使該第一含氮反應物流入。
  48. 如申請專利範圍第40項之在半導體晶圓上沉積氮化矽膜的設備,其中於該沉積循環期間,使該第一含氮反應物週期性地流入。
  49. 如申請專利範圍第40項之在半導體晶圓上沉積氮化矽膜的設備,其中該控制器更包含用於以下之指令:於該沉積循環期間,使第二含氮反應物週期性地流入該反應腔室,該第二含氮反應物不同於該第一含氮反應物。
  50. 如申請專利範圍第49項之在半導體晶圓上沉積氮化矽膜的設備,其中該第一含氮反應物對該第二含氮反應物之體積流量比率係介於約1:1與10:1之間。
  51. 如申請專利範圍第49項之在半導體晶圓上沉積氮化矽膜的設備,其中該第一含氮反應物對該第二含氮反應物之體積流量比率係介於約1:10與1:1之間。
  52. 如申請專利範圍第40項之在半導體晶圓上沉積氮化矽膜的設備,其中該控制器更包含用於以下之指令: 將基板溫度維持在不大於約400℃。
  53. 如申請專利範圍第52項之在半導體晶圓上沉積氮化矽膜的設備,其中該基板溫度係維持在不大於約350℃。
  54. 如申請專利範圍第40項之在半導體晶圓上沉積氮化矽膜的設備,其中於該沉積循環期間所沉積的氮化矽材料之應力係介於約-4GPa與-2GPa之間。
  55. 如申請專利範圍第40項之在半導體晶圓上沉積氮化矽膜的設備,其中於該沉積循環期間所沉積的氮化矽材料之應力係介於約-2GPa與1GPa之間。
  56. 如申請專利範圍第40項之在半導體晶圓上沉積氮化矽膜的設備,其中於該沉積循環期間所沉積的氮化矽材料係對於包括基板之任何凸起或凹入特徵部的該基板的表面保形。
  57. 如申請專利範圍第40項之在半導體晶圓上沉積氮化矽膜的設備,其中該活化能之來源係電漿產生器,該電漿產生器係藉由該控制器操作,以在該反應腔室中週期性地激發電漿。
  58. 如申請專利範圍第57項之在半導體晶圓上沉積氮化矽膜的設備,其中該電漿產生器包含感應線圈及/或微波源。
  59. 一種系統,包含如申請專利範圍第40項所載之在半導體晶圓上沉積氮化矽膜的設備、及一步進器。
TW102102054A 2012-01-20 2013-01-18 在基板上形成氮化矽膜之方法、設備及系統 TWI609426B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261588964P 2012-01-20 2012-01-20
US13/414,619 US8592328B2 (en) 2012-01-20 2012-03-07 Method for depositing a chlorine-free conformal sin film

Publications (2)

Publication Number Publication Date
TW201342476A TW201342476A (zh) 2013-10-16
TWI609426B true TWI609426B (zh) 2017-12-21

Family

ID=47709842

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102102054A TWI609426B (zh) 2012-01-20 2013-01-18 在基板上形成氮化矽膜之方法、設備及系統

Country Status (7)

Country Link
US (3) US8592328B2 (zh)
EP (1) EP2618365A3 (zh)
JP (2) JP6635640B2 (zh)
KR (1) KR20130085900A (zh)
CN (1) CN103225071A (zh)
SG (2) SG10201505472UA (zh)
TW (1) TWI609426B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI821283B (zh) * 2018-04-29 2023-11-11 美商應用材料股份有限公司 沉積方法

Families Citing this family (394)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US9512519B2 (en) * 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20150020974A1 (en) * 2013-07-19 2015-01-22 Psk Inc. Baffle and apparatus for treating surface of baffle, and substrate treating apparatus
SG11201602301WA (en) * 2013-09-27 2016-04-28 Antonio Sanchez Amine substituted trisilylamine and tridisilylamine compounds
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6236709B2 (ja) * 2014-10-14 2017-11-29 大陽日酸株式会社 シリコン窒化膜の製造方法及びシリコン窒化膜
US9640371B2 (en) * 2014-10-20 2017-05-02 Lam Research Corporation System and method for detecting a process point in multi-mode pulse processes
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9474163B2 (en) * 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9490116B2 (en) * 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
US20180265417A1 (en) * 2015-01-23 2018-09-20 Hewlett-Packard Development Company, L.P. Susceptor materials for 3d printing using microwave processing
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
KR20180044432A (ko) * 2015-09-18 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 구조들 상의 실리콘 질화물의 저온 등각적 증착
KR102080114B1 (ko) * 2015-09-21 2020-02-24 주식회사 원익아이피에스 질화막의 제조방법
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6544232B2 (ja) * 2015-12-25 2019-07-17 東京エレクトロン株式会社 成膜方法及び成膜装置
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
DE102016200506B4 (de) * 2016-01-17 2024-05-02 Robert Bosch Gmbh Ätzvorrichtung und Ätzverfahren
US9640409B1 (en) 2016-02-02 2017-05-02 Lam Research Corporation Self-limited planarization of hardmask
JP2017139297A (ja) * 2016-02-02 2017-08-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US10224235B2 (en) 2016-02-05 2019-03-05 Lam Research Corporation Systems and methods for creating airgap seals using atomic layer deposition and high density plasma chemical vapor deposition
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
EP3428959B1 (en) * 2016-03-11 2023-03-01 Taiyo Nippon Sanso Corporation Method for producing silicon nitride film, and silicon nitride film
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6710089B2 (ja) * 2016-04-04 2020-06-17 東京エレクトロン株式会社 タングステン膜の成膜方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
WO2017189581A1 (en) * 2016-04-25 2017-11-02 Applied Materials, Inc. Surface functionalization and passivation with a control layer
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10157736B2 (en) 2016-05-06 2018-12-18 Lam Research Corporation Methods of encapsulation
EP3459118A4 (en) * 2016-05-17 2020-01-15 The Government Of The United States Of America As The Secretary of The Navy DAMAGE-FREE, PLASMA SUPPORTED CVD PASSIVATION OF ALGAN / GAN TRANSISTORS WITH HIGH ELECTRON MOBILITY
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9929006B2 (en) 2016-07-20 2018-03-27 Micron Technology, Inc. Silicon chalcogenate precursors, methods of forming the silicon chalcogenate precursors, and related methods of forming silicon nitride and semiconductor structures
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US9865456B1 (en) 2016-08-12 2018-01-09 Micron Technology, Inc. Methods of forming silicon nitride by atomic layer deposition and methods of forming semiconductor structures
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
JP6568508B2 (ja) 2016-09-14 2019-08-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) * 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102579245B1 (ko) * 2017-04-07 2023-09-14 어플라이드 머티어리얼스, 인코포레이티드 비정질 실리콘 갭충전을 개선하기 위한 표면 개질
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10460987B2 (en) * 2017-05-09 2019-10-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package device with integrated antenna and manufacturing method thereof
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP6946769B2 (ja) * 2017-06-15 2021-10-06 東京エレクトロン株式会社 成膜方法、成膜装置、及び記憶媒体
JP6809392B2 (ja) * 2017-06-19 2021-01-06 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10515796B2 (en) * 2017-11-21 2019-12-24 Applied Materials, Inc. Dry etch rate reduction of silicon nitride films
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
JP6839672B2 (ja) * 2018-02-06 2021-03-10 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US20200058497A1 (en) * 2018-08-20 2020-02-20 Applied Materials, Inc Silicon nitride forming precursor control
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11211243B2 (en) 2018-11-21 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of filling gaps with carbon and nitrogen doped film
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11217443B2 (en) * 2018-11-30 2022-01-04 Applied Materials, Inc. Sequential deposition and high frequency plasma treatment of deposited film on patterned and un-patterned substrates
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11482414B2 (en) * 2019-12-18 2022-10-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Ultra-low temperature ALD to form high-quality Si-containing film
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023114641A1 (en) * 2021-12-14 2023-06-22 Lam Research Corporation Conformal deposition of silicon nitride
WO2023178216A1 (en) * 2022-03-18 2023-09-21 Lam Research Corporation Low-k dielectric protection during plasma deposition of silicon nitride
WO2023230170A1 (en) * 2022-05-24 2023-11-30 Lam Research Corporation Hybrid atomic layer deposition
WO2023230296A1 (en) * 2022-05-27 2023-11-30 Lam Research Corporation Single wafer reactor, low temperature, thermal silicon nitride deposition

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5496608A (en) * 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5670432A (en) * 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US7510984B2 (en) * 2004-03-02 2009-03-31 Ulvac, Inc. Method of forming silicon nitride film and method of manufacturing semiconductor device
US20100124621A1 (en) * 2008-11-14 2010-05-20 Asm Japan K.K. Method of Forming Insulation Film by Modified PEALD

Family Cites Families (254)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4500563A (en) * 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4575921A (en) 1983-11-04 1986-03-18 General Motors Corporation Silicon nitride formation and use in self-aligned semiconductor device manufacturing method
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
EP0313683A1 (en) 1987-10-30 1989-05-03 International Business Machines Corporation Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
US5091332A (en) 1990-11-19 1992-02-25 Intel Corporation Semiconductor field oxidation process
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5731235A (en) 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6153519A (en) 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) * 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US5976990A (en) * 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6380056B1 (en) 1998-10-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6197701B1 (en) 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6228779B1 (en) 1998-11-06 2001-05-08 Novellus Systems, Inc. Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
KR100340716B1 (ko) * 1999-10-29 2002-06-20 윤종용 실리콘 질화막 형성방법
CA2387341A1 (en) * 1999-11-02 2001-05-10 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
KR100338125B1 (ko) 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
WO2001082368A2 (en) * 2000-04-25 2001-11-01 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6548368B1 (en) 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP3696119B2 (ja) 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6709928B1 (en) 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
US7250083B2 (en) 2002-03-08 2007-07-31 Sundew Technologies, Llc ALD method and apparatus
KR20030081144A (ko) * 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
JP5005170B2 (ja) * 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
WO2004032196A2 (en) 2002-10-03 2004-04-15 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) * 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
CN100567564C (zh) * 2002-12-20 2009-12-09 应用材料有限公司 形成高质量的低温氮化硅层的方法和设备
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
CN102191483B (zh) 2003-04-23 2012-10-03 艾克斯特朗公司 瞬时增强原子层沉积
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
JP4329403B2 (ja) * 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
DE10335099B4 (de) * 2003-07-31 2006-06-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) * 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
JP2005210076A (ja) * 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7550067B2 (en) 2004-06-25 2009-06-23 Guardian Industries Corp. Coated article with ion treated underlayer and corresponding method
US7585396B2 (en) 2004-06-25 2009-09-08 Guardian Industries Corp. Coated article with ion treated overcoat layer and corresponding method
JP4396547B2 (ja) * 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) * 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) * 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7271464B2 (en) 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) * 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
JP4228150B2 (ja) * 2005-03-23 2009-02-25 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
JP4305427B2 (ja) * 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
US7465669B2 (en) 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
JP4434149B2 (ja) * 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
WO2007118026A2 (en) 2006-03-31 2007-10-18 Applied Materials, Inc. Step coverage and pattern loading for dielectric films
JP4929811B2 (ja) * 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR101057877B1 (ko) * 2006-09-19 2011-08-19 도쿄엘렉트론가부시키가이샤 플라즈마 세정 방법 및 플라즈마 cvd 방법
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080139003A1 (en) * 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
US20080119057A1 (en) 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
CN101657564A (zh) 2007-02-12 2010-02-24 莲花应用技术有限责任公司 用原子层沉积制备复合材料
US20080213479A1 (en) * 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
JP5098882B2 (ja) * 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
KR101542267B1 (ko) * 2007-09-18 2015-08-06 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소 함유 막의 형성 방법
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) * 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) * 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) * 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5190307B2 (ja) * 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
JP5233562B2 (ja) * 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
JP2012506947A (ja) 2008-10-27 2012-03-22 アプライド マテリアルズ インコーポレイテッド 三元化合物の気相堆積方法
US20100136313A1 (en) * 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) * 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
CN102484070B (zh) 2009-06-26 2014-12-10 康奈尔大学 用于铝-硅氮化物的化学气相沉积处理
KR20110002208A (ko) 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) * 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US20110151142A1 (en) * 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
JP5742185B2 (ja) * 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US8669185B2 (en) * 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) * 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) * 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9040127B2 (en) 2010-09-24 2015-05-26 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20120213940A1 (en) * 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120108079A1 (en) * 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
US8679914B2 (en) 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
TW201319299A (zh) * 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
CN103890910B (zh) * 2011-09-23 2017-05-17 诺发系统公司 等离子体活化保形电介质膜沉积的方法和装置
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
KR20140143151A (ko) 2012-03-15 2014-12-15 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US8784951B2 (en) * 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9446965B2 (en) 2013-02-19 2016-09-20 Nanotech Industrial Solutions, Inc. Applications for inorganic fullerene-like particles
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
US9806129B2 (en) 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5496608A (en) * 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5670432A (en) * 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US7510984B2 (en) * 2004-03-02 2009-03-31 Ulvac, Inc. Method of forming silicon nitride film and method of manufacturing semiconductor device
US20100124621A1 (en) * 2008-11-14 2010-05-20 Asm Japan K.K. Method of Forming Insulation Film by Modified PEALD

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI821283B (zh) * 2018-04-29 2023-11-11 美商應用材料股份有限公司 沉積方法

Also Published As

Publication number Publication date
US8592328B2 (en) 2013-11-26
EP2618365A3 (en) 2014-05-14
SG192375A1 (en) 2013-08-30
JP6635640B2 (ja) 2020-01-29
US9070555B2 (en) 2015-06-30
JP2013153164A (ja) 2013-08-08
CN103225071A (zh) 2013-07-31
US9670579B2 (en) 2017-06-06
US20130189854A1 (en) 2013-07-25
KR20130085900A (ko) 2013-07-30
US20140141626A1 (en) 2014-05-22
SG10201505472UA (en) 2015-09-29
JP2018174327A (ja) 2018-11-08
US20150259791A1 (en) 2015-09-17
EP2618365A2 (en) 2013-07-24
TW201342476A (zh) 2013-10-16

Similar Documents

Publication Publication Date Title
TWI609426B (zh) 在基板上形成氮化矽膜之方法、設備及系統
US10903071B2 (en) Selective deposition of silicon oxide
US10490413B2 (en) Selective growth of silicon nitride
US10199212B2 (en) Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US8647993B2 (en) Methods for UV-assisted conformal film deposition
US10454029B2 (en) Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US9502238B2 (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
KR102183336B1 (ko) 민감성 기판 상에 막을 증착하는 방법
KR20130057409A (ko) 개선된 질화 규소 필름 및 그 개선 방법
TW201623682A (zh) 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
TW201413044A (zh) 高氣壓、高電力電漿活化保形膜沉積