CN102484070B - 用于铝-硅氮化物的化学气相沉积处理 - Google Patents

用于铝-硅氮化物的化学气相沉积处理 Download PDF

Info

Publication number
CN102484070B
CN102484070B CN201080038033.5A CN201080038033A CN102484070B CN 102484070 B CN102484070 B CN 102484070B CN 201080038033 A CN201080038033 A CN 201080038033A CN 102484070 B CN102484070 B CN 102484070B
Authority
CN
China
Prior art keywords
silicon
precursor
aluminium
nitride layer
aluminum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201080038033.5A
Other languages
English (en)
Other versions
CN102484070A (zh
Inventor
J·R·席利
R·布朗
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Cornell University
Original Assignee
Cornell University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cornell University filed Critical Cornell University
Publication of CN102484070A publication Critical patent/CN102484070A/zh
Application granted granted Critical
Publication of CN102484070B publication Critical patent/CN102484070B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02145Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing aluminium, e.g. AlSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

一种用于在基底上形成铝-硅氮化物层的化学气相沉积方法,该方法使用铝前体、硅前体和氮前体在化学气相沉积条件下将铝-硅氮化物层沉积在基底上。铝-硅氮化物层具有介于氮化硅与氮化铝之间的折射率。铝-硅氮化物层还具有从约4.5eV到约6eV的带隙和从约6×10-11F/m到约8×10-11F/m的介电常数。铝-硅氮化物层还可以进行热退火,以减小铝-硅氮化物层的氢含量。

Description

用于铝-硅氮化物的化学气相沉积处理
相关申请的交叉引用
本专利申请得到和主张来自2009年6月26日提交的、标题为“Chemical VaporDeposition Process for Aluminum Silicon Nitride”的美国临时专利申请序列号61/220,975的利益,该美国临时申请的内容通过引用而整体结合到本文中。本专利申请还涉及到与本申请同日提交的、标题为“Method for Forming III-V SemiconductorStructures Including Aluminum-Silicon Nitride Layer”的一起转让的PCT专利申请序列号_/______,该PCT专利申请的代理人档案号为BSK 606P059。本专利申请还涉及到与本申请同日提交的、标题为“III-V Semiconductor Structures PassivatedWith Aluminum-Silicon Nitride Layers”的一起转让的PCT专利申请序列号_/______,该PCT专利申请的代理人档案号为BSK 606P055。
技术领域
本发明主要涉及微电子结构内的介质层。更具体地,本发明涉及微电子结构内的增强性能介质层。
背景技术
介质层在许多半导体结构和半导体器件的制作和运行中起关键作用。而且,对用于特定介质层的特定介质材料的选择常常严重依赖于打算由特定介质层解决的特定应用。
例如,对于厚度固定的特定介质层而言,由包含高k(低带隙)介质材料的介质层来最大程度地满足导电结构之间的电容量最大化是有利的应用,所述高k介质材料具有比大约5更大的介电常数。然而,由于穿过包含介质层的低带隙介质材料的量子力学穿隧过程,使用这种低带隙介质材料增加了泄漏电流的可能性。可选择地,由包含低k(大带隙)介质材料的介质层最大程度地满足期望在导电结构之间有低电容量并追求低泄漏电流的应用,所述低k介质材料具有比大约4更小的介电常数。
因此,许多半导体结构和半导体器件具有可以受介质层的特定特性影响的独特性能要求和标准。所以,想要的是可以在半导体结构和半导体器件中使用的替代介质层和介质材料,以及可以用来制作能用在那些半导体结构和半导体器件中的那些替代介质层和介质材料的方法。
发明内容
本发明包括一种可用于在基底上形成铝-硅氮化物层的化学气相沉积方法,所述铝-硅氮化物层可以在包含基底的微电子结构内使用。铝-硅氮化物层包含铝-硅氮化物材料,该材料:(1)具有约4.5eV到约6eV的带隙;和(2)在1到100GHz的频率下,具有约6x 10-11F/m到约8x 10-11F/m的介电常数。
在微电子结构和微电子器件制作中,期望有包含具有上述带隙和介电常数特性的铝-硅氮化物材料的铝-硅氮化物层,因为在表面电荷是个问题的器件中,上述带隙和介电常数特性对于某些钝化应用可能是特别想要的。在微电子结构和微电子器件制作中,只要用于形成铝-硅氮化物层的化学气相沉积方法在沉积包括铝-硅氮化物层的铝-硅氮化物介质材料时允许化学组分变化和调节,那么这种化学气相沉积方法就是想要的。
按照本发明的、用于形成铝-硅氮化物层的一种特定方法包括把硅前体、氮前体和铝前体引入到反应器腔室中,该反应器腔室中布置有基底。这种特定方法还包括在能使铝-硅氮化物层沉积到基底的化学气相沉积条件下使硅前体、氮前体和铝前体进行反应。
按照本发明的、用于形成铝-硅氮化物层的另一种特定方法包括把硅前体、氮前体和铝前体引入到反应器腔室中,该反应器腔室中布置有基底。这种特定方法还包括在化学气相沉积条件下使硅前体、氮前体和铝前体进行反应,以使铝-硅氮化物层沉积在基底上,所述化学气相沉积条件包括:(1)约0.1到约760torr的反应器腔室压力;和(2)约200到约1100℃的基底温度。
附图说明
本发明的目的、特征和优点将通过如下阐述的优选实施例的说明内容而被理解。优选实施例的说明将通过形成本公开内容实质部分的附图内容而被理解,其中:
图1显示一种化学气相沉积设备的示意图,该设备可用于按照本发明的总的实施例将铝-硅氮化物层沉积在基底上。
图2A,图2B,和图2C显示说明按照本发明的总的实施例的、在基底上沉积和处理铝-硅氮化物层的推进处理阶段结果的一系列示意性截面图。
图3显示与氮化硅层和氮化铝层相比较的、按照本发明的更具体实施例沉积的铝-硅氮化物层的折射率与波长之间关系的曲线图。
图4显示与氮化硅层和氮化铝层相比较的、按照本发明的更具体实施例沉积的铝-硅氮化物层的沉积率与温度倒数之间关系的曲线图。
图5显示按照本发明的更具体实施例来沉积铝-硅氮化物层的、生长率与铝前体克分子分数之间关系的曲线图。
图6显示按照本发明的更具体实施例的铝-硅氮化物层的、强度与位置之间关系的高分辨率X射线衍射(HRXRD)图谱。
图7到图9显示与氮化硅层和氮化铝层相比较的、按照本发明的更具体实施例的铝-硅氮化物层的计数值与通道之间关系的一系列卢瑟福后向散射(RBS)图谱。
图10显示按照本发明的更具体实施例的铝-硅氮化物层的、每秒计数值与结合能量之间关系的X射线光电子频谱仪(XPS)图谱。
图11显示按照本发明的更具体实施例的铝-硅氮化物层的、薄膜铝分数与铝前体克分子分数之间关系的曲线图。
图12和图13显示与1000℃下退火的氮化硅层相比较的、按照本发明的更具体实施例在800℃下退火的铝-硅氮化物层的吸收量与波数之间关系的一对傅立叶变换红外(FTIR)图谱。
图14显示按照本发明的更具体实施例的铝-硅氮化物层在多个温度下热退火的比例膜厚(scaled film thickness)与退火时间之间关系的曲线图。
图15显示按照本发明的更具体实施例沉积并退火的铝-硅氮化物层的折射率与波长之间关系的曲线图。
具体实施方式
本发明通过下述说明内容而被理解,本发明包括一种化学气相沉积方法,该方法用于在基底上沉积铝-硅氮化物层,以用在微电子结构中。下述说明通过上述附图的内容而被理解。由于上述附图仅打算用于说明性目的,因此这些附图不必按比例画出。
以下的说明将按顺序描述通常的沉积设备和通常的沉积条件,所述沉积设备和沉积条件通常可用于按照本发明的总的实施例来沉积铝-硅氮化物层。在概括描述之后,将更具体地对按照本发明的更具体实施例的、特定示范性铝-硅氮化物层的化学和物理特性进行描述。
I.通常的沉积设备和通常的沉积条件
图1显示了一种化学气相沉积设备的示意图,该设备通常可用于按照本发明的总的实施例将铝-硅氮化物层沉积在基底上。
图1显示在石英槽的具体但非限制性的实施例中的反应器腔室10。反应器腔室10还可包括在化学气相沉积设备设计、制作和操作技术中常见的几种替代结构和配置中的任何一种。制作这种替代结构和配置的材料可以包括但不限于导电材料和介质材料。
如图1中还显示的那样,反应器腔室10被电阻加热器12进行电阻性加热,尽管在总的实施例中也不排除其它加热手段,例如包括但不限于感应加热手段。具体地,总的实施例还考虑快速热退火(RTA)加热手段,该快速热退火加热手段在约3000毫秒的时间内提供约1100℃的温度上升。在图1的化学气相沉积设备的总的实施例中,也不排除或排斥替代激活装置(用于激活反应剂),所述激活装置不必是加热装置。这样的替代激活装置可包括但不限于等离子激活装置。
如图1所示,被包在反应器腔室10内的是基座14,在基座14上放置和布置有多个基底15。在化学气相沉积设备的设计、制作和操作方面,基座14具有其他通常惯用的结构。在下面更详细地讨论关于多个基底15的特定特征和考虑,并未特别打算将这些特定特征和考虑作为图1所示的化学气相沉积设备的一部分。
如图1所示,可以借助于与旋片式真空泵20并联的罗茨鼓风机(roots blower)18排空反应器腔室10,其中来自反应器腔室10的排空流还通过介于反应器腔室10与罗茨鼓风机18之间的节流阀16的作用来调节。相对于反应器腔室10适当地确定节流阀16、罗茨鼓风机18和旋片式真空泵20的尺寸,其他的则是在化学气相沉积设备的设计、制作和操作技术中通常惯用的。
按照图1的化学气相沉积设备还包括:(1)硅前体22(即,硅前体源极材料,具体显示为二氯甲硅烷(DCS),但不打算局限于此);(2)氮前体24(即,氮前体源极材料,具体显示为氨(NH3),但不打算局限于此);和(3)铝前体26(即,铝前体源极材料,具体显示为三甲基铝(TMAI),所述三甲基铝(TMAI)容纳在鼓泡器28中并且其流动由针阀29控制,但不打算局限于此),用于按照总的实施例将铝-硅氮化物层化学气相沉积在多个基底15上。与硅前体22、氮前体24和铝前体26中的每一个关联的是载气30(和相关的阀),载气30具体显示为氮(N2),但也不打算局限于此。
按照图1的化学气相沉积设备还包括几种通常常见的排气阀32,排气阀32被布置和组装在化学气相沉积设备内的重要位置处。
按照图1的化学气相沉积设备的尺寸被适当设定,以便容纳多个基底15,正如在化学气相沉积设备的设计、制作和操作技术中通常想要的那样。图1的化学气相沉积设备可包括还是在化学气相沉积设备的设计、制作和操作技术中通常常见的附加部件。
按照图1的化学气相沉积设备可以在化学气相沉积方法中采用,所述化学气相沉积方法包括但不限于:(1)大气压力化学气相沉积(APCVD)方法(即,从大于约650到约760torr);(2)次大气压力化学气相沉积(SACVD)方法(即,约50到约650torr);和(3)低压化学气相沉积(LPCVD)方法(即,约0.01到小于约50torr)。
图1的化学气相沉积设备还可以在等离子体增强的化学气相沉积方法(PECVD)中采用,所述等离子体增强的化学气相沉积方法包括但不限于:高密度等离子体化学气相沉积(HDP-CVD)方法,该方法包括高达约1500瓦的基底15偏压。在本发明的总的实施例中,如图1所示的化学气相沉积设备优选在低压化学气相沉积方法中采用。
在按照实施例的化学气相沉积方法中,硅前体22可包括,但不必局限于,硅烷、一氯甲硅烷、二氯甲硅烷、三氯甲硅烷、四氯化硅、乙硅烷、丙硅烷、丙(二甲氨基)硅烷、四(二甲氨基)硅烷、四(乙基甲基氨基)硅烷(tetrakis(ethyImethylamino)silane)和正硅酸乙酯。
类似地,氮前体24可包括,但不必局限于,氮、氨、联氨、叔丁胺、1,1-二甲基肼和叔丁基肼。
最后,铝前体26可包括,但不必局限于,三甲基铝、三乙基铝、三甲胺三氢化铝(trimethylamine alane)、氯化铝、氢化二甲基铝和二乙基乙醇铝。
载气30可包括,但不必局限于,氢、氦、氮和氩。
用于按照总的实施例的、图1的化学气相沉积设备的主要工作条件包括:(1)反应器腔室10压力从约0.01到约760torr,更优选从约0.1到约10torr,和最优选从约0.25到约5torr;(2)反应器腔室10和基底15的温度从约200到约1100℃,更优选从约400到约1000℃,和最优选从约600到约800℃;(3)约100到约20000标准立方厘米/每分钟的载气30流中的、约2到约1000标准立方厘米/每分钟的硅前体22流,更优选约500到约10000标准立方厘米/每分钟的载气30流中的、约10到约500标准立方厘米/每分钟的硅前体22流,和最优选约1000到约2000标准立方厘米/每分钟的载气30流中的、约50到约250标准立方厘米/每分钟的硅前体22流;(4)约100到约20000标准立方厘米/每分钟的载气30流中的、约2到约5000标准立方厘米/每分钟的氮前体24流,更优选约250到约10000标准立方厘米/每分钟的载气30流中的、约10到约2500标准立方厘米/每分钟的氮前体24流,和最优选约1000到约5000标准立方厘米/每分钟的载气30流中的、约100到约1000标准立方厘米/每分钟的氮前体24流;(5)约10到约5000标准立方厘米/每分钟的载气30流中的、约1到约500标准立方厘米/每分钟的铝前体26流,更优选约50到约1000标准立方厘米/每分钟的载气30流中的、约5到约100标准立方厘米/每分钟的铝前体26流,和最优选约500到约750标准立方厘米/每分钟的载气30流中的、约5到约25标准立方厘米/每分钟的铝前体26流。
典型且优选地,上述条件提供约1到约1000埃/每分钟的铝-硅氮化物层化学气相沉积率,更优选约5到约500埃/每分钟的铝-硅氮化物层化学气相沉积率,最优选约10到约200埃/每分钟的铝-硅氮化物层化学气相沉积率。
在本发明的总的实施例中,硅前体22、氮前体24和铝前体26中的任何一个或多个可以以均匀的流动速率引入反应器腔室10中,或在替换例中,上述前体中的任何一个或多个可以以不均匀的流动速率引入反应器腔室10。类似地,硅前体22、氮前体24和铝前体26中的任何一个或多个可以以开孔进入(vent-in)模式引入反应器腔室10,这种模式在按照总的实施例沉积铝-硅氮化物层之前规定特定前体流的开孔稳定性。因此,按照这种开孔进入模式形成的特定铝-硅氮化物层可以被形成为具有增强的和更均匀的材料特性。
最后,在本发明的总的实施例中,硅前体22、氮前体24和铝前体26中的任何两个或全部可以同时引入反应器腔室10(即,硅前体22和氮前体24;硅前体22和铝前体26;氮前体24和铝前体26;或硅前体22、氮前体24和铝前体26)。
图2A显示按照图1的示意图的基底15,在该基底15上可以沉积按照本总的实施例的铝-硅氮化物层。更具体地,基底15可包括几种基底材料中的任何一种,在微电子制作技术中,由这些基底材料做成的微电子基底典型地可被包括在内。这类基底材料可包括,但不必局限于导体材料、半导体材料和介质材料,以及导体材料、半导体材料与介质材料的组合体。
对于半导体材料,基底15可包括,但不必局限于,硅、锗、硅-锗合金、硅-碳合金、硅-锗-碳合金和混合半导体材料,所述混合半导体材料诸如包括但不限于III-V和II-VI混合半导体材料。混合半导体材料的更具体的例子可包括,但不必局限于,砷化镓、氮化镓、磷化镓和磷化铟混合半导体材料。在总的实施例中,还考虑将蓝宝石作为至少部分组成基底15的材料。
这个特定的总的实施例还考虑基底15可包括晶体材料、多晶材料和非结晶材料中的任何一种或多种。这个特定的总的实施例还考虑基底15可包括位于名义上的“基础”基底上的多个层。这样的多个层可包括,但不必局限于外延层,所述外延层包含的材料组分包括,但不限于导体材料、半导体材料和介质材料,以及导体材料、半导体材料与介质材料的组合。这个特定的总的实施例还考虑,组成基底15的任何“基础”材料或任何覆盖层可以包括化学计量的或非化学计量的组合物。
最后,这个特定的总的实施例还考虑,微电子器件可被布置和形成在基底15内和基底15上(即,包括任何特定的覆盖层)。这样的微电子器件(可包括半导体器件)还可包括,但不必局限于,电阻、晶体管、二极管和电容器。
图2B显示图2A所示的基底,但在基底上现在按照该总的实施例布置、形成和沉积有铝-硅氮化物层17,同时使用以上公开的特定的沉积条件和前体材料源。
典型地,铝-硅氮化物层17的厚度从约2到约5000纳米,更优选从约5到约1000纳米,最优选从约10到约100纳米。典型地,铝-硅氮化物层17的铝含量从约0.1到约25原子百分数,更优选从约1到约15原子百分数,最优选从约5到约10原子百分数。典型地,铝-硅氮化物层17的硅含量从约25到约55原子百分数,更优选从约25到约45原子百分数,最优选从约30到约40原子百分数。典型地,铝-硅氮化物层17的氮含量从约40到约60原子百分数,更优选从约45到约55原子百分数,最优选从约50到约55原子百分数。
铝-硅氮化物层17还具有约3.25到约3.45克/每立方厘米的密度,约7到约9的相对介电常数,约4.5到约6eV的带隙,约6x 10-11到约8x 10-11F/m的介电常数,并且铝-硅氮化物层17的氢含量约0.1到约5原子百分数,更典型地为约3到约4原子百分数。
铝-硅氮化物层17的带隙通常经由与带隙对应的光吸收谱测量,所述光吸收谱辨别强的光吸收的波长值。
铝-硅氮化物层17的介电常数通常由铝-硅氮化物层17在已知电容器几何尺寸的电容器内的电容量得出。
铝-硅氮化物层17的带隙和介电常数的数值也可以由氮化硅和氮化铝的对应特性(包括公布的数值)内插得到。
图2C显示图2A和图2B中所示的基底15,但其中铝-硅氮化物层17用热处理19进行处理,以提供布置在基底15上的退火铝-硅氮化物层17’。典型地,期望热处理19是在惰性气氛中、约500到约1100℃的温度下进行的经过时长约5到约600秒的热退火处理,所述惰性气氛诸如但不限于氮气。上述热处理19提供的退火铝-硅氮化物层17’具有约3.25到约3.45克/每立方厘米的密度,约7到约9的相对介电常数,约4.5到约6eV的带隙,约6x 10-11到约8x 10-11F/m的介电常数,并且退火铝-硅氮化物层17’的氢含量为约0.1到约5原子百分数,更典型地为约2到约3原子百分数。
预期按照上述总的实施例的铝-硅氮化物层17或退火铝-硅氮化物层17’可以在若干应用中用在诸如半导体结构的微电子结构中。可以在按照该总的实施例的铝-硅氮化物层17或退火铝-硅氮化物层17’所呈现的物理和化学特性范围内选择合适的应用。与氮化硅层相比较,最普遍预期的应用可以从铝-硅氮化物层17或退火铝-硅氮化物层17’的通常更高或中等的介电常数获益,或可替代地,从那些层的带隙和介电常数特性获益。
II.实验
A.沉积条件
在总体按照图1的用户定制设计的化学气相沉积设备中,氮化硅层、三种不同的铝-硅氮化物层和氮化铝层通过低压化学气相沉积方法沉积在五个硅半导体基底中的每一个基底上。五个硅半导体基底中的每一个基底首先通过浸入到49%氢氟酸溶液约60秒时间而被清洁,随后用去离子水漂洗和干燥。
所有五个沉积层的特定沉积条件包括:(1)2.003±0.003torr的反应器腔室10压力;(2)755±3℃的反应器腔室10和基底15温度;(3)在1600标准立方厘米/每分钟的氮载气30流中的二氯甲硅烷硅前体;(4)在1600标准立方厘米/每分钟的氮载气30流中的氨氮前体;以及(5)在738标准立方厘米/每分钟的氮载气30流中的三甲基铝铝前体。
对于二氯甲硅烷硅前体、氨氮前体、三甲基铝铝前体的特定流动速率在表I中列出。在所有的实验条件下,打算将氨氮前体作为相对于其它前体反应剂的过剩物。
表I
铝-硅氮化物样本ID和前体流动速率
被布置、形成和沉积在五个硅半导体基底上的氮化硅层、三个铝-硅氮化物层和氮化铝层然后在以下的化学和物理测试中被更全面地检查。
B.通过可变角度光谱椭圆偏光仪(VASE)测量的折射率
对于如上所述的和在表I中列出的F1氮化硅,F2到F4铝-硅氮化物和F5氮化铝沉积层,沉积速率通过知道沉积时间和可变角度光谱椭圆偏光仪(VASE)所测得的测量厚度来确定。由于沉积层在不同波长下的准确光学特性(即,折射率和吸光系数)是未知的,VASE技术和仪器是对于确定沉积层厚度是必需的。
为了确定F1到F5沉积层的光学常数,把基底放置在传统的VASE仪器中,该VASE仪器测量源于线性极化源的、极化为平行于(p)和垂直于(s)沉积层/基底的投射面的光的复合反射率。由于感兴趣的F1到F5沉积层被沉积在硅基底上,使得从VASE仪器得到的数据适合于考虑硅基底的参数模型。而且,在测量方法中使用多个测量角度用于为测量增加冗余性,这允许从测量中减去噪声。
折射率数据显示于图3中,其中标号301对应于F1氮化硅层数据,标号302对应于F2铝-硅氮化物层数据,标号303对应于F5氮化铝层数据。如图3所示的折射率数据说明铝-硅氮化物层的折射率如预期那样处于氮化硅层的折射率与氮化铝层的折射率之间。
基于以上的折射率数据,可以预期F2到F4铝-硅氮化物沉积层将具有从约4.75到约5.25eV的带隙。
C.薄膜生长速率
在以上描述的和表I里列出的条件下沉积的五个F1到F5层的生长速率,还被列在表II中。正如可以从表II上看到的,对于用二氯甲硅烷硅前体和三甲基铝铝前体沉积的层的沉积速率随三甲基铝铝前体气相克分子分数增加而增大。这暗示在三甲基铝铝前体与二氯甲硅烷硅前体之间可以有催化反应。然而,可以看到,在样本F4中,在0.12的三甲基铝铝前体气相克分子分数附近,与三甲基铝铝前体气相克分子分数有关的这个反应饱和沉积速率增长变得平缓。
表II
铝前体气相克分子分数和生长速率
图1中显示的阿瑞尼斯曲线图(Arrhenius plot)说明了与使用硅烷作为硅前体生长的薄膜相比较,本研究中生长的薄膜的沉积速率对温度的依赖关系。从该图可以看到,比起只利用硅前体的沉积层,使用TMAl沉积层的生长速率对温度的依赖性要低得多。沉淀氮化硅、氮化铝及其合金的反应激活能量显示在图4中。沉淀F5氮化铝的反应具有为-0.150eV的最低激活能量,而引起F1氮化硅的反应具有最高的、测定为-1.271eV的激活能量。F2,F3和F4合金沉积层具有处在F1与F5之间中间的、大约为-0.673eV的激活能量。F2和F4的激活能量假设为与所计算的F3的激活能量相同,正如在曲线图上指示的、具有与F3相同的推测斜率。对于硅烷生长的氮化硅的激活能量被测定为约-1.1eV,具有比二氯甲硅烷生长的氮化硅更高的生长速率。
如以上所述的关于三甲基铝铝前体气相克分子分数的这个特定饱和特征也可以在描绘表II数据的图5的曲线图上更清楚地看到。
D.通过高分辨率X射线衍射(HRXRD)测得的沉积层结晶度
F5氮化铝层的高分辨率X射线衍射(HRXRD)图谱通过使用通常常见的高分辨率X射线衍射仪而得到。
打算用HRXRD图谱来确定和显示在高分辨率X射线结晶调查下的特定样本的结晶度水平。
HRXRD图谱被显示于图6中,其中中心位于0位置的峰值601是从结晶硅半导体基底得到的,中心位于2000到4000的范围内的峰值602是从沉积的F5氮化铝层得到的。由于从沉积的F5氮化铝层得到的峰值602是分散的,不如硅基底峰值601那样能被很好地定义,从图6的HRXRD图谱可以得出,F5氮化铝层和其它相关的F2到F4铝-硅氮化物层在沉积时是非结晶的。
E.通过卢瑟福后向散射(RBS)测得的沉积层组分
沉积层F1到F5的卢瑟福后向散射(RBS)频谱分析通过使用通常常见的卢瑟福后向散射设备进行。特定的测量参数包括2MeV的氦离子源和在15.5度的散射角下每个40uC的样本的积累电荷。
RBS分析通常允许通过测量入射在样本上的后向散射的氦离子的能量损失谱来确定出现在薄膜中的原子种类的类型以及它们相对彼此的相对浓度。RBS的优点在于,不用关心样本中的特定原子如何互相结合,因为离子的后向散射偏离感兴趣的种类的原子核。然而,RBS的缺点在于它对具有轻原子核的原子相对不敏感,因为后向散射的氦离子几乎不向那些种类释放能量。因此,RBS技术不能被使用于检测较轻的原子核,特别是氢。可以使所得到的能量损失谱中的相对峰值适配于理论,以得到出现在沉积层中的种类的相对原子浓度。
对于F1氮化硅层,正如在图7中可以看到的,在RBS谱中仅仅可以看到硅S和氮N峰值(连同硅基底背景)。这表明在沉积的F1氮化硅层中,没有达到可与在沉积F1氮化硅层中想要的种类相比较程度的、其它重的(即,比氢更重)杂质存在。对于F4铝-硅氮化物层,可以在图9中看到,除了在图7中存在的硅S和氮N峰值以外,还存在铝Al峰值。这表明铝成功地结合到F4铝-硅氮化物层中。最后,如图8所示,正如所预期的,对于沉积的F5氮化铝层,仅仅看到铝Al和氮N峰值。
沉积层F1到F5的氢含量可以使用用于RBS分析的相同设备确定,但该设备具有不同的配置。通过以掠射角将氦离子引到F1到F5沉积层上,从沉积层沿朝向检测器的前向方向射出氢原子,因此允许F1到F5沉积层氢含量的测量进行到一定的程度。这种前向散射分析在F2铝-硅氮化物层上执行,以便确定氢含量,发现该氢含量大约为3.5%。由于全部的F1到F5沉积层以几乎相同的方式被沉积,假设全部的F1到F5沉积层具有相似的氢含量。
将理论适配于采集到的F1到F5沉积层的RBS数据的结果显示于表III中。百分数没有相加到高达100%,因为氢没有被包含在理论适配中(即,使得种类百分数加到100%所需的量归属于氢)。对于表III中的沉积层F1到F5,模拟的结果间接表明约3-4%的氢含量,这与RBS前向散射很好吻合。
表III
通过RBS测得的沉积层组分
F.通过X射线光电子光谱术(XPS)测得的沉积层组分
用于确定在样本中原子种类的浓度的另一个有用技术是X射线光电子光谱术(XPS)。XPS还具有在一定程度上确定样本中的种类如何结合的附加功效。
在XPS中,样本被放置在超高真空(UHV)室中,在该超高真空室中,单色x射线以一定角度投射到样本的表面。这些x射线从组成样本层的原子发射光电子,并且电子能量检测器收集这些被发射的电子。每种不同种类的原子具有它自己的发射电子特征谱,因此有可能通过查看对应于不同原子的不同光谱峰值的相对强度来确定薄膜中原子的相对浓度。然而,只有源于靠近表面的原子的电子才实际逃逸到真空室中,而源于样本较深处的电子被它们上面的原子层再吸收或捕获。因此,XPS仅仅对于样本的头几个纳米敏感。这需要非常洁净的样本,因为任何表面污染都会被测量检测到。这在图10中得到了证实,在图10中,除了标注的F3沉积层的铝Al、氮N和硅S峰值以外,还存在碳和氧污染物峰值。
在F1到F5沉积层中感兴趣的种类的原子浓度被汇编在XPS分析的表IV中。像RBS一样,XPS分析也对于氢不敏感。因此,F1到F5沉积层的氢含量的精确测量值仅仅是从上述前向散射实验留下的。
表IV
通过XPS测得的沉积层组分
为了比较,图11显示沉积层铝分数与铝前体气相克分子分数之间关系的曲线图,该曲线图用来关联经由RBS和XPS确定的F1到F5沉积层的基本组分分析。如图11所示,两个测量结果(即,RBS数据的标号1101和XPS数据的标号1102)之间的相关性是相当紧密的,在相关的数据点之间不存在大的偏离。
G.通过傅立叶变换红外(FTIR)光谱术确定氢
由于发现XPS和RBS都对氢不敏感,使用傅立叶变换红外(FTIR)光谱术来分析F1和F2到F4沉积层,因为在这些沉积层中与氢结合的原子种类的声子模式在中红外区域内具有本征能量。F1和F2到F4沉积层使用常规的傅立叶变换红外仪器和方法进行分析。F1和F2到F4沉积层被沉积在双面抛光的硅基底上,在反射模式下以70°的入射角进行测量,并通过金镜返回来增加信号强度(由于硅对于中红外辐射在很大程度上是透明的)。在薄膜中,声子模式的特征吸收峰值(signature absorption peaks)被显示在图12(适用于F3沉积铝-硅氮化物层)和图13(适用于F1沉积氮化硅层)中。
氢在沉积层中是不想要的杂质,因为它降低沉积层密度并提高沉积层湿蚀刻速率。因此,试图通过以比生长温度更高的温度使基底退火来允许将氢扩散出去,进而从F1和F3沉积层中去除任何的氢。通过在温度高达850℃的形成气体中退火,氢能够被重新引入沉积层。即使是在形成气体中,以高于850℃的温度退火也能将氢从薄膜中去除。为了这个目的,使用氮清除快速热退火(RTA)设备。
如图12和图13中所看到的,在氮气体中以高温进行退火将大大减小在FTIR谱中的N-H和Si-H峰值(见与光谱1201相比较的光谱1202,或与光谱1301相比较的光谱1302)。然而应当注意的是,N-H峰值比Si-H峰值更难消除。另外明显的是,为了从F1氮化硅沉积层光谱中去除N-H和Si-H峰值,需要比在约800℃时发生的F3铝-硅氮化物沉积层光谱去除高得多的、1000℃的温度。
H.利用退火实现的沉积层致密化
F3铝-硅氮化物的两个样本也经由红外光谱椭圆偏光仪进行分析,以便确定源于氮RTA退火的氢含量的减小是否导致任何可测量的沉积层厚度的改变。图14中描绘的是这个退火实验的结果。可以看到,在仅仅暴露30秒后,在高温1000℃(标号1401)和1100℃(标号1402)下进行的相对较短的退火分别使薄膜厚度减小2.7%和3.5%。还可以看到,在这些温度下的继续退火并不会继续减小F3沉积层的厚度。
上述热退火条件还改变了沉积层的折射率。正如从图14中可以看到的,在1000℃和1100℃下使沉积层退火导致F3沉积层折射率增加约1.25%(参见与标号1502退火之后相比较的标号1501如沉积状态)。
I.沉积层湿蚀刻速率
在49%含水氢氟(HF)酸和AZ4OOK显影剂(它包括碱成分)中确定沉积层蚀刻速率。当在49%HF中蚀刻时,F1到F4沉积层都呈现约9.5±1μm/min的蚀刻速率,以及当在AZ4OOK显影剂中蚀刻时,呈现可被忽略的小蚀刻速率。F5沉积层在49%HF中呈现可被忽略的小蚀刻速率,但在AZ4OOK显影剂中呈现15nm/min的、令人满意的蚀刻速率。
按照本发明的、包括示范性实验实施例在内的优选实施例是对于本发明的说明,而不是对本发明的限制。对于用于按照优选实施例形成铝-硅氮化物层的方法、材料、结构和尺寸可以做出修订和变换,而仍旧提供按照本发明的、进而按照所附权利要求的实施例。

Claims (27)

1.一种用于形成铝-硅氮化物层的方法,包括: 
把硅前体、氮前体和铝前体引入反应器腔室,所述反应器腔室中布置有基底;以及 
在能使铝-硅氮化物层沉积在所述基底上的化学气相沉积条件下,使所述硅前体、氮前体和铝前体进行反应;
其中所述铝-硅氮化物层具有: 
4.5eV到6eV的带隙;和 
在1到100GHz的频率下,具有6x10-11F/m到8x10-11F/m的介电常数。 
2.如权利要求1所述的方法,其中所述化学蒸汽沉积条件选自大气压力化学气相沉积条件、次大气压力化学气相沉积条件、低压化学气相沉积条件和等离子体增强化学气相沉积条件所组成的组。 
3.如权利要求1所述的方法,其中所述基底所含的材料选自导体材料、半导体材料、介质材料,以及导体材料、半导体材料和介质材料的组合所组成的组。 
4.如权利要求1所述的方法,其中所述基底所含的材料选自硅、锗、硅-锗合金、硅-碳合金、硅-锗-碳合金、砷化镓、氮化镓、磷化镓、磷化铟、其他III-V半导体、II-VI半导体和蓝宝石材料所组成的组。 
5.如权利要求1所述的方法,其中所述硅前体选自硅烷、一氯甲硅烷、二氯甲硅烷、三氯甲硅烷、四氯化硅、乙硅烷、丙硅烷、丙(二甲氨基)硅烷、四(二甲氨基)硅烷、四(乙基甲基氨基)硅烷和正硅酸乙酯所组成的组。 
6.如权利要求1所述的方法,其中所述氮前体选自氮、氨、联氨、叔丁胺、1,1-二甲基肼和叔丁基肼所组成的组。 
7.如权利要求1所述的方法,其中所述铝前体选自三甲基铝、三乙基铝、三甲胺三氢化铝和氯化铝所组成的组。 
8.如权利要求1所述的方法,还包括用载气将所述硅前体、氮前体和铝前体引入反应器中。 
9.如权利要求8所述的方法,其中所述载气选自氮、氩、氦和氢所组成的组。 
10.如权利要求1所述的方法,其中所述硅前体以2到1000标准立方厘米/每分钟的流动速率被引入所述腔室中。 
11.如权利要求1所述的方法,其中所述氮前体以2到5000标准立方厘米/每分钟的流动速率被引入所述腔室中。 
12.如权利要求1所述的方法,其中所述铝前体以1到100标准立方厘米/每分钟的流动速率被引入所述腔室中。 
13.如权利要求1所述的方法,其中所述硅前体、氮前体和铝前体中的至少一种在引入到所述反应器之前先通过流动到通风管路来进行稳定化。 
14.如权利要求1所述的方法,其中所述铝前体在引入所述反应器中之前先与所述硅前体结合。 
15.如权利要求1所述的方法,其中所述铝前体在引入所述反应器中之前先与所述氮前体结合。 
16.如权利要求1所述的方法,其中所述硅前体、氮前体和铝前体气体以恒定的流动速率被引入所述反应器中。 
17.如权利要求1所述的方法,其中所述硅前体、氮前体和铝前体气体以可变的流动速率被引入所述反应器中。 
18.如权利要求1所述的方法,其中所述硅前体和氮前体以恒定的流动速率被引 入所述反应器中,以及所述铝前体以可变的流动速率被引入所述反应器中。 
19.如权利要求1所述的方法,其中所述反应器被维持在100mtorr到760torr的压力下和200℃到1100℃的温度下。 
20.如权利要求1所述的方法,其中所述反应器有快速热退火能力。 
21.如权利要求1所述的方法,其中所述反应器被维持在2.000到2.006torr的压力下和752℃到758℃的温度下。 
22.如权利要求1所述的方法,其中铝-硅氮化物层以1到500埃/每分钟的生长速率被沉积在基底上。 
23.如权利要求1所述的方法,其中铝-硅氮化物层具有从3到4原子百分数的氢含量。 
24.如权利要求23所述的方法,还包括在600到1100℃的温度下、在惰性气氛中对铝-硅氮化物层进行退火。 
25.一种用于形成铝-硅氮化物层的方法,包括: 
将硅前体、氮前体和铝前体引入反应器腔室中,所述反应器腔室中布置有基底;以及 
在低压化学气相沉积条件下使所述硅前体、氮前体和铝前体进行反应,从而使铝-硅氮化物层沉积在基底上,所述低压化学气相沉积条件包括: 
0.01到760torr的反应器腔室压力;和 
200到1100℃的基底温度; 
其中铝-硅氮化物层具有: 
4.5到6的带隙;和 
6x10-11F/m到8x10-11F/m的介电常数。 
26.如权利要求25所述的方法,其中铝-硅氮化物层具有从3到4原子百分数的氢含量。 
27.如权利要求25所述的方法,还包括在600到1100℃的温度下、在惰性气氛中对铝-硅氮化物层进行时长5到600秒的退火,从而形成具有2到4原子百分数的氢含量的退火铝-硅氮化物层。 
CN201080038033.5A 2009-06-26 2010-06-28 用于铝-硅氮化物的化学气相沉积处理 Expired - Fee Related CN102484070B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US22097509P 2009-06-26 2009-06-26
US61/220,975 2009-06-26
PCT/US2010/040136 WO2010151856A2 (en) 2009-06-26 2010-06-28 Chemical vapor deposition process for aluminum silicon nitride

Publications (2)

Publication Number Publication Date
CN102484070A CN102484070A (zh) 2012-05-30
CN102484070B true CN102484070B (zh) 2014-12-10

Family

ID=43387159

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080038033.5A Expired - Fee Related CN102484070B (zh) 2009-06-26 2010-06-28 用于铝-硅氮化物的化学气相沉积处理

Country Status (3)

Country Link
US (1) US8791034B2 (zh)
CN (1) CN102484070B (zh)
WO (1) WO2010151856A2 (zh)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7417266B1 (en) 2004-06-10 2008-08-26 Qspeed Semiconductor Inc. MOSFET having a JFET embedded as a body diode
US8633094B2 (en) 2011-12-01 2014-01-21 Power Integrations, Inc. GaN high voltage HFET with passivation plus gate dielectric multilayer structure
US8940620B2 (en) 2011-12-15 2015-01-27 Power Integrations, Inc. Composite wafer for fabrication of semiconductor devices
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
GB201203161D0 (en) 2012-02-23 2012-04-11 Epigan Nv A device comprising a III-N layer stack with improved passivation layer and associated manufacturing method
US8928037B2 (en) * 2013-02-28 2015-01-06 Power Integrations, Inc. Heterostructure power transistor with AlSiN passivation layer
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
WO2016099755A1 (en) * 2014-12-15 2016-06-23 Applied Materials, Inc. Ultra-thin dielectric diffusion barrier and etch stop layer for advanced interconnect applications
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
EP3347504B1 (en) 2015-09-11 2024-09-25 Versum Materials US, LLC Methods for depositing a conformal metal or metalloid silicon nitride film and resultant films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
JP6730429B2 (ja) * 2015-10-06 2020-07-29 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー コンフォーマルな金属又はメタロイド窒化ケイ素膜の堆積方法
US9761672B1 (en) * 2016-03-01 2017-09-12 Infineon Technologies Americas Corp. Semiconductor component including aluminum silicon nitride layers
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
WO2018218217A2 (en) * 2017-05-26 2018-11-29 University Of North Texas Mechanistic investigation and prevention of al bond pad corrosion in cu wire-bonded device assembly
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
CN110890376B (zh) * 2018-09-11 2022-08-02 长鑫存储技术有限公司 半导体器件的制备方法
KR102288163B1 (ko) 2019-03-08 2021-08-11 (주)디엔에프 박막 내 금속 또는 금속 산화물을 포함하는 실리콘 금속 산화물 봉지막 및 이의 제조방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1716548A (zh) * 2004-06-29 2006-01-04 国际商业机器公司 掺杂的氮化物膜、掺杂的氧化物膜、以及其它掺杂的膜

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59168677A (ja) 1983-03-14 1984-09-22 Fujitsu Ltd 半導体装置及びその製造方法
JPS6271042A (ja) 1985-09-24 1987-04-01 Sharp Corp 光メモリ素子
DE3802998A1 (de) 1988-02-02 1989-08-10 Basf Ag Verfahren zur herstellung einer duennen roentgenamorphen aluminiumnitrid- oder aluminiumsiliciumnitridschicht auf einer oberflaeche
TW474024B (en) 1999-08-16 2002-01-21 Cornell Res Foundation Inc Passivation of GaN based FETs
US6365927B1 (en) 2000-04-03 2002-04-02 Symetrix Corporation Ferroelectric integrated circuit having hydrogen barrier layer
JP5095064B2 (ja) * 2000-08-04 2012-12-12 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア シリコン基板上に堆積された窒化物層を有する半導体フィルムおよびその製造方法
EP2267783B1 (en) 2001-07-24 2017-06-21 Cree, Inc. Insulating gate algan/gan hemt
US7534709B2 (en) * 2003-05-29 2009-05-19 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
TWI258798B (en) 2003-12-05 2006-07-21 Int Rectifier Corp III-nitride device passivation and method
KR100621765B1 (ko) 2004-01-20 2006-09-08 삼성전자주식회사 반도체 소자에서의 박막 형성방법 및 그에 따른 박막형성장치
JP2005294791A (ja) * 2004-03-09 2005-10-20 Nec Corp 不揮発性メモリ及び不揮発性メモリの製造方法
US7550783B2 (en) 2004-05-11 2009-06-23 Cree, Inc. Wide bandgap HEMTs with source connected field plates
US7371649B2 (en) 2005-09-13 2008-05-13 United Microelectronics Corp. Method of forming carbon-containing silicon nitride layer
WO2007067589A2 (en) 2005-12-05 2007-06-14 Massachusetts Institute Of Technology Insulated gate devices and method of making same
US7338826B2 (en) 2005-12-09 2008-03-04 The United States Of America As Represented By The Secretary Of The Navy Silicon nitride passivation with ammonia plasma pretreatment for improving reliability of AlGaN/GaN HEMTs
US7419892B2 (en) * 2005-12-13 2008-09-02 Cree, Inc. Semiconductor devices including implanted regions and protective layers and methods of forming the same
US7595270B2 (en) 2007-01-26 2009-09-29 Asm America, Inc. Passivated stoichiometric metal nitride films
KR100849725B1 (ko) 2007-06-28 2008-08-01 주식회사 하이닉스반도체 급속 증기 증착법을 이용한 반도체 소자의 소자분리막형성방법
KR20090030651A (ko) 2007-09-20 2009-03-25 서울옵토디바이스주식회사 질화갈륨계 발광소자
US7632726B2 (en) 2007-12-07 2009-12-15 Northrop Grumman Space & Mission Systems Corp. Method for fabricating a nitride FET including passivation layers
US7851825B2 (en) 2007-12-10 2010-12-14 Transphorm Inc. Insulated gate e-mode transistors
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US20100109098A1 (en) 2008-11-06 2010-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure including modified high-k gate dielectric and metal gate interface

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1716548A (zh) * 2004-06-29 2006-01-04 国际商业机器公司 掺杂的氮化物膜、掺杂的氧化物膜、以及其它掺杂的膜

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
Lattice parameters of wurtzite Al1-xSixN ternary alloys;Yoshitaka Taniyasu等;《APPLIED PHYSICS LETTERS》;20011224;第79卷(第26期);第4351~4353页 *
Richard J.Brown等.AlxSiyNz Passivated AlGaN/GaN High Electron mobility transistors.《Device Research Conference 2009,IEEE》.2009, *
Yoshitaka Taniyasu等.Lattice parameters of wurtzite Al1-xSixN ternary alloys.《APPLIED PHYSICS LETTERS》.2001,第79卷(第26期), *

Also Published As

Publication number Publication date
WO2010151856A2 (en) 2010-12-29
WO2010151856A3 (en) 2011-03-31
CN102484070A (zh) 2012-05-30
US20120156895A1 (en) 2012-06-21
US8791034B2 (en) 2014-07-29

Similar Documents

Publication Publication Date Title
CN102484070B (zh) 用于铝-硅氮化物的化学气相沉积处理
Seyller Passivation of hexagonal SiC surfaces by hydrogen termination
Smirnova et al. SiCN alloys obtained by remote plasma chemical vapour deposition from novel precursors
Alevli et al. The influence of N2/H2 and ammonia N source materials on optical and structural properties of AlN films grown by plasma enhanced atomic layer deposition
Khatami et al. The influence of carbon on the structure and photoluminescence of amorphous silicon carbonitride thin films
Hoex et al. High-rate plasma-deposited SiO2 films for surface passivation of crystalline silicon
Weeks et al. Plasma enhanced atomic layer deposition of silicon nitride using neopentasilane
Cariou et al. Low temperature plasma enhanced CVD epitaxial growth of silicon on GaAs: a new paradigm for III-V/Si integration
Alpuim et al. Deposition of silicon nitride thin films by hot-wire CVD at 100 C and 250 C
Losurdo et al. Structural and optical investigation of plasma deposited silicon carbon alloys: Insights on Si-C bond configuration using spectroscopic ellipsometry
Hirose Plasma-deposited films: kinetics of formation, composition, and microstructure
Ahn et al. Stress‐controlled silicon nitride film with high optical transmittance prepared by an ultrahigh‐vacuum electron cyclotron resonance plasma chemical‐vapor deposition system
Alzahrani et al. In Situ Plasma‐Grown Silicon‐Oxide for Polysilicon Passivating Contacts
Wrobel et al. Silicon oxycarbide thin films by remote microwave hydrogen plasma CVD using a tetramethyldisiloxane precursor
Besland et al. Interpretation of stress variation in silicon nitride films deposited by electron cyclotron resonance plasma
Abdelal et al. A Comparative study of a: SiCN: H thin films fabricated with acetylene and methane
Arkles et al. Simplified CVD route to near-zero thickness silicon nitride films
Delmotte et al. Low temperature deposition of SiN x: H using SiH 4–N 2 or SiH 4–NH 3 distributed electron cyclotron resonance microwave plasma
Abdelal et al. Influence of different carbon precursors on optical and electrical properties of silicon carbonitride thin films
Lisker et al. Plasma-enhanced atomic layer deposition of silicon nitride for front-end-of-line applications
Favennec et al. Ultra low κ PECVD porogen approach: matrix precursors comparison and porogen removal treatment study
Aydil et al. In situ probing and atomistic simulation of a-Si: H plasma deposition
Nallapati et al. Process characterization of plasma enhanced chemical vapor deposition of silicon nitride films with disilane as silicon source
US20160379828A1 (en) Silicon doping source films by ald deposition
Shinohara et al. Infrared Spectroscopic Study of Hydrogenation Process of Si (100) Surface During Hydrogen Plasma Exposure

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20141210

CF01 Termination of patent right due to non-payment of annual fee