US20120156895A1 - Chemical vapor deposition process for aluminum silicon nitride - Google Patents

Chemical vapor deposition process for aluminum silicon nitride Download PDF

Info

Publication number
US20120156895A1
US20120156895A1 US13/380,144 US201013380144A US2012156895A1 US 20120156895 A1 US20120156895 A1 US 20120156895A1 US 201013380144 A US201013380144 A US 201013380144A US 2012156895 A1 US2012156895 A1 US 2012156895A1
Authority
US
United States
Prior art keywords
aluminum
precursor
silicon
silicon nitride
nitride layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US13/380,144
Other versions
US8791034B2 (en
Inventor
James R. Shealy
Richard Brown
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Cornell University
Original Assignee
Cornell University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cornell University filed Critical Cornell University
Priority to US13/380,144 priority Critical patent/US8791034B2/en
Assigned to CORNELL UNIVERSITY reassignment CORNELL UNIVERSITY ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BROWN, RICHARD, SHEALY, JAMES R.
Publication of US20120156895A1 publication Critical patent/US20120156895A1/en
Application granted granted Critical
Publication of US8791034B2 publication Critical patent/US8791034B2/en
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02145Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing aluminium, e.g. AlSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition

Definitions

  • the invention relates generally to dielectric layers within microelectronic structures. More particularly, the invention relates to enhanced performance dielectric layers within microelectronic structures.
  • a dielectric layer comprising a high-k (low bandgap) dielectric material having a dielectric constant greater than about 5.
  • a low bandgap dielectric material increases the probability of leakage currents due to quantum mechanical tunneling processes through the low bandgap dielectric material that comprises the dielectric layer.
  • applications where low capacitance between conducting structures is desirable, and where low leakage currents are also sought, are best satisfied by a dielectric layer comprising a low-k (large bandgap) dielectric material having a dielectric constant less than about 4.
  • the invention includes a chemical vapor deposition method that may be used for forming over a substrate an aluminum-silicon nitride layer that may be used within a microelectronic structure that includes the substrate.
  • the aluminum-silicon nitride layer comprises an aluminum-silicon nitride material that has: (1) a bandgap from about 4.5 to about 6 eV; and (2) a permittivity from about 6 ⁇ 10 ⁇ -11 to about 8 ⁇ 10 ⁇ -11 F/m, at a microwave frequency from 1 to 100 GHz.
  • the aluminum-silicon nitride layer that comprises the aluminum-silicon nitride dielectric material with the foregoing bandgap and permittivity characteristics is desirable within microelectronic structure and microelectronic device fabrication since the foregoing bandgap and permittivity characteristics may be uniquely desirable for certain passivation applications in devices where surface charge is an issue.
  • the chemical vapor deposition method for forming the aluminum-silicon nitride layer is desirable within microelectronic structure and microelectronic device fabrication insofar as such methodology allows for a chemical compositional variation and tuning when depositing the aluminum-silicon nitride dielectric material that comprises the aluminum-silicon nitride layer.
  • a particular method for forming an aluminum-silicon nitride layer in accordance with the invention includes introducing a silicon precursor, a nitrogen precursor and an aluminum precursor into a reactor chamber having a substrate positioned within the reactor chamber. This particular method also includes reacting the silicon precursor, the nitrogen precursor and the aluminum precursor under chemical vapor deposition conditions effective to deposit an aluminum-silicon nitride layer upon the substrate.
  • Another particular method for forming an aluminum-silicon nitride layer in accordance with the invention includes introducing a silicon precursor, a nitrogen precursor and an aluminum precursor into a reactor chamber having a substrate positioned within the reactor chamber. This particular method also includes reacting the silicon precursor, the nitrogen precursor and the aluminum precursor under chemical vapor deposition conditions that include: (1) a reactor chamber pressure from about 0.1 to about 760 torr; and (2) a substrate temperature from about 200 to about 1100 degrees centigrade, to deposit an aluminum-silicon nitride layer upon the substrate.
  • FIG. 1 shows a schematic diagram of a chemical vapor deposition apparatus for depositing an aluminum-silicon nitride layer upon a substrate in accordance with a general embodiment of the invention.
  • FIG. 2A , FIG. 2B and FIG. 2C show a series of schematic cross-sectional diagrams illustrating the results of progressive process stages in depositing and processing upon a substrate an aluminum-silicon nitride layer in accordance with the general embodiment of the invention.
  • FIG. 3 shows a graph of Index of Refraction versus Wavelength for an aluminum-silicon nitride layer deposited in accordance with a more specific embodiment of the invention, in comparison with a silicon nitride layer and an aluminum nitride layer.
  • FIG. 4 shows a graph of Deposition Rate versus Inverse Temperature for an aluminum-silicon nitride layer deposited in accordance with a more specific embodiment of the invention, in comparison with a silicon nitride layer and an aluminum nitride layer.
  • FIG. 5 shows a graph of Growth Rate versus Aluminum Precursor Mole Fraction for depositing an aluminum-silicon nitride layer in accordance with the more specific embodiment of the invention.
  • FIG. 6 shows a High Resolution X-Ray Diffraction (HRXRD) spectrum of Intensity versus Position for an aluminum nitride layer in accordance with the more specific embodiment of the invention.
  • HRXRD High Resolution X-Ray Diffraction
  • FIG. 7 to FIG. 9 show a series of Rutherford Backscattering (RBS) spectra of Counts versus Channel for an aluminum-silicon nitride layer in accordance with the more specific embodiment of the invention, in comparison with a silicon nitride layer and an aluminum nitride layer.
  • RBS Rutherford Backscattering
  • FIG. 10 shows an X-ray Photoelectron Spectroscopy (XPS) spectrum of Counts per Second versus Binding Energy for an aluminum-silicon nitride layer in accordance with the more specific embodiment of the invention.
  • XPS X-ray Photoelectron Spectroscopy
  • FIG. 11 shows a graph of Film Aluminum Fraction versus Aluminum Precursor Mole Fraction for an aluminum-silicon nitride layer in accordance with the more specific embodiment of the invention.
  • FIG. 12 and FIG. 13 shows a pair of Fourier Transform Infrared (FTIR) spectra of Absorption versus Wavenumber for an aluminum-silicon nitride layer in accordance with the more specific embodiment of the invention annealed at 800 degrees centigrade, in comparison with a silicon nitride layer annealed at 1000 degrees centigrade.
  • FTIR Fourier Transform Infrared
  • FIG. 14 shows a graph of Scaled Film Thickness versus Anneal Time for thermal annealing of an aluminum-silicon nitride layer in accordance with the more specific embodiment of the invention at multiple temperatures.
  • FIG. 15 shows a graph of Index of Refraction versus Wavelength for an aluminum-silicon nitride layer as deposited and post anneal in accordance with the more specific embodiment of the invention.
  • the invention which includes a chemical vapor deposition method for depositing over a substrate an aluminum-silicon nitride layer for use within a microelectronic structure, is understood within the context of the description set forth below.
  • the description set forth below is further understood within the context of the drawings described above. Since the drawings described above are intended for illustrative purposes, the drawings are not necessarily drawn to scale.
  • FIG. 1 shows a schematic diagram of a chemical vapor deposition apparatus that may in general be used to deposit an aluminum-silicon nitride layer upon a substrate in accordance with a general embodiment of the invention.
  • FIG. 1 shows a reactor chamber 10 in a specific but not limiting embodiment of a quartz cell.
  • the reactor chamber 10 may also comprise any of several alternative constructions and configurations that are common in the chemical vapor deposition apparatus design, fabrication and operation art. Such alternative constructions and configurations may be fabricated using materials including but not limited to conductor materials and dielectric materials.
  • the reactor chamber 10 is resistively heated by a resistive heater 12 , although other heating means, such as but not limited to inductive heating means, are also not precluded or excluded within the general embodiment.
  • the general embodiment also contemplates a rapid thermal annealing (RTA) heating means that provides a temperature rise to about 1100 degrees centigrade in a time period of about 3000 milliseconds.
  • RTA rapid thermal annealing
  • Alternative activation means for activating reactants
  • Such alternative activation means may include, but are not limited to plasma activation means.
  • a susceptor 14 upon which is located and positioned a plurality of substrates 15 .
  • the susceptor 14 is of an otherwise generally conventional construction within the context of chemical vapor deposition apparatus design, fabrication and operation. Particular features and considerations regarding the plurality of substrates 15 , which are not specifically intended as part of the chemical vapor deposition apparatus that is illustrated in FIG. 1 , are discussed in greater detail below.
  • the reactor chamber 10 may be evacuated by means of a roots blower 18 in tandem with a rotary vane pump 20 , where an evacuation flow from the reactor chamber 10 is further modulated through action of a throttle valve 16 interposed between the reactor chamber 10 and the roots blower 18 .
  • the throttle valve 16 , the roots blower 18 and the rotary vane pump 20 are sized appropriately to the reactor chamber 10 , and are otherwise generally conventional within the chemical vapor deposition apparatus design, fabrication and operation art.
  • the chemical vapor deposition apparatus in accordance with FIG. 1 also includes: (1) a silicon precursor 22 (i.e., a silicon precursor source material specifically illustrated as dichlorosilane (DCS), but not intended to be so limited); (2) a nitrogen precursor 24 (i.e., a nitrogen precursor source material specifically illustrated as ammonia (NH3), but also not intended to be so limited); and (3) an aluminum precursor 26 (i.e., an aluminum precursor source material specifically illustrated as trimethylaluminum (TMA1) contained within a bubbler 28 whose flow is controlled by a needle valve 29 , but also not intended to be so limited), for purposes of chemical vapor deposition of an aluminum-silicon nitride layer upon the plurality of substrates 15 in accordance with the general embodiment.
  • a carrier gas 30 Associated with each of the silicon precursor 22 , the nitrogen precursor 24 and the aluminum precursor 26 is a carrier gas 30 (and related valve) that is specifically illustrated as nitrogen (N2), but is furthermore also not intended to be so limited.
  • the chemical vapor deposition apparatus in accordance with FIG. 1 also includes several generally conventional vent valves 32 located and assembled at strategic locations within the chemical vapor deposition apparatus.
  • the chemical vapor deposition apparatus in accordance with FIG. 1 is sized appropriately to accommodate the plurality of substrates 15 , as is generally desirable within the context of the microelectronics fabrication art, including the semiconductor fabrication art.
  • the chemical vapor deposition apparatus of FIG. 1 may comprise additional components that are otherwise also generally conventional in the chemical vapor deposition apparatus design, fabrication and operation art.
  • the chemical vapor deposition apparatus in accordance with FIG. 1 may be employed within the context of chemical vapor deposition methods including but not limited to: (1) atmospheric pressure chemical vapor deposition (APCVD) methods (i.e., from greater than about 650 to about 760 torr); (2) sub-atmospheric pressure chemical vapor deposition (SACVD) methods (i.e., from about 50 to about 650 ton); and (3) low pressure chemical vapor deposition (LPCVD) methods (i.e., from about 0.01 to less than about 50 ton).
  • APCVD atmospheric pressure chemical vapor deposition
  • SACVD sub-atmospheric pressure chemical vapor deposition
  • LPCVD low pressure chemical vapor deposition
  • the chemical vapor deposition apparatus of FIG. 1 may also be employed within the context of plasma enhanced chemical vapor deposition (PECVD) methods, including but not limited to high density plasma chemical vapor deposition (HDP-CVD) methods that include a substrate 15 bias of up to about 1500 watts.
  • PECVD plasma enhanced chemical vapor deposition
  • HDP-CVD high density plasma chemical vapor deposition
  • the chemical vapor deposition apparatus as is illustrated in FIG. 1 is preferably used within the context of a low pressure chemical vapor deposition method.
  • the silicon precursor 22 may include, but is not necessarily limited to silane, monochlorosilane, dichlorosilane, trichlorosilane, silicon tetrachloride, disilane, trisilane, tris(dimethylamino)silane, tetrakis(dimethylamino)silane, tetrakis(ethylmethylamino)silane and tetraethylorthosilicate.
  • the nitrogen precursor 24 may include, but is not necessarily limited to nitrogen, ammonia, hydrazine, tert-butylamine, 1,1-dimethylhydrazine and tert-butylhydrazine.
  • the aluminum precursor 26 may include, but is not necessarily limited to trimethyaluminum, triethylaluminum, trimethylamine alane, aluminum chloride, dimethylaluminium hydride and diethylaluminium ethoxide.
  • the carrier gas 30 may include, but is not necessarily limited to hydrogen, helium, nitrogen and argon.
  • General operating conditions for the chemical vapor deposition apparatus of FIG. 1 in accordance with the general embodiment include: (1) a reactor chamber 10 pressure from about 0.01 to about 760 torr, more preferably from about 0.1 to about 10 ton and most preferably from about 0.25 to about 5 torr; (2) a reactor chamber 10 and substrate 15 temperature from about 200 to about 1100 degrees centigrade, more preferably from about 400 to about 1000 degrees centigrade and most preferably from about 600 to about 800 degrees centigrade; (3) a silicon precursor 22 flow from about 2 to about 1000 standard cubic centimeters per minute in a carrier gas 30 flow from about 100 to about 20000 standard cubic centimeters per minute, more preferably from about 10 to about 500 standard cubic centimeters per minute in a carrier gas 30 flow from about 500 to about 10000 standard cubic centimeters per minute and most preferably from about 50 to about 250 standard cubic centimeters per minute in a carrier gas 30 flow from about 1000 to about 2000 standard cubic centimeters per minute; (4) a nitrogen precursor 24 flow from about 2
  • the foregoing conditions provide an aluminum-silicon nitride layer chemical vapor deposition rate from about 1 to about 1000 angstroms per minute, more preferably from about 5 to about 500 angstroms per minute and most preferably from about 10 to about 200 angstroms per minute.
  • any one or more of the silicon precursor 22 , the nitrogen precursor 24 and the aluminum precursor 26 may be introduced into the reactor chamber 10 at a uniform flow rate, or in the alternative any one or more of the foregoing precursors may be introduced into the reactor chamber 10 at a non-uniform flow rate.
  • any one or more of the silicon precursor 22 , the nitrogen precursor 24 and the aluminum precursor 26 may be introduced into the reactor chamber 10 in a vent-in mode that provides for vent stabilization of flow of the particular precursor prior to deposition of an aluminum-silicon nitride layer in accordance with the general embodiment.
  • the particular aluminum-silicon nitride layer that may be formed in accordance with such a vent-in mode may be formed with enhanced and more uniform materials properties.
  • any two or all of the silicon precursor 22 , the nitrogen precursor 24 and the aluminum precursor 26 may be introduced into the reactor chamber 10 simultaneously (i.e., silicon precursor 22 and nitrogen precursor 24 ; silicon precursor 22 and aluminum precursor 26 ; nitrogen precursor 24 and aluminum precursor 26 ; or silicon precursor 22 , nitrogen precursor 24 and nitrogen precursor 26 ).
  • FIG. 2A shows a substrate 15 in accordance with the schematic diagram of FIG. 1 , upon which may be deposited an aluminum-silicon nitride layer in accordance with the instant general embodiment.
  • the substrate 15 may comprise any of several substrate materials from which microelectronics substrates may typically be comprised within the context of the microelectronics fabrication art.
  • substrate materials may include, but are not necessarily limited to conductor materials, semiconductor materials and dielectric materials, as well as composites of conductor materials, semiconductor materials and dielectric materials.
  • the substrate 15 may comprise, but is not necessarily limited to silicon, germanium, silicon-germanium alloy, silicon-carbon alloy, silicon-germanium-carbon alloy and compound semiconductor materials such as but not limited to III-V and II-VI compound semiconductor materials. More specific examples of compound semiconductor materials may include, but are not necessarily limited to gallium arsenide, gallium nitride, gallium phosphide and indium phosphide compound semiconductor materials. Also considered within the context of the general embodiment is sapphire as a material from which the substrate 15 is at least in-part comprised.
  • the substrate 15 may comprise any one, or more, of a crystalline material, a polycrystalline material and an amorphous material.
  • the substrate 15 may comprise a plurality of layers located upon or over a nominal “base” substrate.
  • Such a plurality of layers may include, but is not necessarily limited to epitaxial layers, comprised of materials composition including but not limited conductor materials, semiconductor materials and dielectric materials, and combinations of conductor materials, semiconductor materials and dielectric materials.
  • any of the “base” materials from which may be comprised the substrate 15 , or any overlying layers may comprise stoichiometric or non-stoichiometric compositions.
  • microelectronic devices may be located and formed within and upon the substrate 15 (i.e., including any particular overlying layers). Such microelectronic devices (which may include semiconductor devices), may further include, but are not necessarily limited to resistors, transistors, diodes and capacitors.
  • FIG. 2B shows the substrate 15 that is illustrated in FIG. 2A , but upon which is now located, formed and deposited an aluminum-silicon nitride layer 17 in accordance with the instant general embodiment, while using the particular deposition conditions and precursor material sources that are disclosed above.
  • the aluminum-silicon nitride layer 17 has a thickness from about 2 to about 5000 nanometers, more preferably from about 5 to about 1000 nanometers and most preferably from about 10 to about 100 nanometers.
  • the aluminum-silicon nitride layer 17 has an aluminum content from about 0.1 to about 25 atomic percent, more preferably from about 1 to about 15 atomic percent and most preferably from about 5 to about 10 atomic percent.
  • the aluminum-silicon nitride layer 17 has a silicon content from about 25 to about 55 atomic percent, more preferably from about 25 to about 45 atomic percent and most preferably from about 30 to about 40 atomic percent.
  • the aluminum-silicon nitride layer 17 has a nitrogen content from about 40 to about 60 atomic percent, more preferably from about 45 to about 55 atomic percent and most preferably from about 50 to about 55 atomic percent.
  • the aluminum-silicon nitride layer 17 will also have a density from about 3.25 to about 3.45 grams per cubic centimeter, a relative dielectric constant from about 7 to about 9, a bandgap from about 4.5 to about 6 eV, a permittivity from about 6 ⁇ 10 ⁇ -11 to about 8 ⁇ 10 ⁇ -11 F/m and a hydrogen content from about 0.1 to about 5 atomic percent, more typically from about 3 to about 4 percent.
  • the bandgap of the aluminum-silicon nitride layer 17 is generally measured via optical absorption spectra that discern the wavelength value of strong optical absorption, corresponding to the bandgap.
  • the permittivity of the aluminum-silicon nitride layer 17 is generally inferred from the capacitance of the aluminum-silicon nitride layer 17 within a capacitor of a known capacitor geometry.
  • Values for the bandgap and the permittivity of the aluminum-silicon nitride layer 17 may also be interpolated from the corresponding properties (including published values) for silicon nitride and aluminum nitride.
  • FIG. 2C shows the substrate 15 that is illustrated in FIG. 2A and FIG. 2B , but wherein the aluminum-silicon nitride layer 17 is treated with a thermal treatment 19 to provide an annealed aluminum-silicon nitride layer 17 ′ located upon the substrate 15 .
  • the thermal treatment 19 is typically intended as a thermal annealing treatment at a temperature from about 500 to about 1100 degrees centigrade for a time period from about 5 to about 600 seconds, in an inert atmosphere, such as but not limited to nitrogen.
  • the foregoing thermal treatment 19 provides the annealed aluminum-silicon nitride layer 17 ′ having a density from about 3.25 to about 3.45 grams per cubic centimeter, a relative dielectric constant from about 7 to about 9, a bandgap from about 4.5 to about 6 eV, a permittivity from about 6 ⁇ 10 ⁇ -11 to about 8 ⁇ 10 ⁇ -11 F/m and a hydrogen content from about 0.1 to about 5 atomic percent, more typically from about 2 to about 3 atomic percent.
  • the aluminum-silicon nitride layer 17 or the annealed aluminum-silicon nitride layer 17 ′ in accordance with the foregoing general embodiment may be used within the context of any of several applications within a microelectronic structure, such as a semiconductor structure. Appropriate applications may be selected within the context of physical and chemical properties exhibited by the aluminum-silicon nitride layer 17 or the annealed aluminum-silicon nitride layer 17 ′ in accordance with the instant general embodiment.
  • a silicon nitride layer, three different aluminum-silicon nitride layers and an aluminum nitride layer were deposited upon each of five silicon semiconductor substrates by a low pressure chemical vapor deposition method in a custom designed chemical vapor deposition apparatus generally in accordance with FIG. 1 .
  • Each of the five silicon semiconductor substrates was first cleaned by immersion in a 49% hydrofluoric acid solution for a time period of about 60 seconds, followed by a deionized water rinse and drying.
  • the particular deposition conditions with respect to all of the five deposited layers included: (1) a reactor chamber 10 pressure of 2.003+/ ⁇ 0.003 ton; (2) a reactor chamber 10 and substrate 15 temperature of 755+/ ⁇ 3 degrees centigrade; (3) a dichlorosilane silicon precursor in a nitrogen carrier gas flow of 1600 standard cubic centimeters per minute; (4) an ammonia nitrogen precursor in a nitrogen carrier gas flow of 1600 standard cubic centimeters per minute; and (5) a trimethylaluminum aluminum precursor in a nitrogen carrier gas flow of 738 standard cubic centimeters per minute.
  • the silicon nitride layer, the three aluminum-silicon nitride layers and the aluminum nitride layer located, formed and deposited upon the five silicon semiconductor substrates were then examined more fully within the context of the following chemical and physical testing.
  • VASE Variable Angle Spectroscopic Ellipsometry
  • deposition rates were determined with the knowledge of the deposition time and measured thickness, as measured using Variable Angle Spectroscopic Ellipsometry (VASE).
  • VASE Variable Angle Spectroscopic Ellipsometry
  • the VASE technique and instrument was necessary for the determination of a deposited layer thickness as the exact optical properties of the deposited layer (i.e., index of refraction and extinction coefficient) at different wavelengths was unknown.
  • the substrates were placed in an otherwise conventional VASE instrument which measures the complex ratio of reflection of light polarized parallel (p) and perpendicular (s) to the plane of incidence of the deposited layer/substrate which originates from a linearly polarized source. Since the F1 to F5 deposited layers of interest were deposited upon silicon substrates, the data obtained from the VASE instrument was fitted to a parametric model that took into account the silicon substrates. Moreover, the use of multiple measurement angles within the measurement methodology served to add redundancy to the measurements that allowed for subtraction of noise in the measurements.
  • the index of refraction data is shown in FIG. 3 , where reference numeral 301 corresponds with the F1 silicon nitride layer data, reference numeral 302 corresponds with the F2 aluminum-silicon nitride layer data and reference numeral 303 corresponds with the F5 aluminum nitride layer data.
  • the index of refraction data that is shown in FIG. 3 illustrates that an index of refraction for an aluminum-silicon nitride layer is, as expected, between an index of refraction of a silicon nitride layer and an index of refraction of an aluminum nitride layer.
  • the F2 to F4 aluminum-silicon nitride deposited layers will have a bandgap from about 4.75 to about 5.25 eV.
  • An Arrhenius plot shown in FIG. 4 illustrates dependence of the deposition rate versus inverse temperature for the films grown in this study compared to films grown using silane as a silicon precursor. It is apparent from the plot that the growth rate of deposited layers using TMA1 is much less temperature dependant than the deposited layers only utilizing a silicon precursor.
  • the activation energies of the reactions precipitating silicon nitride, aluminum nitride and the alloys of thereof are shown in FIG. 4 .
  • the reaction precipitating the F5 aluminum nitride had the lowest activation energy at ⁇ 0.150 eV, while the reaction giving rise to the F1 silicon nitride had the highest activation energy which was measured to be ⁇ 1.271 eV.
  • the F2, F3 and F4 alloyed deposited layers had an activation energy that was intermediate between F1 and F5, coming in at around ⁇ 0.673 eV.
  • the activation energies for F2 and F4 were assumed to be the same as that calculated for F3, as indicated on the plot as having the same extrapolated slope as F3.
  • the activation energy for silane grown silicon nitride has been measured to be around ⁇ 1.1 eV, with a higher growth rate than dichlorosilane grown silicon nitride.
  • a High Resolution X-Ray Diffraction (HRXRD) spectrum of the F5 aluminum nitride layer was obtained using a high resolution X-Ray diffractometer that is otherwise generally conventional.
  • the HRXRD spectrum is intended to determine and illustrate a level of crystallinity of a particular sample under high resolution X-Ray crystallographic investigation.
  • the HRXRD spectrum is shown in FIG. 6 , where the peak 601 which is centered at the 0 position derives from the crystalline silicon semiconductor substrate, and the peaks 602 centered in the 2000 to 4000 range derive from the deposited F5 aluminum nitride layer. Since the peaks 602 that derive from the deposited F5 aluminum nitride layer are dispersed and not as well defined as the silicon substrate peak 601 , it is inferred from the HRXRD spectrum of FIG. 6 that the F5 aluminum nitride layer, and other related F2 to F4 aluminum-silicon nitride layers are amorphous as deposited.
  • Rutherford Backscattering (RBS) spectroscopic analysis of deposited layers F1 to F5 was undertaken using a Rutherford Backscattering apparatus that is otherwise generally conventional. Particular measurement parameters included a 2 MeV source of helium ions and an accumulated charge per sample of 40 uC at a scattering angle of 15.6 degrees.
  • RBS analysis generally allows the determination of the types of atomic species present in a film and their relative concentrations to each other by measuring the energy loss spectrum of the backscattered helium ions that are incident on the sample.
  • RBS has the advantage of being blind to the how the particular atoms in the sample are bonded to one another, as the backscattering of the ions is off of the atomic nuclei of the species of interest.
  • RBS has the disadvantage that it is relatively insensitive to atoms with light nuclei, as the backscattered helium ions loose little energy to those species.
  • the RBS technique cannot be used to detect lighter nuclei, especially hydrogen.
  • the relative peaks in the energy loss spectrum obtained can be fit to theory to obtain the relative atomic concentrations of the species present in the deposited layers.
  • a hydrogen content of the deposited layers F1 to F5 can be determined with the same apparatus that is used for the RBS analysis, but with a different configuration.
  • This forward scattering analysis was performed on the F2 aluminum-silicon nitride layer to determine the hydrogen content, which was found to be about 3.5%. Since all the F1 to F5 deposited layers were deposited in much the same manner, it was assumed that all the deposited layers F1 to F5 had a similar hydrogen content.
  • XPS X-Ray Photoelectron Spectroscopy
  • XPS is only sensitive to the first few nanometers of the sample. This requires a very clean sample as any surface contamination will be detected by the measurements. This is evidenced in FIG. 10 , where along with the labeled aluminum Al, nitrogen N and silicon Si peaks of an F3 deposited layer, carbon and oxygen contaminant perks are also present.
  • Atomic concentrations of the species of interest within the F1 to F5 deposited layers are compiled in Table IV for XPS analysis. Like RBS, XPS analysis is also not sensitive to hydrogen. Thus, an accurate measurement of the hydrogen content of the F1 to F5 deposited layers remains only from the forward scattering experiment described above.
  • FIG. 11 shows a graph of deposited layer aluminum fraction versus aluminum precursor vapor mole fraction intended to correlate the elemental composition analysis determined for the F1 to F5 deposited layers via RBS and XPS.
  • the correlation between the two measurements i.e., reference numeral 1101 for RBS data and reference numeral 1102 for XPS data
  • the correlation between the two measurements is relatively close, absent substantial deviation between correlating data points.
  • the F1 and F2 to F4 deposited layers were analyzed using Fourier transform infrared (FTIR) spectroscopy since the phonon modes of the atomic species in those deposited layers bonded to hydrogen have eigenenergies in the mid-IR region.
  • the F1 and F2 to F4 deposited layers were analyzed using a conventional Fourier transform infrared instrument and methodology.
  • the F1 and F2 to F4 deposited layers were deposited on double-side polished silicon substrates and measured in reflection mode with an angle of incidence of 70° and backed by a gold minor to increase the signal level (since silicon is largely transparent to mid-IR radiation).
  • Signature absorption peaks for phonon modes in the films are displayed in FIG. 12 (for the F3 deposited aluminum-silicon nitride layer) and FIG. 13 (for the F1 deposited silicon nitride layer).
  • Hydrogen is an undesirable impurity in the deposited layers as it decreases the deposited layer density and increases the deposited layer wet-etch rate. Hence an attempt was made to remove any hydrogen from the F1 and F3 deposited layers by annealing the substrate at a temperature higher than the growth temperature to allow the hydrogen to diffuse out. Hydrogen was able to be reintroduced into the deposited layers by annealing in the forming gas up to a temperature of 850° C. Anneals at temperatures greater than 850° C., even in forming gas, resulted in the removal of hydrogen from the film. A nitrogen purged rapid thermal anneal (RTA) apparatus was used for this purpose.
  • RTA rapid thermal anneal
  • annealing at high temperature in nitrogen gas reduces the N—H and Si—H peaks in the FTIR spectra significantly (see spectrum 1202 in comparison with spectrum 1201 or spectrum 1302 in comparison with spectrum 1301 ).
  • the N—H peak is more difficult to eliminate than the Si—H peak.
  • to remove the N—H and Si—H peaks from the F1 silicon nitride deposited layer spectra requires a considerably higher temperature of 1000° C. than the F3 aluminum-silicon-nitride deposited layer spectra, which occurred around 800° C.
  • thermal anneal conditions also changed the index of refraction of the deposited layers.
  • annealing a deposited layer at 1000 and 1100° C. increases the F3 deposited layer index of refraction by about 1.25% (see reference numeral 1501 as deposited in comparison with reference numeral 1502 post anneal).
  • the deposited layer etch rates were determined in 49% aqueous hydrofluoric (HF) acid and AZ4OOK developer (which comprises an alkaline composition).
  • the F1 to F4 deposited layers all exhibited an etch rate of about 9.5+/ ⁇ 1 um/min when etched in 49% HF, and a negligibly small etch rate when etched in the AZ40OK developer.
  • the F5 deposited layer exhibited a negligibly small etch rate in 49% HF, but a favorable etch rate of 15 nm/min in the AZ400K developer.

Abstract

A chemical vapor deposition method for forming an aluminum-silicon nitride layer upon a substrate uses an aluminum precursor, a silicon precursor and a nitrogen precursor under chemical vapor deposition conditions to deposit the aluminum-silicon nitride layer upon the substrate. The aluminum-silicon nitride layer has an index of refraction interposed between silicon nitride and aluminum nitride. The aluminum-silicon nitride layer also has a bandgap from about 4.5 to about 6 eV and a permittivity from about 6×10̂-11 to about 8×10̂-11 F/m. The aluminum-silicon nitride layer may be further thermally annealed to reduce a hydrogen content of the aluminum-silicon nitride layer.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • The instant application is a US national stage filing from, and claims priority to, PCT Application Serial Number PCT/US2010/040136 filed Jun. 28, 2010, which claims priority from U.S. Provisional Application Ser. No. 61/220,975 filed 26 Jun. 2009, entitled Chemical Vapor Deposition Process for Aluminum Silicon Nitride, the contents of which are incorporated herein fully by reference. This application is also related to co-assigned PCT application Ser. No. 10/40,137 filed on an even date herewith, entitled Method for Forming III-V Semiconductor Structures Passivated with Aluminum-Silicon Nitride Layers. This application is also related to co-assigned PCT Application Serial Number US2010/040129 filed 10 Jun. 2010, entitled III-V Semiconductor Structures Passivated With Aluminum-Silicon Nitride Layers, the contents of which are incorporated herein fully by reference.
  • BACKGROUND
  • 1. Field of the Invention
  • The invention relates generally to dielectric layers within microelectronic structures. More particularly, the invention relates to enhanced performance dielectric layers within microelectronic structures.
  • 2. Description of the Related Art
  • Dielectric layers play a crucial role in the fabrication and operation of many semiconductor structures and semiconductor devices. Moreover, the choice of a particular dielectric material for a particular dielectric layer is often heavily dependent on a particular application intended to be addressed by the particular dielectric layer.
  • For example, for a fixed thickness of a particular dielectric layer, applications where it is advantageous to maximize capacitance between conducting structures are best satisfied by a dielectric layer comprising a high-k (low bandgap) dielectric material having a dielectric constant greater than about 5. However, use of such a low bandgap dielectric material increases the probability of leakage currents due to quantum mechanical tunneling processes through the low bandgap dielectric material that comprises the dielectric layer. Alternatively, applications where low capacitance between conducting structures is desirable, and where low leakage currents are also sought, are best satisfied by a dielectric layer comprising a low-k (large bandgap) dielectric material having a dielectric constant less than about 4.
  • Thus, many semiconductor structures and semiconductor devices have unique performance requirements and criteria that may be influenced by particular characteristics of dielectric layers. Therefore, desirable are alternative dielectric layers and dielectric materials that may be used in semiconductor structures and semiconductor devices, as well as methods that may be used to fabricate those alternative dielectric layers and dielectric materials that may be used in those semiconductor structures and semiconductor devices.
  • SUMMARY
  • The invention includes a chemical vapor deposition method that may be used for forming over a substrate an aluminum-silicon nitride layer that may be used within a microelectronic structure that includes the substrate. The aluminum-silicon nitride layer comprises an aluminum-silicon nitride material that has: (1) a bandgap from about 4.5 to about 6 eV; and (2) a permittivity from about 6×10̂-11 to about 8×10̂-11 F/m, at a microwave frequency from 1 to 100 GHz.
  • The aluminum-silicon nitride layer that comprises the aluminum-silicon nitride dielectric material with the foregoing bandgap and permittivity characteristics is desirable within microelectronic structure and microelectronic device fabrication since the foregoing bandgap and permittivity characteristics may be uniquely desirable for certain passivation applications in devices where surface charge is an issue. The chemical vapor deposition method for forming the aluminum-silicon nitride layer is desirable within microelectronic structure and microelectronic device fabrication insofar as such methodology allows for a chemical compositional variation and tuning when depositing the aluminum-silicon nitride dielectric material that comprises the aluminum-silicon nitride layer.
  • A particular method for forming an aluminum-silicon nitride layer in accordance with the invention includes introducing a silicon precursor, a nitrogen precursor and an aluminum precursor into a reactor chamber having a substrate positioned within the reactor chamber. This particular method also includes reacting the silicon precursor, the nitrogen precursor and the aluminum precursor under chemical vapor deposition conditions effective to deposit an aluminum-silicon nitride layer upon the substrate.
  • Another particular method for forming an aluminum-silicon nitride layer in accordance with the invention includes introducing a silicon precursor, a nitrogen precursor and an aluminum precursor into a reactor chamber having a substrate positioned within the reactor chamber. This particular method also includes reacting the silicon precursor, the nitrogen precursor and the aluminum precursor under chemical vapor deposition conditions that include: (1) a reactor chamber pressure from about 0.1 to about 760 torr; and (2) a substrate temperature from about 200 to about 1100 degrees centigrade, to deposit an aluminum-silicon nitride layer upon the substrate.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The objects, features and advantages of the invention are understood within the context of the Description of the Preferred Embodiment, as set forth below. The Description of the Preferred Embodiment is understood within the context of the accompanying drawings, that form a material part of this disclosure, wherein:
  • FIG. 1 shows a schematic diagram of a chemical vapor deposition apparatus for depositing an aluminum-silicon nitride layer upon a substrate in accordance with a general embodiment of the invention.
  • FIG. 2A, FIG. 2B and FIG. 2C show a series of schematic cross-sectional diagrams illustrating the results of progressive process stages in depositing and processing upon a substrate an aluminum-silicon nitride layer in accordance with the general embodiment of the invention.
  • FIG. 3 shows a graph of Index of Refraction versus Wavelength for an aluminum-silicon nitride layer deposited in accordance with a more specific embodiment of the invention, in comparison with a silicon nitride layer and an aluminum nitride layer.
  • FIG. 4 shows a graph of Deposition Rate versus Inverse Temperature for an aluminum-silicon nitride layer deposited in accordance with a more specific embodiment of the invention, in comparison with a silicon nitride layer and an aluminum nitride layer.
  • FIG. 5 shows a graph of Growth Rate versus Aluminum Precursor Mole Fraction for depositing an aluminum-silicon nitride layer in accordance with the more specific embodiment of the invention.
  • FIG. 6 shows a High Resolution X-Ray Diffraction (HRXRD) spectrum of Intensity versus Position for an aluminum nitride layer in accordance with the more specific embodiment of the invention.
  • FIG. 7 to FIG. 9 show a series of Rutherford Backscattering (RBS) spectra of Counts versus Channel for an aluminum-silicon nitride layer in accordance with the more specific embodiment of the invention, in comparison with a silicon nitride layer and an aluminum nitride layer.
  • FIG. 10 shows an X-ray Photoelectron Spectroscopy (XPS) spectrum of Counts per Second versus Binding Energy for an aluminum-silicon nitride layer in accordance with the more specific embodiment of the invention.
  • FIG. 11 shows a graph of Film Aluminum Fraction versus Aluminum Precursor Mole Fraction for an aluminum-silicon nitride layer in accordance with the more specific embodiment of the invention.
  • FIG. 12 and FIG. 13 shows a pair of Fourier Transform Infrared (FTIR) spectra of Absorption versus Wavenumber for an aluminum-silicon nitride layer in accordance with the more specific embodiment of the invention annealed at 800 degrees centigrade, in comparison with a silicon nitride layer annealed at 1000 degrees centigrade.
  • FIG. 14 shows a graph of Scaled Film Thickness versus Anneal Time for thermal annealing of an aluminum-silicon nitride layer in accordance with the more specific embodiment of the invention at multiple temperatures.
  • FIG. 15 shows a graph of Index of Refraction versus Wavelength for an aluminum-silicon nitride layer as deposited and post anneal in accordance with the more specific embodiment of the invention.
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The invention, which includes a chemical vapor deposition method for depositing over a substrate an aluminum-silicon nitride layer for use within a microelectronic structure, is understood within the context of the description set forth below. The description set forth below is further understood within the context of the drawings described above. Since the drawings described above are intended for illustrative purposes, the drawings are not necessarily drawn to scale.
  • The following description will sequentially describe a general deposition apparatus and general deposition conditions that may in general be used for depositing an aluminum-silicon nitride layer in accordance with a general embodiment of the invention. This general description will then be followed by a more specific description of the chemical and physical properties of particular exemplary aluminum-silicon nitride layers deposited in accordance with a more specific embodiment of the invention.
  • I. General Deposition Apparatus and General Deposition Conditions
  • FIG. 1 shows a schematic diagram of a chemical vapor deposition apparatus that may in general be used to deposit an aluminum-silicon nitride layer upon a substrate in accordance with a general embodiment of the invention.
  • FIG. 1 shows a reactor chamber 10 in a specific but not limiting embodiment of a quartz cell. The reactor chamber 10 may also comprise any of several alternative constructions and configurations that are common in the chemical vapor deposition apparatus design, fabrication and operation art. Such alternative constructions and configurations may be fabricated using materials including but not limited to conductor materials and dielectric materials.
  • As is also illustrated in FIG. 1, the reactor chamber 10 is resistively heated by a resistive heater 12, although other heating means, such as but not limited to inductive heating means, are also not precluded or excluded within the general embodiment. In particular, the general embodiment also contemplates a rapid thermal annealing (RTA) heating means that provides a temperature rise to about 1100 degrees centigrade in a time period of about 3000 milliseconds. Alternative activation means (for activating reactants) that are not necessarily heating means are also not precluded or excluded within the general embodiment of the chemical vapor deposition apparatus of FIG. 1. Such alternative activation means may include, but are not limited to plasma activation means.
  • As is illustrated within FIG. 1, included within the reactor chamber 10 is a susceptor 14 upon which is located and positioned a plurality of substrates 15. The susceptor 14 is of an otherwise generally conventional construction within the context of chemical vapor deposition apparatus design, fabrication and operation. Particular features and considerations regarding the plurality of substrates 15, which are not specifically intended as part of the chemical vapor deposition apparatus that is illustrated in FIG. 1, are discussed in greater detail below.
  • As is illustrated in FIG. 1, the reactor chamber 10 may be evacuated by means of a roots blower 18 in tandem with a rotary vane pump 20, where an evacuation flow from the reactor chamber 10 is further modulated through action of a throttle valve 16 interposed between the reactor chamber 10 and the roots blower 18. The throttle valve 16, the roots blower 18 and the rotary vane pump 20 are sized appropriately to the reactor chamber 10, and are otherwise generally conventional within the chemical vapor deposition apparatus design, fabrication and operation art.
  • The chemical vapor deposition apparatus in accordance with FIG. 1 also includes: (1) a silicon precursor 22 (i.e., a silicon precursor source material specifically illustrated as dichlorosilane (DCS), but not intended to be so limited); (2) a nitrogen precursor 24 (i.e., a nitrogen precursor source material specifically illustrated as ammonia (NH3), but also not intended to be so limited); and (3) an aluminum precursor 26 (i.e., an aluminum precursor source material specifically illustrated as trimethylaluminum (TMA1) contained within a bubbler 28 whose flow is controlled by a needle valve 29, but also not intended to be so limited), for purposes of chemical vapor deposition of an aluminum-silicon nitride layer upon the plurality of substrates 15 in accordance with the general embodiment. Associated with each of the silicon precursor 22, the nitrogen precursor 24 and the aluminum precursor 26 is a carrier gas 30 (and related valve) that is specifically illustrated as nitrogen (N2), but is furthermore also not intended to be so limited.
  • The chemical vapor deposition apparatus in accordance with FIG. 1 also includes several generally conventional vent valves 32 located and assembled at strategic locations within the chemical vapor deposition apparatus.
  • The chemical vapor deposition apparatus in accordance with FIG. 1 is sized appropriately to accommodate the plurality of substrates 15, as is generally desirable within the context of the microelectronics fabrication art, including the semiconductor fabrication art. The chemical vapor deposition apparatus of FIG. 1 may comprise additional components that are otherwise also generally conventional in the chemical vapor deposition apparatus design, fabrication and operation art.
  • The chemical vapor deposition apparatus in accordance with FIG. 1 may be employed within the context of chemical vapor deposition methods including but not limited to: (1) atmospheric pressure chemical vapor deposition (APCVD) methods (i.e., from greater than about 650 to about 760 torr); (2) sub-atmospheric pressure chemical vapor deposition (SACVD) methods (i.e., from about 50 to about 650 ton); and (3) low pressure chemical vapor deposition (LPCVD) methods (i.e., from about 0.01 to less than about 50 ton).
  • The chemical vapor deposition apparatus of FIG. 1 may also be employed within the context of plasma enhanced chemical vapor deposition (PECVD) methods, including but not limited to high density plasma chemical vapor deposition (HDP-CVD) methods that include a substrate 15 bias of up to about 1500 watts. Within the general embodiment of the invention, the chemical vapor deposition apparatus as is illustrated in FIG. 1 is preferably used within the context of a low pressure chemical vapor deposition method.
  • Within a chemical vapor deposition method in accordance with the embodiments, the silicon precursor 22 may include, but is not necessarily limited to silane, monochlorosilane, dichlorosilane, trichlorosilane, silicon tetrachloride, disilane, trisilane, tris(dimethylamino)silane, tetrakis(dimethylamino)silane, tetrakis(ethylmethylamino)silane and tetraethylorthosilicate.
  • Similarly, the nitrogen precursor 24 may include, but is not necessarily limited to nitrogen, ammonia, hydrazine, tert-butylamine, 1,1-dimethylhydrazine and tert-butylhydrazine.
  • Finally, the aluminum precursor 26 may include, but is not necessarily limited to trimethyaluminum, triethylaluminum, trimethylamine alane, aluminum chloride, dimethylaluminium hydride and diethylaluminium ethoxide.
  • The carrier gas 30 may include, but is not necessarily limited to hydrogen, helium, nitrogen and argon.
  • General operating conditions for the chemical vapor deposition apparatus of FIG. 1 in accordance with the general embodiment include: (1) a reactor chamber 10 pressure from about 0.01 to about 760 torr, more preferably from about 0.1 to about 10 ton and most preferably from about 0.25 to about 5 torr; (2) a reactor chamber 10 and substrate 15 temperature from about 200 to about 1100 degrees centigrade, more preferably from about 400 to about 1000 degrees centigrade and most preferably from about 600 to about 800 degrees centigrade; (3) a silicon precursor 22 flow from about 2 to about 1000 standard cubic centimeters per minute in a carrier gas 30 flow from about 100 to about 20000 standard cubic centimeters per minute, more preferably from about 10 to about 500 standard cubic centimeters per minute in a carrier gas 30 flow from about 500 to about 10000 standard cubic centimeters per minute and most preferably from about 50 to about 250 standard cubic centimeters per minute in a carrier gas 30 flow from about 1000 to about 2000 standard cubic centimeters per minute; (4) a nitrogen precursor 24 flow from about 2 to about 5000 standard cubic centimeters per minute in a carrier gas 30 flow from about 100 to about 20000 standard cubic centimeters per minute, more preferably from about 10 to about 2500 standard cubic centimeters per minute in a carrier gas 30 flow from about 250 to about 10000 standard cubic centimeters per minute and most preferably from about 100 to about 1000 standard cubic centimeters per minute in a carrier gas 30 flow from about 1000 to about 5000 standard cubic centimeters per minute; and (5) an aluminum precursor 26 flow from about 1 to about 500 standard cubic centimeters per minute in a carrier gas 30 flow from about 10 to about 5000 standard cubic centimeters per minute, more preferably from about 5 to about 100 standard cubic centimeters per minute in a carrier gas 30 flow from about 50 to about 1000 standard cubic centimeters per minute and most preferably from about 5 to about 25 standard cubic centimeters per minute in a carrier gas 30 flow from about 500 to about 750 standard cubic centimeters per minute.
  • Typically and preferably, the foregoing conditions provide an aluminum-silicon nitride layer chemical vapor deposition rate from about 1 to about 1000 angstroms per minute, more preferably from about 5 to about 500 angstroms per minute and most preferably from about 10 to about 200 angstroms per minute.
  • Within the general embodiment of the invention, any one or more of the silicon precursor 22, the nitrogen precursor 24 and the aluminum precursor 26 may be introduced into the reactor chamber 10 at a uniform flow rate, or in the alternative any one or more of the foregoing precursors may be introduced into the reactor chamber 10 at a non-uniform flow rate. Similarly, any one or more of the silicon precursor 22, the nitrogen precursor 24 and the aluminum precursor 26 may be introduced into the reactor chamber 10 in a vent-in mode that provides for vent stabilization of flow of the particular precursor prior to deposition of an aluminum-silicon nitride layer in accordance with the general embodiment. Thus, the particular aluminum-silicon nitride layer that may be formed in accordance with such a vent-in mode may be formed with enhanced and more uniform materials properties.
  • Finally, within the general embodiment of the invention any two or all of the silicon precursor 22, the nitrogen precursor 24 and the aluminum precursor 26 may be introduced into the reactor chamber 10 simultaneously (i.e., silicon precursor 22 and nitrogen precursor 24; silicon precursor 22 and aluminum precursor 26; nitrogen precursor 24 and aluminum precursor 26; or silicon precursor 22, nitrogen precursor 24 and nitrogen precursor 26).
  • FIG. 2A shows a substrate 15 in accordance with the schematic diagram of FIG. 1, upon which may be deposited an aluminum-silicon nitride layer in accordance with the instant general embodiment. More particularly, the substrate 15 may comprise any of several substrate materials from which microelectronics substrates may typically be comprised within the context of the microelectronics fabrication art. Such substrate materials may include, but are not necessarily limited to conductor materials, semiconductor materials and dielectric materials, as well as composites of conductor materials, semiconductor materials and dielectric materials.
  • With respect to semiconductor materials, the substrate 15 may comprise, but is not necessarily limited to silicon, germanium, silicon-germanium alloy, silicon-carbon alloy, silicon-germanium-carbon alloy and compound semiconductor materials such as but not limited to III-V and II-VI compound semiconductor materials. More specific examples of compound semiconductor materials may include, but are not necessarily limited to gallium arsenide, gallium nitride, gallium phosphide and indium phosphide compound semiconductor materials. Also considered within the context of the general embodiment is sapphire as a material from which the substrate 15 is at least in-part comprised.
  • This particular general embodiment also contemplates that the substrate 15 may comprise any one, or more, of a crystalline material, a polycrystalline material and an amorphous material. This particular general embodiment also contemplates that the substrate 15 may comprise a plurality of layers located upon or over a nominal “base” substrate. Such a plurality of layers may include, but is not necessarily limited to epitaxial layers, comprised of materials composition including but not limited conductor materials, semiconductor materials and dielectric materials, and combinations of conductor materials, semiconductor materials and dielectric materials. This particular general embodiment also contemplates that any of the “base” materials from which may be comprised the substrate 15, or any overlying layers, may comprise stoichiometric or non-stoichiometric compositions.
  • Finally, this particular general embodiment also contemplates that microelectronic devices may be located and formed within and upon the substrate 15 (i.e., including any particular overlying layers). Such microelectronic devices (which may include semiconductor devices), may further include, but are not necessarily limited to resistors, transistors, diodes and capacitors.
  • FIG. 2B shows the substrate 15 that is illustrated in FIG. 2A, but upon which is now located, formed and deposited an aluminum-silicon nitride layer 17 in accordance with the instant general embodiment, while using the particular deposition conditions and precursor material sources that are disclosed above.
  • Typically, the aluminum-silicon nitride layer 17 has a thickness from about 2 to about 5000 nanometers, more preferably from about 5 to about 1000 nanometers and most preferably from about 10 to about 100 nanometers. Typically, the aluminum-silicon nitride layer 17 has an aluminum content from about 0.1 to about 25 atomic percent, more preferably from about 1 to about 15 atomic percent and most preferably from about 5 to about 10 atomic percent. Typically, the aluminum-silicon nitride layer 17 has a silicon content from about 25 to about 55 atomic percent, more preferably from about 25 to about 45 atomic percent and most preferably from about 30 to about 40 atomic percent. Typically, the aluminum-silicon nitride layer 17 has a nitrogen content from about 40 to about 60 atomic percent, more preferably from about 45 to about 55 atomic percent and most preferably from about 50 to about 55 atomic percent.
  • The aluminum-silicon nitride layer 17 will also have a density from about 3.25 to about 3.45 grams per cubic centimeter, a relative dielectric constant from about 7 to about 9, a bandgap from about 4.5 to about 6 eV, a permittivity from about 6×10̂-11 to about 8×10̂-11 F/m and a hydrogen content from about 0.1 to about 5 atomic percent, more typically from about 3 to about 4 percent.
  • The bandgap of the aluminum-silicon nitride layer 17 is generally measured via optical absorption spectra that discern the wavelength value of strong optical absorption, corresponding to the bandgap.
  • The permittivity of the aluminum-silicon nitride layer 17 is generally inferred from the capacitance of the aluminum-silicon nitride layer 17 within a capacitor of a known capacitor geometry.
  • Values for the bandgap and the permittivity of the aluminum-silicon nitride layer 17 may also be interpolated from the corresponding properties (including published values) for silicon nitride and aluminum nitride.
  • FIG. 2C shows the substrate 15 that is illustrated in FIG. 2A and FIG. 2B, but wherein the aluminum-silicon nitride layer 17 is treated with a thermal treatment 19 to provide an annealed aluminum-silicon nitride layer 17′ located upon the substrate 15. The thermal treatment 19 is typically intended as a thermal annealing treatment at a temperature from about 500 to about 1100 degrees centigrade for a time period from about 5 to about 600 seconds, in an inert atmosphere, such as but not limited to nitrogen. The foregoing thermal treatment 19 provides the annealed aluminum-silicon nitride layer 17′ having a density from about 3.25 to about 3.45 grams per cubic centimeter, a relative dielectric constant from about 7 to about 9, a bandgap from about 4.5 to about 6 eV, a permittivity from about 6×10̂-11 to about 8×10̂-11 F/m and a hydrogen content from about 0.1 to about 5 atomic percent, more typically from about 2 to about 3 atomic percent.
  • It is anticipated that the aluminum-silicon nitride layer 17 or the annealed aluminum-silicon nitride layer 17′ in accordance with the foregoing general embodiment may be used within the context of any of several applications within a microelectronic structure, such as a semiconductor structure. Appropriate applications may be selected within the context of physical and chemical properties exhibited by the aluminum-silicon nitride layer 17 or the annealed aluminum-silicon nitride layer 17′ in accordance with the instant general embodiment. Most commonly anticipated are applications that may benefit from a generally higher and intermediate dielectric constant of the aluminum-silicon nitride layer 17 or the annealed aluminum-silicon nitride layer 17′, or alternatively the bandgap and permittivity characteristics of those layers, in comparison with a silicon nitride layer.
  • II. Experimental A. Deposition Conditions
  • A silicon nitride layer, three different aluminum-silicon nitride layers and an aluminum nitride layer were deposited upon each of five silicon semiconductor substrates by a low pressure chemical vapor deposition method in a custom designed chemical vapor deposition apparatus generally in accordance with FIG. 1. Each of the five silicon semiconductor substrates was first cleaned by immersion in a 49% hydrofluoric acid solution for a time period of about 60 seconds, followed by a deionized water rinse and drying.
  • The particular deposition conditions with respect to all of the five deposited layers included: (1) a reactor chamber 10 pressure of 2.003+/−0.003 ton; (2) a reactor chamber 10 and substrate 15 temperature of 755+/−3 degrees centigrade; (3) a dichlorosilane silicon precursor in a nitrogen carrier gas flow of 1600 standard cubic centimeters per minute; (4) an ammonia nitrogen precursor in a nitrogen carrier gas flow of 1600 standard cubic centimeters per minute; and (5) a trimethylaluminum aluminum precursor in a nitrogen carrier gas flow of 738 standard cubic centimeters per minute.
  • Particular flow rates for the dichlorosilane silicon precursor, the ammonia nitrogen precursor and the trimethlyaluminum aluminum precursor are listed in Table I. Under all experimental conditions, the ammonia nitrogen precursor was intended as an excess with respect to other precursor reactants.
  • TABLE I
    Aluminum-Silicon Nitride Sample ID and Precursor Flow Rates
    Sample ID NH3 Flow SiH2Cl2 Flow TMAI Flow
    F1 SixNy 110 sccm 104 sccm   0 sccm
    F2 AlxSiyNz 733 sccm 104 sccm 39.4 sccm
    F3 AlxSiyNz 733 sccm 104 sccm 74.7 sccm
    F4 AlxSiyNz 733 sccm 104 sccm 157.4 sccm 
    F5 AlxNy 1319 sccm  0 sccm 98.4 sccm
  • The silicon nitride layer, the three aluminum-silicon nitride layers and the aluminum nitride layer located, formed and deposited upon the five silicon semiconductor substrates were then examined more fully within the context of the following chemical and physical testing.
  • B. Refractive Index by Variable Angle Spectroscopic Ellipsometry (VASE)
  • For the F1 silicon nitride, F2 to F4 aluminum-silicon nitride and F5 aluminum nitride deposited layers as described above and listed in Table I, deposition rates were determined with the knowledge of the deposition time and measured thickness, as measured using Variable Angle Spectroscopic Ellipsometry (VASE). The VASE technique and instrument was necessary for the determination of a deposited layer thickness as the exact optical properties of the deposited layer (i.e., index of refraction and extinction coefficient) at different wavelengths was unknown.
  • To determine the optical constants of the F1 to F5 deposited layers the substrates were placed in an otherwise conventional VASE instrument which measures the complex ratio of reflection of light polarized parallel (p) and perpendicular (s) to the plane of incidence of the deposited layer/substrate which originates from a linearly polarized source. Since the F1 to F5 deposited layers of interest were deposited upon silicon substrates, the data obtained from the VASE instrument was fitted to a parametric model that took into account the silicon substrates. Moreover, the use of multiple measurement angles within the measurement methodology served to add redundancy to the measurements that allowed for subtraction of noise in the measurements.
  • The index of refraction data is shown in FIG. 3, where reference numeral 301 corresponds with the F1 silicon nitride layer data, reference numeral 302 corresponds with the F2 aluminum-silicon nitride layer data and reference numeral 303 corresponds with the F5 aluminum nitride layer data. The index of refraction data that is shown in FIG. 3 illustrates that an index of refraction for an aluminum-silicon nitride layer is, as expected, between an index of refraction of a silicon nitride layer and an index of refraction of an aluminum nitride layer.
  • On the basis of the foregoing index of refraction data, it is anticipated that the F2 to F4 aluminum-silicon nitride deposited layers will have a bandgap from about 4.75 to about 5.25 eV.
  • C. Film Growth Rates
  • The growth rates of the five F1 to F5 layers deposited under the conditions described above and tabulated in Table I, are further tabulated in Table II. As can be seen from Table II, the deposition rate for layers deposited with both the dichlorosilane silicon precursor and the trimethylaluminum aluminum precursor increases with the trimethylaluminum aluminum precursor vapor mole fraction. This suggests that there may be a catalyzing reaction between the trimethylaluminum aluminum precursor and the dichlorosilane silicon precursor. It can be seen in sample F4 however, that this reaction saturates and the deposition rate enhancement in connection with the trimethylaluminum aluminum precursor vapor mole fraction levels off around a trimethylaluminum aluminum precursor vapor mole fraction of 0.12.
  • TABLE II
    Aluminum Precursor Vapor Mole Fraction and Growth Rate
    Sample ID Al Vapor Mole Fraction Growth Rate
    F1 SixNy
    0  19.5/min
    F2 AlxSiyNz 0.057 115.9/min
    F3 AlxSiyNz 0.106 188.6/min
    F4 AlxSiyNz 0.211 205.6/min
    F5 AlxNy
    1  23.4/min
  • An Arrhenius plot shown in FIG. 4 illustrates dependence of the deposition rate versus inverse temperature for the films grown in this study compared to films grown using silane as a silicon precursor. It is apparent from the plot that the growth rate of deposited layers using TMA1 is much less temperature dependant than the deposited layers only utilizing a silicon precursor. The activation energies of the reactions precipitating silicon nitride, aluminum nitride and the alloys of thereof are shown in FIG. 4. The reaction precipitating the F5 aluminum nitride had the lowest activation energy at −0.150 eV, while the reaction giving rise to the F1 silicon nitride had the highest activation energy which was measured to be −1.271 eV. The F2, F3 and F4 alloyed deposited layers had an activation energy that was intermediate between F1 and F5, coming in at around −0.673 eV. The activation energies for F2 and F4 were assumed to be the same as that calculated for F3, as indicated on the plot as having the same extrapolated slope as F3. The activation energy for silane grown silicon nitride has been measured to be around −1.1 eV, with a higher growth rate than dichlorosilane grown silicon nitride.
  • This particular saturation feature as described above with respect to trimethylaluminum aluminum vapor mole fraction is also more clearly illustrated in the graph of FIG. 5 which plots the data of Table II.
  • D. Deposited Layer Crystallinity by High Resolution X-Ray Diffraction (HRXRD)
  • A High Resolution X-Ray Diffraction (HRXRD) spectrum of the F5 aluminum nitride layer was obtained using a high resolution X-Ray diffractometer that is otherwise generally conventional.
  • The HRXRD spectrum is intended to determine and illustrate a level of crystallinity of a particular sample under high resolution X-Ray crystallographic investigation.
  • The HRXRD spectrum is shown in FIG. 6, where the peak 601 which is centered at the 0 position derives from the crystalline silicon semiconductor substrate, and the peaks 602 centered in the 2000 to 4000 range derive from the deposited F5 aluminum nitride layer. Since the peaks 602 that derive from the deposited F5 aluminum nitride layer are dispersed and not as well defined as the silicon substrate peak 601, it is inferred from the HRXRD spectrum of FIG. 6 that the F5 aluminum nitride layer, and other related F2 to F4 aluminum-silicon nitride layers are amorphous as deposited.
  • E. Deposited Layer Composition by Rutherford Backscattering (RBS)
  • Rutherford Backscattering (RBS) spectroscopic analysis of deposited layers F1 to F5 was undertaken using a Rutherford Backscattering apparatus that is otherwise generally conventional. Particular measurement parameters included a 2 MeV source of helium ions and an accumulated charge per sample of 40 uC at a scattering angle of 15.6 degrees.
  • RBS analysis generally allows the determination of the types of atomic species present in a film and their relative concentrations to each other by measuring the energy loss spectrum of the backscattered helium ions that are incident on the sample. RBS has the advantage of being blind to the how the particular atoms in the sample are bonded to one another, as the backscattering of the ions is off of the atomic nuclei of the species of interest. However, RBS has the disadvantage that it is relatively insensitive to atoms with light nuclei, as the backscattered helium ions loose little energy to those species. Hence, the RBS technique cannot be used to detect lighter nuclei, especially hydrogen. The relative peaks in the energy loss spectrum obtained can be fit to theory to obtain the relative atomic concentrations of the species present in the deposited layers.
  • For the F1 silicon nitride layer, as can be seen in FIG. 7, only silicon S and nitrogen N peaks (along with the silicon substrate background) can be seen in the RBS spectra. This indicates that no other heavy (i.e., heavier than hydrogen) impurities are present in the deposited F1 silicon nitride layer to a degree comparable with the species desired in the deposited F1 silicon nitride. For the F4 aluminum-silicon nitride layer, it can be seen in FIG. 9 that an aluminum Al peak is present in addition to the silicon S and nitrogen N peaks that are present in FIG. 7. This indicates that there is successful aluminum incorporation into the F4 aluminum-silicon nitride layer. Finally, as illustrated in FIG. 8, only aluminum Al and nitrogen N peaks are seen for the deposited F5 aluminum nitride layer, as expected.
  • A hydrogen content of the deposited layers F1 to F5 can be determined with the same apparatus that is used for the RBS analysis, but with a different configuration. By directing the helium ions at an F1 to F5 deposited layer sample at a grazing angle, hydrogen atoms are ejected from the deposited layer in the forward direction toward the detector, thus allowing the measurement of F1 to F5 deposited layer hydrogen content to some degree. This forward scattering analysis was performed on the F2 aluminum-silicon nitride layer to determine the hydrogen content, which was found to be about 3.5%. Since all the F1 to F5 deposited layers were deposited in much the same manner, it was assumed that all the deposited layers F1 to F5 had a similar hydrogen content.
  • The results of fitting the theoretical to the collected RBS data for the F1 to F5 deposited layers are shown in Table III. The percentages do not add up to 100% due to hydrogen not being included in the theoretical fit (i.e., the amount needed to make the species percent add to 100% is attributed to hydrogen). For the deposited layers F1 to F5 in Table III, the simulated results suggest a hydrogen content of about 3-4%, which agrees well with the RBS forward scattering analysis.
  • TABLE III
    Deposited Layer Composition by RBS
    Sample ID Al Content Si Content N Content
    F1 SixNy 46% 49%
    F2 AlxSiyNz 8% 36% 51%
    F3 AlxSiyNz 11% 32% 53%
    F4 AlxSiyNz
    12% 31% 53%
    F5 AlxNy 48% 47%
  • F. Deposited Layer Composition by X-Ray Photoelectron Spectroscopy (XPS)
  • Another useful technique for determining the concentration of atomic species in a sample is X-Ray Photoelectron Spectroscopy (XPS). XPS also has the added utility of determining to some degree how the species in the sample are bonded.
  • In XPS, a sample is placed in an ultrahigh vacuum (UHV) chamber where monochromatic x-rays strike the surface of the sample at an angle. These x-rays eject photoelectrons from the atoms that make up the sample layer and an electron energy detector collects these ejected electrons. Every different species of atom has its own characteristic spectrum of electrons it ejects, hence it is possible to determine the relative concentrations of atoms in the film by looking at the relative intensities of the different spectral peaks that correspond to the different atoms. However, only electrons originating from atoms close to the surface actually escape into the vacuum chamber as ones originating from deeper in the sample are re-absorbed or trapped by the layers of atoms above them. Hence XPS is only sensitive to the first few nanometers of the sample. This requires a very clean sample as any surface contamination will be detected by the measurements. This is evidenced in FIG. 10, where along with the labeled aluminum Al, nitrogen N and silicon Si peaks of an F3 deposited layer, carbon and oxygen contaminant perks are also present.
  • Atomic concentrations of the species of interest within the F1 to F5 deposited layers are compiled in Table IV for XPS analysis. Like RBS, XPS analysis is also not sensitive to hydrogen. Thus, an accurate measurement of the hydrogen content of the F1 to F5 deposited layers remains only from the forward scattering experiment described above.
  • TABLE IV
    Deposited Layer Composition by XPS
    Sample ID Al Content Si Content N Content
    F1 SixNy 60.2% 39.8%
    F2 AlxSiyNz 5.6% 50.8% 43.5%
    F3 AlxSiyNz 8.8% 47.8% 43.4%
    F4 AlxSiyNz 11.5% 46.4% 42.1%
    F5 AlxNy 60.2% 39.8%
  • For comparison purposes, FIG. 11 shows a graph of deposited layer aluminum fraction versus aluminum precursor vapor mole fraction intended to correlate the elemental composition analysis determined for the F1 to F5 deposited layers via RBS and XPS. As is illustrated in FIG. 11, the correlation between the two measurements (i.e., reference numeral 1101 for RBS data and reference numeral 1102 for XPS data) is relatively close, absent substantial deviation between correlating data points.
  • G. Hydrogen Determination by Fourier Transform Infrared (FTIR) Spectroscopy
  • Due to the observation that both XPS and RBS are blind to hydrogen the F1 and F2 to F4 deposited layers were analyzed using Fourier transform infrared (FTIR) spectroscopy since the phonon modes of the atomic species in those deposited layers bonded to hydrogen have eigenenergies in the mid-IR region. The F1 and F2 to F4 deposited layers were analyzed using a conventional Fourier transform infrared instrument and methodology. The F1 and F2 to F4 deposited layers were deposited on double-side polished silicon substrates and measured in reflection mode with an angle of incidence of 70° and backed by a gold minor to increase the signal level (since silicon is largely transparent to mid-IR radiation). Signature absorption peaks for phonon modes in the films are displayed in FIG. 12 (for the F3 deposited aluminum-silicon nitride layer) and FIG. 13 (for the F1 deposited silicon nitride layer).
  • Hydrogen is an undesirable impurity in the deposited layers as it decreases the deposited layer density and increases the deposited layer wet-etch rate. Hence an attempt was made to remove any hydrogen from the F1 and F3 deposited layers by annealing the substrate at a temperature higher than the growth temperature to allow the hydrogen to diffuse out. Hydrogen was able to be reintroduced into the deposited layers by annealing in the forming gas up to a temperature of 850° C. Anneals at temperatures greater than 850° C., even in forming gas, resulted in the removal of hydrogen from the film. A nitrogen purged rapid thermal anneal (RTA) apparatus was used for this purpose.
  • As is seen in FIG. 12 and FIG. 13, annealing at high temperature in nitrogen gas reduces the N—H and Si—H peaks in the FTIR spectra significantly (see spectrum 1202 in comparison with spectrum 1201 or spectrum 1302 in comparison with spectrum 1301). However it is noticed that the N—H peak is more difficult to eliminate than the Si—H peak. It is also evident that to remove the N—H and Si—H peaks from the F1 silicon nitride deposited layer spectra requires a considerably higher temperature of 1000° C. than the F3 aluminum-silicon-nitride deposited layer spectra, which occurred around 800° C.
  • H. Deposited Layer Densification with Anneal
  • Two samples of F3 aluminum-silicon nitride were also analyzed via spectroscopic ellipsometry to determine if a reduction in hydrogen content from a nitrogen RTA anneal resulted in any measurable change in deposited layer thickness. Plotted in FIG. 14 are the results of this annealing experiment. It can be seen that relatively short anneals at high temperature 1000° C. (reference numeral 1401) and 1100° C. (reference numeral 1402) reduced the thickness of the film by 2.7% and 3.5% respectively after only 30 seconds of exposure. It can also be seen that further annealing at these temperatures does not further reduce the thickness of the F3 deposited layers
  • The foregoing thermal anneal conditions also changed the index of refraction of the deposited layers. As can be seen in FIG. 15, annealing a deposited layer at 1000 and 1100° C. increases the F3 deposited layer index of refraction by about 1.25% (see reference numeral 1501 as deposited in comparison with reference numeral 1502 post anneal).
  • I. Deposited Layer Wet Etch Rates
  • The deposited layer etch rates were determined in 49% aqueous hydrofluoric (HF) acid and AZ4OOK developer (which comprises an alkaline composition). The F1 to F4 deposited layers all exhibited an etch rate of about 9.5+/−1 um/min when etched in 49% HF, and a negligibly small etch rate when etched in the AZ40OK developer. The F5 deposited layer exhibited a negligibly small etch rate in 49% HF, but a favorable etch rate of 15 nm/min in the AZ400K developer.
  • The preferred embodiments including the exemplary experimental embodiment data in accordance with the invention are illustrative of the invention rather than limiting of the invention. Revisions and modifications to methods, materials, structures and dimensions for an aluminum-silicon nitride layer formed in accordance with the preferred embodiments while still providing an embodiment in accordance with the invention, further in accordance with the accompanying claims.

Claims (29)

1. A method for forming an aluminum-silicon nitride layer comprising:
introducing a silicon precursor, a nitrogen precursor and an aluminum precursor into a reactor chamber having a substrate positioned within the reactor chamber; and
reacting the silicon precursor, the nitrogen precursor and the aluminum precursor under chemical vapor deposition conditions effective to deposit an aluminum-silicon nitride layer upon the substrate.
2. The method of claim 1 wherein the aluminum-silicon nitride layer has:
a bandgap from about 4.5 to about 6 eV; and
a permittivity from about 6×10̂-11 to about 8×10̂-11 F/m, at a frequency from 1 to 100 GHz.
3. The method of claim 1 wherein the chemical vapor deposition conditions are selected from the group consisting of atmospheric pressure chemical vapor deposition conditions, sub atmospheric pressure chemical vapor deposition conditions, low pressure chemical vapor deposition conditions and plasma enhanced chemical vapor deposition conditions.
4. The method of claim 1 wherein the substrate comprises a material selected from the group consisting of conductor materials, semiconductor materials, dielectric materials and composites of conductor materials, semiconductor materials and dielectric materials.
5. The method of claim 1 wherein the substrate comprises a material selected from the group consisting of silicon, germanium, silicon-germanium alloy, silicon-carbon alloy, silicon-germanium-carbon alloy, gallium arsenide, gallium nitride, gallium phosphide, indium phosphide, other III-V semiconductor, II-VI semiconductor and sapphire materials.
6. The method of claim 1 wherein the silicon precursor is selected from the group consisting of silane, monochlorosilane, dichlorosilane, trichlorosilane, silicon tetrachloride, disilane, trisilane, tris(dimethylamino)silane, tetrakis (dimethylamino)silane, tetrakis(ethylmethylamino)silane and tetraethylorthosilicate.
7. The method of claim 1 wherein the nitrogen precursor is selected from the group consisting of to nitrogen, ammonia, hydrazine, tert-butylamine, 1,1-dimethylhydrazine and tert-butylhydrazine.
8. The method of claim 1 wherein the aluminum precursor is selected from the group consisting of trimethylaluminum, triethylaluminum, trimethylamine alane and aluminum chloride.
9. The method of claim 1 further comprising using a carrier gas to introduce the silicon precursor, the nitrogen precursor and the aluminum precursor into the reactor.
10. The method of claim 9 wherein the carrier gas is selected from the group consisting of nitrogen, argon, helium and hydrogen.
11. The method of claim 1 wherein the silicon precursor is introduced into the chamber at a flow rate of from about 2 and about 1000 standard cubic centimeters per minute.
12. The method of claim 1 wherein the nitrogen precursor is introduced into the chamber at a flow rate from about 2 to about 5000 standard cubic centimeters per minute.
13. The method of claim 1 wherein the aluminum precursor is introduced into the chamber at a flow rate from about 1 to about 100 standard cubic centimeters per minute.
14. The method of claim 1 wherein at least one of the silicon precursor, the nitrogen precursor and the aluminum precursor is stabilized by flowing to a vent line prior to introducing into the reactor.
15. The method of claim 1 wherein the aluminum precursor is combined with the silicon precursor prior to introducing into the reactor.
16. The method of claim 1 wherein the aluminum precursor is combined with the nitrogen precursor prior to introducing into the reactor.
17. The method of claim 1 wherein the silicon precursor, the nitrogen precursor and the aluminum precursor gas are introduced into the reactor at constant flow rates.
18. The method of claim 1 wherein the silicon precursor, the nitrogen precursor and the aluminum precursor gas are introduced into the reactor at variable flow rates.
19. The method of claim 1 wherein the silicon precursor and nitrogen precursor are introduced into the reactor at a constant flow rate and the aluminum precursor is introduced into the chamber at a variable flow rate.
20. The method of claim 1 wherein the reactor is maintained at a pressure from about 100 mtorr to about 760 torr and at a temperature from about 200° C. to about 1100° C.
21. The method of claim 1 wherein the reactor includes rapid thermal anneal capabilities.
22. The method of claim 1 wherein the reactor is maintained at a pressure from about 2.000 to 2.006 ton and at a temperature from about 752 to about 758° C.
23. The method of claim 1 wherein the aluminum-silicon nitride layer is deposited on the substrate at a growth rate from about 1 and about 500 angstroms per minute.
24. The method of claim 1 wherein the aluminum-silicon nitride layer has a hydrogen content from about 3 to about 4 atomic percent.
25. The method of claim 24 further comprising annealing the aluminum-silicon nitride layer in an inert atmosphere as a temperature from 600 to 1100 degrees centigrade.
26. A method for forming an aluminum-silicon nitride layer comprising:
introducing a silicon precursor, a nitrogen precursor and an aluminum precursor into a reactor chamber having a substrate positioned within the reactor chamber; and
reacting the silicon precursor, the nitrogen precursor and the aluminum precursor under low pressure chemical vapor deposition conditions that include:
a reactor chamber pressure from about 0.01 to about 760 torr; and
a substrate temperature from about 200 to about 1100 degrees centigrade, to deposit an aluminum-silicon nitride layer upon the substrate.
27. The method of claim 26 wherein the aluminum-silicon nitride layer has:
a bandgap from about 4.5 to about 6; and
a permittivity from about 6×10̂-11 to about 8×10̂-11 F/m.
28. The method of claim 26 wherein the aluminum-silicon nitride layer has a hydrogen content from about 3 to about 4 atomic percent.
29. The method of claim 26 further comprising annealing the aluminum-silicon nitride layer in an inert atmosphere at a temperature from about 600 to about 1100 degree centigrade for a time period from about 5 to about 600 seconds to form an annealed aluminum-silicon nitride layer that has a hydrogen content from about 2 to about 4 atomic percent.
US13/380,144 2009-06-26 2010-06-28 Chemical vapor deposition process for aluminum silicon nitride Expired - Fee Related US8791034B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/380,144 US8791034B2 (en) 2009-06-26 2010-06-28 Chemical vapor deposition process for aluminum silicon nitride

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US22097509P 2009-06-26 2009-06-26
PCT/US2010/040136 WO2010151856A2 (en) 2009-06-26 2010-06-28 Chemical vapor deposition process for aluminum silicon nitride
US13/380,144 US8791034B2 (en) 2009-06-26 2010-06-28 Chemical vapor deposition process for aluminum silicon nitride

Publications (2)

Publication Number Publication Date
US20120156895A1 true US20120156895A1 (en) 2012-06-21
US8791034B2 US8791034B2 (en) 2014-07-29

Family

ID=43387159

Family Applications (1)

Application Number Title Priority Date Filing Date
US13/380,144 Expired - Fee Related US8791034B2 (en) 2009-06-26 2010-06-28 Chemical vapor deposition process for aluminum silicon nitride

Country Status (3)

Country Link
US (1) US8791034B2 (en)
CN (1) CN102484070B (en)
WO (1) WO2010151856A2 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8916929B2 (en) 2004-06-10 2014-12-23 Power Integrations, Inc. MOSFET having a JFET embedded as a body diode
US8928037B2 (en) * 2013-02-28 2015-01-06 Power Integrations, Inc. Heterostructure power transistor with AlSiN passivation layer
US8940620B2 (en) 2011-12-15 2015-01-27 Power Integrations, Inc. Composite wafer for fabrication of semiconductor devices
US9343541B2 (en) 2011-12-01 2016-05-17 Power Integrations, Inc. Method of fabricating GaN high voltage HFET with passivation plus gate dielectric multilayer structure
WO2016099755A1 (en) * 2014-12-15 2016-06-23 Applied Materials, Inc. Ultra-thin dielectric diffusion barrier and etch stop layer for advanced interconnect applications
DE102017103879A1 (en) * 2016-03-01 2017-09-07 Infineon Technologies Americas Corp. Semiconductor component with aluminum silicon nitride layers
WO2018218217A3 (en) * 2017-05-26 2020-01-16 University Of North Texas Mechanistic investigation and prevention of al bond pad corrosion in cu wire-bonded device assembly
CN110890376A (en) * 2018-09-11 2020-03-17 长鑫存储技术有限公司 Method for manufacturing semiconductor device

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
GB201203161D0 (en) 2012-02-23 2012-04-11 Epigan Nv A device comprising a III-N layer stack with improved passivation layer and associated manufacturing method
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
EP3347504A1 (en) 2015-09-11 2018-07-18 Air Products and Chemicals, Inc. Methods for depositing a conformal metal or metalloid silicon nitride film and resultant films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
KR102153564B1 (en) * 2015-10-06 2020-09-08 버슘머트리얼즈 유에스, 엘엘씨 Method of depositing conformal metal or metalloid silicon nitride film
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR20200118504A (en) 2018-03-02 2020-10-15 램 리써치 코포레이션 Selective deposition using hydrolysis
KR102288163B1 (en) 2019-03-08 2021-08-11 (주)디엔에프 A encapsulation layer of silicon-metal oxide containing a metal or a metal oxide in a thin-film and the method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020020341A1 (en) * 2000-08-04 2002-02-21 The Regents Of The University Of California Method of controlling stress in gallium nitride films deposited on substrates
US20050199945A1 (en) * 2004-03-09 2005-09-15 Nec Electronics Corporation Nonvolatile memory and nonvolatile memory manufacturing method
US20060014355A1 (en) * 2003-05-29 2006-01-19 Park Jae-Hwa Semiconductor device and method of manufacturing the same
US20090263975A1 (en) * 2008-04-18 2009-10-22 Tokyo Electron Limited Film formation method and apparatus for forming silicon-containing insulating film doped with metal

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59168677A (en) 1983-03-14 1984-09-22 Fujitsu Ltd Manufacture of semiconductor device
JPS6271042A (en) 1985-09-24 1987-04-01 Sharp Corp Optical memory element
DE3802998A1 (en) 1988-02-02 1989-08-10 Basf Ag METHOD FOR PRODUCING A THIN ROENGENAMORPHEN ALUMINUM NITRIDE OR ALUMINUM SILICON NITRIDE LAYER ON A SURFACE
TW474024B (en) 1999-08-16 2002-01-21 Cornell Res Foundation Inc Passivation of GaN based FETs
US6365927B1 (en) 2000-04-03 2002-04-02 Symetrix Corporation Ferroelectric integrated circuit having hydrogen barrier layer
US7230284B2 (en) 2001-07-24 2007-06-12 Cree, Inc. Insulating gate AlGaN/GaN HEMT
TWI258798B (en) 2003-12-05 2006-07-21 Int Rectifier Corp III-nitride device passivation and method
KR100621765B1 (en) 2004-01-20 2006-09-08 삼성전자주식회사 Method for forming thin film in semiconductor device and apparatus thereof
US7550783B2 (en) 2004-05-11 2009-06-23 Cree, Inc. Wide bandgap HEMTs with source connected field plates
US20050287747A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US7371649B2 (en) 2005-09-13 2008-05-13 United Microelectronics Corp. Method of forming carbon-containing silicon nitride layer
WO2007067589A2 (en) 2005-12-05 2007-06-14 Massachusetts Institute Of Technology Insulated gate devices and method of making same
US7338826B2 (en) 2005-12-09 2008-03-04 The United States Of America As Represented By The Secretary Of The Navy Silicon nitride passivation with ammonia plasma pretreatment for improving reliability of AlGaN/GaN HEMTs
US7419892B2 (en) * 2005-12-13 2008-09-02 Cree, Inc. Semiconductor devices including implanted regions and protective layers and methods of forming the same
US7595270B2 (en) 2007-01-26 2009-09-29 Asm America, Inc. Passivated stoichiometric metal nitride films
KR100849725B1 (en) 2007-06-28 2008-08-01 주식회사 하이닉스반도체 Method for fabricating isolation layer using rapid vapor deposition in semiconductor device
KR20090030651A (en) 2007-09-20 2009-03-25 서울옵토디바이스주식회사 A gallium nitride based light emitting device
US7632726B2 (en) 2007-12-07 2009-12-15 Northrop Grumman Space & Mission Systems Corp. Method for fabricating a nitride FET including passivation layers
WO2009076076A2 (en) 2007-12-10 2009-06-18 Transphorm Inc. Insulated gate e-mode transistors
US20100109098A1 (en) 2008-11-06 2010-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure including modified high-k gate dielectric and metal gate interface

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020020341A1 (en) * 2000-08-04 2002-02-21 The Regents Of The University Of California Method of controlling stress in gallium nitride films deposited on substrates
US20060014355A1 (en) * 2003-05-29 2006-01-19 Park Jae-Hwa Semiconductor device and method of manufacturing the same
US20050199945A1 (en) * 2004-03-09 2005-09-15 Nec Electronics Corporation Nonvolatile memory and nonvolatile memory manufacturing method
US20090263975A1 (en) * 2008-04-18 2009-10-22 Tokyo Electron Limited Film formation method and apparatus for forming silicon-containing insulating film doped with metal

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8916929B2 (en) 2004-06-10 2014-12-23 Power Integrations, Inc. MOSFET having a JFET embedded as a body diode
US9343541B2 (en) 2011-12-01 2016-05-17 Power Integrations, Inc. Method of fabricating GaN high voltage HFET with passivation plus gate dielectric multilayer structure
US8940620B2 (en) 2011-12-15 2015-01-27 Power Integrations, Inc. Composite wafer for fabrication of semiconductor devices
US8928037B2 (en) * 2013-02-28 2015-01-06 Power Integrations, Inc. Heterostructure power transistor with AlSiN passivation layer
US20150076510A1 (en) * 2013-02-28 2015-03-19 Power Integrations, Inc. Heterostructure Power Transistor with AlSiN Passivation Layer
US9761704B2 (en) * 2013-02-28 2017-09-12 Power Integrations, Inc. Heterostructure power transistor with AlSiN passivation layer
WO2016099755A1 (en) * 2014-12-15 2016-06-23 Applied Materials, Inc. Ultra-thin dielectric diffusion barrier and etch stop layer for advanced interconnect applications
US9613908B2 (en) 2014-12-15 2017-04-04 Applied Materials, Inc. Ultra-thin dielectric diffusion barrier and etch stop layer for advanced interconnect applications
DE102017103879A1 (en) * 2016-03-01 2017-09-07 Infineon Technologies Americas Corp. Semiconductor component with aluminum silicon nitride layers
DE102017103879B4 (en) * 2016-03-01 2018-10-04 Infineon Technologies Americas Corp. Semiconductor components with aluminum silicon nitride layers
WO2018218217A3 (en) * 2017-05-26 2020-01-16 University Of North Texas Mechanistic investigation and prevention of al bond pad corrosion in cu wire-bonded device assembly
CN110890376A (en) * 2018-09-11 2020-03-17 长鑫存储技术有限公司 Method for manufacturing semiconductor device

Also Published As

Publication number Publication date
WO2010151856A3 (en) 2011-03-31
US8791034B2 (en) 2014-07-29
WO2010151856A2 (en) 2010-12-29
CN102484070B (en) 2014-12-10
CN102484070A (en) 2012-05-30

Similar Documents

Publication Publication Date Title
US8791034B2 (en) Chemical vapor deposition process for aluminum silicon nitride
Yang et al. Characteristic study of silicon nitride films deposited by LPCVD and PECVD
Keister et al. Band offsets for ultrathin SiO 2 and Si 3 N 4 films on Si (111) and Si (100) from photoemission spectroscopy
Alevli et al. The influence of N2/H2 and ammonia N source materials on optical and structural properties of AlN films grown by plasma enhanced atomic layer deposition
Khatami et al. The influence of carbon on the structure and photoluminescence of amorphous silicon carbonitride thin films
Beshkov et al. IR and Raman absorption spectroscopic studies of APCVD, LPCVD and PECVD thin SiN films
Amirhoseiny et al. Characterizations of InN thin films grown on Si (110) substrate by reactive sputtering
Döscher et al. Investigation of oxide removal from Si (1 0 0) substrates in dependence of the MOVPE process gas ambient
Khatami et al. Annealing of silicon carbonitride nanostructured thin films: interdependency of hydrogen content, optical, and structural properties
Bodnar et al. Growth of ternary alloy Si1− x− y Ge x C y by rapid thermal chemical vapor deposition
US6037614A (en) Methods for manufacturing group IV element alloy semiconductor materials and devices that include such materials
Goldenberg et al. Optical characteristics of nanocrystalline AlxGa1− xN thin films deposited by hollow cathode plasma-assisted atomic layer deposition
Du et al. Development of SiGeSn technique towards mid-infrared devices in silicon photonics
Chan et al. Exploring metalorganic chemical vapor deposition of Si-alloyed Al2O3 dielectrics using disilane
Kim et al. FTIR study of fluorinated silicon oxide film
Banerjee From radical-enhanced to pure thermal ald of aluminium and gallium nitrides
JP2885547B2 (en) Method for producing silicon dioxide thin film
Abdelal et al. A comparative study of a: SiCN: H thin films fabricated with acetylene and methane
Matsumoto et al. Study of silicon‐hydrogen bonds at an amorphous silicon/silicon nitride interface using infrared attenuated total reflection spectroscopy
Siddique Diamond on GaN: Heterointerface and Thermal Transport Study
US20110045646A1 (en) Selective deposition of sige layers from single source of si-ge hydrides
Jayatissa et al. Microcrystalline structure of poly-Si films prepared by cathode-type rf glow discharge
Lee et al. Highly photoluminescent low-temperature SiNx films in situ-deposited via SLAN ECR PECVD
Carroll et al. Silicon nanocrystal growth in the long diffusion length regime using high density plasma chemical vapour deposited silicon rich oxides
Kozak et al. Effect of substrate temperature on the optoelectronic properties of Si-CN: H films

Legal Events

Date Code Title Description
AS Assignment

Owner name: CORNELL UNIVERSITY, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SHEALY, JAMES R.;BROWN, RICHARD;SIGNING DATES FROM 20120203 TO 20120224;REEL/FRAME:027805/0001

STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YR, SMALL ENTITY (ORIGINAL EVENT CODE: M2551)

Year of fee payment: 4

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: SMALL ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: SMALL ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20220729