KR102183336B1 - 민감성 기판 상에 막을 증착하는 방법 - Google Patents

민감성 기판 상에 막을 증착하는 방법 Download PDF

Info

Publication number
KR102183336B1
KR102183336B1 KR1020130135907A KR20130135907A KR102183336B1 KR 102183336 B1 KR102183336 B1 KR 102183336B1 KR 1020130135907 A KR1020130135907 A KR 1020130135907A KR 20130135907 A KR20130135907 A KR 20130135907A KR 102183336 B1 KR102183336 B1 KR 102183336B1
Authority
KR
South Korea
Prior art keywords
silicon
reactant
substrate
silicon nitride
silicon oxide
Prior art date
Application number
KR1020130135907A
Other languages
English (en)
Other versions
KR20140059751A (ko
Inventor
후 강
샹카르 스와미나탄
애드리언 라보이
존 헨리
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20140059751A publication Critical patent/KR20140059751A/ko
Application granted granted Critical
Publication of KR102183336B1 publication Critical patent/KR102183336B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

민감성 기판으로의 손상을 방지하며 민감성 기판 상에 막을 형성하는 방법 및 장치가 본 명세서에서 제공된다. 특정 실시예에서, 방법은 손상으로부터 하부의 기판을 보호는 동시에, 원하는 전기 특성을 얻는 이중 막을 형성하는 것을 수반한다. 또한 특정한 증착 프로세스로부터 야기되는 기판 손상량을 평가하기 위한 방법 및 보호층의 최소 두께를 결정하기 위한 벙법을 포함하는 기판을 평가하고 최적화하기 위한 방법 및 장치가 제공된다. 본 명세서에서 설명된 방법 및 장치는 실리콘, 코발트, 게르마늄-안티모니-텔루륨 (GST; germanium-antimony-tellerium), 실리콘-게르마늄, 실리콘 나이트라이드, 실리콘 카바이드, 텅스텐, 티타늄, 탄탈룸, 크롬, 니켈, 팔라듐, 루테늄, 또는 실리콘 산화 재료와 같은 다양한 민감성 물질 상에 막들을 증착하는데 사용될 수도 있다.

Description

민감성 기판 상에 막을 증착하는 방법{METHODS FOR DEPOSITING FILMS ON SENSITIVE SUBSTRATES}
반도체 디바이스의 제조 중 빈번히 채용되는 프로세스 중 하나는 기판 상에 산화물 및 질화물과 같은 다양한 막의 증착이다. 다양한 경우에서, 기판은 증착 동안 기판을 손상시킬 수 있는 산화 또는 질화에 특히 민감하다. 이 민감성은 다른 부분에서도 문제가 될 수도 있지만 갭필 (gapfill) 및 더블-패터닝 (double-patterning) 과 같은 응용에서 특히 높아질 수 있다. 민감성 기판의 예는 실리콘 (Si), 코발트 (Co), 게르마늄-안티모니-텔루륨 (GST; germanium-antimony-tellerium) 합금, 실리콘-게르마늄 (SiGe), 실리콘 나이트라이드 (SiN), 실리콘 카바이드 (SiC) 및 실리콘 옥사이드 (SiO2)를 포함한다. 이와 같이, 민감성 기판에 대한 손상을 방지하는 반도체 막 증착 방법에 대한 필요가 존재한다. 다양한 실시예에서, 본 방법은 산화-민감성 기판의 산화, 및/또는 질화-민감성 기판의 질화를 방지한다.
본 명세서에서 개시된 다양한 양태는 산화-민감성 기판 표면의 노출된 표면 상에 막을 증착하는 방법과 관련된다. 이 방법들은 통상적으로 막이 다중의 싸이클의 반응물 흡착 및 반응 동안 성막되는 표면 조정된 반응을 포함한다. 이러한 하나의 양태에서, 본 방법은 아래의 동작에 의해 특징지어진다: (a) 산화-민감성 기판을 증기 상태의 실리콘-함유 반응물에 노출시키는 단계; (b) 반응 챔버의 스테이션에서 산화-민감성 기판을 증기 상태의 산화 반응물에 노출시키는 단계; 및 (c) 실리콘-함유 반응물이 중단된 경우, 약 12.5 내지 약 125 W/스테이션 사이의 고주파수의 라디오 주파수 (radio frequency) 를 사용하여 반응 챔버 내에서 플라즈마를 주기적으로 점화시키는 단계. 본 방법의 다수의 구현에서, 산화 반응물은 기판에 계속적으로 흐르며, 실리콘-함유 반응물은 기판에 간헐적으로 흐른다. 다른 구현들에서, 산화 반응물은 반응 챔버로 퍼싱 (pursed) 된다.
다수의 구현들에서, 발생되는 실리콘 산화물의 두께는 약 10 내지 약 50 Å 사이이다. 다수의 실시예에서, 기판은 약 25℃ 내지 약 450℃ 사이에서 유지되며, 다수의 경우에서 약 25-100℃ 사이, 약 50-150℃ 사이, 또는 약 50-200℃ 사이에서 유지된다. 산화 반응물은 산소 (O2), 및 나이트러스 옥사이드 (N2O), 카본 모녹사이드 (CO), 카본 디옥사이드 (CO2), 나이트릭 옥사이드 (NO), 나이트로젠 디옥사이드 (NO2), 설퍼 옥사이드 (SO), 설퍼 디옥사이드 (SO2), 산소-함유 탄화수소 (CxHyOz), 및/또는 물 (H2O) 과 같은 약 산화제의 혼합물일 수도 있다. 다수의 구현들에서, 산화 반응물은 전부 약 산화제일 수도 있다. 또는, 산화 반응물은 오존 (O3)을 포함할 수도 있다. 다수의 실시예에서, 산화 반응물은 약 0-50% O2 및 약 50-100% 약 산화제이다. 라디오 주파수는 다수의 구션들에서 50 내지 125 W/스테이션 사이로 더 제한될 수도 있다.
다수의 실시예에서, 전술된 방법은 이하의 동작 시퀀스를 통한 제2 실리콘 산화 재료 (material) 의 증착에 의해 특징지어진다: (d) 산화-민감성 기판을 증기 상태의 제2 실리콘-함유 반응물에 노출시키는 단계; (e) 산화-민감성 기판을 증기 상태의 산화 반응물에 노출시키는 단계; 및 (f) 제2 실리콘-함유 반응물의 증기 상태 흐름이 중단된 경우, 약 250 내지 1500 W/스테이션 사이의 고주파수 라디오 주파수를 사용하여 반응 챔버내에서 플라즈마를 주기적으로 점화시키는 단계. 다수의 구현들에서 동작 (d)-(f) 는 약 50 내지 400℃ 사이에서 수행되며, 다수의 경우에서 이 동작들은 150-250℃ 또는 300-400℃ 로 제한된다. 동작 (a)-(f) 가 다수의 실시예에서 등온적으로 수행될 수도 있으며, 다른 실시예에서 동작 (a)-(c) 와 동작 (d)-(f) 는 상이한 온도에서 수행된다.
다수의 구현들에서 제1 실리콘 산화 재료 및 제2 실리콘 산화 재료 각각은 이중층의 층들을 형성한다. 제1 실리콘 산화 재료은 보호층으로 지칭될 수도 있으며, 제2 실리콘 산화 재료은 전기적으로 바람직한 층으로 지칭될 수도 있다. 하나의 실시예에서, 보호층의 두께는 이중층의 전체 두께의 약 1 내지 약 20%이다.
일 실시예에서, 동작 (a)-(c) 및 동작 (d)-(f) 는 실리콘-함유 반응물의 증기 상태 흐름의 동일한 속도 및/또는 조성을 이용한다. 다른 실시예에서, 실리콘-함유 반응물의 증기 상태 흐름의 속도 및/또는 조성은 동작의 두 세트 사이에서 상이하게 될 것이다. 특히, 일 구현에서, 동작 (d)-(f) 동안 실리콘-함유 반응물의 증기 상태 흐름은 동작 (a)-(c) 동안의 흐름과 비교하여 보다 높은 O2 퍼센트를 함유한다. 다수의 실시예에서 산화 반응물의 증기 상태 흐름이 계속적이어도, 다른 실시예에서 이 흐름은 반응 챔버로 퍼싱된다.
많은 특정하게 개시된 동작들이 실리콘-기반의 산화-민감성 기판과 관련하나, 본 방법들은 많은 상이한 종류의 산화 민감성 기판 표면 상에서 사용될 수도 있다. (예를 들어, 플라즈마-향상된 화학 기상 증착 또는 스핀온 기술을 통해 증착된 막을 포함하는) 4-11 족 메탈, 실리콘, 비정질 실리콘, 카본 막 및 III-V 족 물질은 민감성 기판일 수 있다. 코발트 (Co), 게르마늄-안티모니-텔루륨 (GST; germanium-antimony-tellerium), 실리콘-게르마늄, 실리콘 나이트라이드, 실리콘 카바이드, 텅스텐 (W), 티타늄 (Ti), 탄탈룸 (Ta), 크롬 (Cr), 니켈 (Ni), 팔라듐 (Pd), 루테늄 (Ru), 또는 실리콘 산화물일 수도 있다. 당업자라면 본 명세서에서 설명된 방법들이 위에 언급된 것들에 제한되지 않고 다양한 산화-민감성 기판들에 사용될 수 있다는 점을 이해할 것이다.
다수의 구현들에서 산화-민감성 기판의 2 옹스트롬 이하가 산화된다.
또 다른 양태에서, 산화-민감성 기판의 노출된 표면 상에 실리콘 산화 재료를 형성하는 방법은 이하의 동작 시퀀스들에 의해 특징지어질 수도 있다: (a) 산화-민감성 기판을 증기 상태의 실리콘-함유 반응물에 노출시키는 단계; (b) 산화-민감성 기판을 약 25-200℃ 사이에서 유지된 반응 챔버의 스테이션에서 증기 상태의 산화 반응물에 노출시키는 단계; 및 (c) 실리콘-함유 반응물의 증기 상태 흐름이 중단된 경우 반응 챔버에서 플라즈마를 점화시키는 단계.
다수의 실시예에서, 발생되는 실리콘 산화 재료는 약 10 내지 약 50 Å 사이이다. 다양한 구현들에 따르면, 플라즈마는 약 12.5 내지 약 125 W/스테이션 사이의 고 주파수 라디오 주파수를 사용하여 점화되며, 다수의 구현들에서 이 범위는 약 50 내지 약 125 W/스테이션 사이로 제한된다.
산화 반응물은 O2 및 N2O, CO, CO2, NO, NO2, N2O, SO, SO2, CXHYOZ 및/또는 H2O 와 같은 약 산화제의 혼합물일 수도 있다. 다른 구현들에서 산화제 반응물은 전부 약 산화제일 수도 있다. 또는, 산화 반응물은 O3을 포함할 수도 있다. 다수의 실시예에서, 산화 반응물은 약 0-50%의 O2 및 약 50-100%의 약 산화제이다.
다수의 실시예에서, 전술된 방법은 이하의 동작 시퀀스를 통한 제2 실리콘 산화 재료의 증착에 의해 더 특징지어진다: (d) 산화-민감성 기판을, 동작 (a)-(c) 동안 보다 적어도 약 50℃ 더 높은 온도에서 유지된 반응 챔버의 스테이션에서 증기 상태의 제2 실리콘-함유 반응물에 노출시키는 단계; (e) 산화-민감성 기판을 제2 산화 반응물의 제2 증기 상태 흐름에 노출시키는 단계; 및 (f) 제2 실리콘-함유 반응물의 증기 상태 흐름이 중단된 경우, 고주파수 라디오 주파수를 이용하여 반응 챔버에서 플라즈마를 점화하는 단계.
다수의 실시예에서, 단계 (d)-(f) 는 약 300 내지 약 400℃ 사이에서 수행된다. 다수의 실시예에서, 제1 실리콘 산화 재료 및 제2 실리콘 산화 재료 각각은 이중층의 층들을 형성한다. 제1 실리콘 산화 재료는 보호층으로 지칭될 수도 있으며 제2 실리콘 산화 재료는 전기적으로 바람직한 층으로 지칭될 수도 있다. 일 실시예에서, 보호층의 두께는 이중층의 전체 두께의 약 1 내지 약 20%이다.
많은 특정하게 개시된 동작들이 실리콘-기반의 산화-민감성 기판과 관련되고, 본 발명들은 많은 상이한 종류의 산화 민감성 기판 표면들 상에 이용될 수도 있다. 예를 들어, 다수의 구현들에서 기판 표면은 코발트, 게르마늄-안티모니-텔루륨, 실리콘-게르마늄, 실리콘 나이트라이드, 실리콘 카바이드, 또는 실리콘 산화물일 수도 있다. 당업자는 본 명세서에서 설명된 발명들이 위에 언급된 것들에 제한되지 않고 다양한 산화-민감성 기판들 상에 사용될 수 있다는 점을 이해할 것이다.
일 구현에서, 산화-민감성 기판의 2 옹스트롬 이하가 산화된다. 다수의 실시예에서, 동작 (f) 는 약 250-1500W/스테이션 사이에서 RF 전력에서 수행된다.
또 다른 양태에서, 반응-민감성 기판 상에 실리콘-함유 이중층을 형성하는 방법은 이하의 동작들에 의해 특징지어질 수도 있다: (a) 플라즈마-향상된 원자층 증착 프로세스를 통해 실리콘-함유 층의 제1 층을 형성하는 단계; 및 (b) 동작 (a) 에서 사용된 전력보다 더 높은 라디오 주파수 전력을 사용하여 수행된 플라즈마-향상된 원자층 증착 프로세스에 의해 제1 층 상에 실리콘-함유 막의 제2 층을 형성하는 단계. 다른 양태에서, 반응-민감성 기판 상에 실리콘-함유 이중층을 형성하는 방법은 이하의 동작들에 의해 특징지어질 수도 있다: (a) 플라즈마-향상된 원자층 증착 프로세스를 통해 실리콘-함유 막의 제1 층을 형성하는 단계; 및 (b) 동작 (a) 동안 사용된 온도 보다 더 높은 온도를 사용하여 수행된 플라즈마-향상된 원자 층 증착 프로세스에 의해 제1 층 상에 실리콘-함유 막의 제2 층을 형성하는 단계. 위에 설명된 바와 같이, 제1 층은 보호층으로 지칭될 수도 있으며, 제2 층은 전기적으로 바람직한 층으로 지칭될 수도 있다.
이 방법들 중 하나의 실시예에서 보호층의 두께는 이중층의 전체 두께의 약 1 내지 약 20%이다. 일 구현에서, 보호층의 두께는 약 10 내지 약 50Å 사이이다.
산화 반응물은 O2 및 N2O, CO, CO2, NO, NO2, N2O, SO, SO2, CXHYOZ 및/또는 H2O 와 같은 약 산화제의 혼합물일 수도 있다. 다른 구현들에서 산화제 반응물은 전부 약 산화제일 수도 있다. 또는, 산화 반응물은 O3을 포함할 수도 있다. 다수의 실시예에서, 산화 반응물은 약 0-50%의 O2 및 약 50-100%의 약 산화제이다.
많은 특정하게 개시된 동작들이 실리콘-기반 산화-민감성 기판에 관한 것이나, 방법들은 많은 다른 종류의 산화 민감성 기판 표면 상에 사용될 수도 있다. 예를 들어, 다수의 구현들에서 기판 표면은 코발트, 게르마늄-안티모니-텔루륨, 실리콘-게르마늄, 실리콘 나이트라이드, 실리콘 카바이드, 또는 실리콘 산화물일 수도 있다. 당업자는 본 명세서에서 설명된 발명들이 위에 언급된 것들에 제한되지 않고 다양한 산화-민감성 기판들 상에 사용될 수 있다는 점을 이해할 것이다. 다수의 실시예에서, 실리콘-함유 막은 실리콘 산화물, 실리콘 질화물, 실리콘 카다이드, 실리콘 옥시나이트라이드, 또는 실리콘 카바이드 막이다.
이들 및 다른 특징들이 연관된 도면을 지칭하여 이하에서 설명될 것이다.
도 1a-1e는 특정하게 개시된 실시예에 따라 막을 증착하기 위한 예시적인 타이밍 도표를 제공한다.
도 2는 기판에의 산화 손상을 도시하는 실험 데이터를 나타낸다.
도 3a는 막 두께 대 라디오 주파수 (RF; radio frequency) 흐름의 상이한 레벨들에서 증착된 막에 대한 증착 싸이클의 수를 나타낸다.
도 3b는 증착 동안 전달된 O2 :약 산화제의 상이한 비율과 RF 흐름의 상이한 레벨들에서 기판 산화의 양을 나타낸다.
도 4는 붕괴 (breakdown) 전압 대 보호층의 상대적 두께와 연관된 데이터를 나타낸다.
도 5는 보호층의 최소 두께를 결정하는데 사용될 수도 있는 막 치밀화와 연관된 데이터를 나타낸다.
도 6은 특정하게 개시된 실시예에 따른 원자층 증착을 수행하기 위한 반응 챔버를 도시한다.
도 7은 특정하게 개시된 실시예에 따른 막을 증착하는데 사용될 수도 있는 멀티-툴 장치를 도시한다.
본 출원은, 전체로서 그리고 모든 목적을 위해 참조로서 본 명세서에 통합되는, 2012년 11월 8일자로 출원되고, "METHODS FOR DEPOSITING FILMS ON SENSITIVE SUBSTRATES"로 명명된 기존 미국 특허 가출원 번호 61/724,217의 우선권을 주장한다.
본 출원에서, 용어 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판" 및 "일부 제작된 집적 회로"가 교체가능하도록 사용된다. 당업자는 용어 "일부 제작된 집적 회로" 가 본 명세서에서의 집적 회로 제작의 많은 스테이지 중 어느 하나 동안 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업에서 사용된 웨이퍼 또는 기판은 통상적으로 200 mm 또는 300 mm 또는 450 mm의 지름을 갖는다. 이하의 상세한 설명은 본 발명이 웨이퍼에 구현되는 것을 가정한다. 그러나, 본 발명은 그와 같이 제한되지 않는다. 워크피스는 다양한 형상, 사이즈 및 물질로 될 수도 있다. 반도체 웨이퍼에 더하여, 본 발명에 이용될 수도 있는 다른 워크 피스는 인쇄 회로 기판 등과 같은 다양한 물품을 포함한다.
이하의 설명에서, 다수의 특정한 사항들이 제시된 실시예의 이해를 통해 제공되도록 설명된다. 개시된 실시예는 이 특정한 사항들의 일부 또는 모두 없이 실시될 수도 있다. 다른 예시들에서, 잘 알려진 프로세스 동작들은 개시된 실시예를 불필요하게 모호하게 하지 않도록 자세하게 설명되지 않는다. 개시된 실시예가 특정 실시예와 연결되어 설명되는 동안, 개시된 실시예로 제한되도록 의도된 것이 아니라는 것이 이해될 것이다.
본 명세서에서 개시된 다양한 양태는 기판 표면 상에 막을 증착하는 방법에 적용된다. 이러한 방법은 반응물 흡수 및 반응의 복수 싸이클을 통해 막이 성막되는 플라즈마-활성화된 표면-매개된 반응을 포함한다. 다양한 구현들에서, 방법은, 하나 이상의 반응물이 플라즈마와 상호작용함으로써 기판 표면에 흡착되고, 그 후 표면 상에 막을 형성하도록 반응하는 컨포멀 막 증착 (CFD; conformal film deposition) 반응을 포함하는 CFD 반응이다.
통상적인 산화물층 증착 방법은 민감한 기판에 산화 손상을 야기할 수 있다. 이 손상은 제한되지 않고 갭필 및 더블-패터닝을 포함하는 특정 응용에서 문제가 있다.
본 명세서에서는 증착되는 기판의 산화를 방지하는 CFD프로세스와 다른 증착 프로세스가 제공된다. 또한, 하부의 기판의 질화 또는 하부의 기판과의 다른 반응을 방지하는 CFD 프로세스 및 다른 증착 프로세스가 제공된다. 일반적으로, 민감성 기판과의 원치않는 반응과 민감성 기판의 부분이 다른 물질로 변형되는 것을 감소시키거나 제거하도록 사용될 수도 있다. 이 프로세스들은 실리콘 (Si), 코발트 (Co), 게르마늄-안티모니-텔루륨 (GST; germanium-antimony-tellerium) 합금, 실리콘-게르마늄 (SiGe), 실리콘 나이트라이드 (SiN), 실리콘 카바이드 (SiC), 및 실리콘 옥사이드 (SiO2) 와 같은 민감한 기판과 SiO2, SiN, SiCN, SiC, 순금속, 및 란탄계 옥사이드, 4족 금속 옥사이드 및 5족 금속 옥사이드를 포함하는 높은 K 물질과 같은 막 종류에 특히 유용하다.
특정 실시예에서, 기판에 대한 손상은 상대적으로 낮은 온도, 및/또는 상대적으로 낮은 압력, 및/또는 상대적으로 낮은 레벨의 RF 전력/흐름에서 증착될 수도 있는 얇은 보호층의 증착을 통해 방지된다. 다양한 경우에서, 보호층은, 하부층이 보호층이고 상부층이 전기적으로 바람직한 층인 이중층에 포함된다. 전기적으로 바람직한 층과 비교하여, 보호층은 더 낮은 온도, 및/또는 더 낮은 RF 전력, 및/또는 더 짧은 RF 시간, 및/또는 더 낮은 압력에서, 및/또는 상이한 반응물과 함께 증착될 수도 있다. 이러한 증착 조건은 동작가능한 디바이스를 생산하는 동안 하부의 기판에 대한 손상을 방지하는데 도움을 줄 수도 있다.
나아가, 본 명세서에서 제공된 다양한 실시예들은 테스트 수단으로 일반적인 실리콘 기판을 사용하여 기판 산화를 평가하는 방법에 대한 것이며, 따라서, 디바이스 기판 상의 산화를 테스트하는 복잡함을 피할 수 있다.
또한, 본 명세서에서 제공된 다양한 실시예는, 벌크 막 전기적 속성에 최소의 충격을 갖는 동안, 두께가 기판 산화에 대한 적합한 방어를 제공할 수 있는, 이중층 접근법에서의 하부 보호층의 최소 두께를 결정하기 위한 방법과 관련한다.
본 명세서에서 전체로서 참조로 포함되는, 2011년 4월 20일에 출원되고, "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"으로 명명된 U.S. 특허 출원 번호 13/084,399는 기판이 반응물 A 및 B에 노출된 플라즈마-활성화된 CFD 프로세스의 다양한 실시예에 대한 타이밍 도표를 포함하는 CFD 반응을 설명한다. 본 명세서에서 설명된 방법들은 이러한 CFD 프로세스를 포함한다. 이하의 설명은, 산소, 아산화질소 또는 그 혼합물과 같은 산화 반응물로 알려진 산화제 반응물과 비스(3차 부틸아미노)실란 (BTBAS) 와 같은 실리콘-함유 반응물로부터의 실리콘 옥사이드 막의 증착을 주로 참조하지만, 본 명세서에 기재된 방법들은 또한 제한되지 않으나 실리콘 나이트라이드를 포함하는 다른 종류의 막의 플라즈마-활성화된 증착에 사용될 수도 있다. 실리콘 나이트라이드와 다른 종류의 막의 증착을 위한 반응물 및 프로세스 흐름은 13/084,399 출원 및 본 명세서에 전체로서 참조로 포함되는 2011년 4월 11일에 출원되고, "SILICON NITRIDE FILMS AND METHODS"로 명명된 U.S 특허 출원 번호 13/084,305에서 설명된다.
통상적으로 반도체 디바이스의 제조는 통합된 제조 프로세스에서 비-평탄한 기판 상에 하나 이상의 박막을 증착하는 것을 포함한다. 통합된 프로세스의 다수의 양태에서, 이러한 제조는 기판의 지형 (topography) 에 따르는 박막을 증착하는데 유용할 수 있다. 예를 들어 실리콘 나이트라이드 막은 이후의 이온 주입 프로세스로부터 가볍게-도핑된 소스 및 드레인 영역을 보호하기 위한 스페이서층으로 동작하도록 높혀진 게이트 스택 (stack) 의 맨 위에 증착될 수도 있다.
스페이서층 증착 프로세스에서, 화학 기상 증착 (CVD; chemical vapor deposition) 은 스페이서 구조를 형성하도록 이방성으로 에칭된 비-평탄 기판 상에 실리콘 나이트라이드 막을 형성하도록 사용될 수도 있다. 그러나 게이트 스택들 간 거리가 감소할수록, CVD 기상 반응의 질량 이동 한계가 "브레드-로핑(bread-loafing)" 증착 효과를 초래할 수 있다. 이러한 효과는 게이트 스택의 상부 표면에 두껍게 증착되고, 게이트 스택의 하부 모서리에 얇게 증착되는 것을 보인다. 덧붙여, 일부 다이 (die) 가, 상이한 소자 밀도의 영역을 가질 수 있기 때문에, 웨이퍼 표면을 횡단하는 질량 이동이, 다이 내 막 두께의 편차 및 웨이퍼 내 막 두께의 편차를 초래할 수 있다. 이러한 두께 편차는 일부 영역의 과도 식각 (over etch) 과 또 다른 영역의 식각 부족 (under etch) 을 야기할 수 있다. 이로 인해, 소자 성능 및/또는 다이 수율이 저하될 수 있다.
이러한 문제를 해결하기 위한 일부 방식은 원자층 증착 (ALD) 을 포함한다. 막을 증착하기 위해 열 활성화 기체 상태 반응이 이용되는 CVD 공정과 달리, ALD 공정은 층 단위로 막을 증착하기 위해 표면-매개 증착 반응 (surface-mediated deposition reaction) 을 이용한다. 하나의 예시적 ALD 공정에서, 복수의 표면 활성 사이트를 포함하는 기판 표면이 기체 상태로 분포된 제 1 막 전구체 (film precursor)(P1) 에 노출된다. P1의 일부 분자가 기판 표면 위에, P1의 화학흡착 종과 물리흡착 분자를 포함하는 응축상을 형성할 수 있다. 그 후, 기체 상태 및 물리흡착 P1를 제거하여 화학흡착 종만 남도록, 반응기가 배기된다. 그 후, 제 2 막 전구체(P2)가 반응기로 도입되어, P2의 일부 분자가 기판 표면에 흡착된다. 이 시점에서, 상기 반응기는 다시 배기될 수 있으며, 결합되지 않은 P2를 제거할 수 있다. 그 후, 기판으로 제공되는 열 에너지가 P1과 P2의 흡착된 분자들 간 표면 반응을 활성화시켜, 막 층을 형성할 수 있다. 마지막으로, 반응기가 배기되어, 반응 부산물을 제거하고, 아마도, 반응하지 않은 P1 및 P2도 제거하여, ALD 싸이클을 완료한다. 막 두께를 얻기 위해, 추가적인 ALD 싸이클이 포함될 수 있다.
전구체 투여 단계의 노출 시간과 전구체의 부착 계수 (sticking coefficient) 에 따라, 한 예에서, 각각의 ALD 싸이클이 0.5 내지 3 옹스트롬 두께의 막 층을 증착할 수 있다.
또한 컨포멀 막이 평판형 기판에 증착될 수 있다. 예를 들어, 리소그래피 패터닝 적용을 위한 반사방지 층이, 교대하는 막 유형을 포함하는 평판형 스택에서 형성될 수 있다. 이러한 반사방지 층은 약 100 내지 1000 옹스트롬 두께를 가질 수 있는데, 이는 ALD 공정을 CVD 공정에 비해 덜 매력적으로 만든다. 그러나 이러한 반사방지 층은, 많은 CVD 공정이 제공할 수 있는 것보다, 웨이퍼 내 두께 편차에 대해 더 낮은 허용오차를 가질 수 있다. 예를 들어, 600 옹스트롬 두께의 반사방지 층의 허용오차는 3 옹스트롬 이하의 두께 범위일 수 있다.
비-평판형 기판과 평판형 기판 상에 이뤄지는 플라즈마-활성화된 ALD 및 컨포멀 막 증착 (CFD) 을 위한 공정 및 기기를 제공하는 다양한 실시예가 제공된다. 이들 실시예들은 통상적으로 상대적으로 낮은 온도, 및/또는 상대적으로 낮은 압력, 및/또는 상대적으로 낮은 RF 전력 레벨/흐름(즉, 낮은 손상 조건)에서 수행된다. 다양한 경우에서, 하부 보호층이 낮은 손상 조건에서 형성되고, 상부의 전기적으로 바람직한 층이 상이한 조건에서 형성되도록 이중층 접근법이 사용된다.
전술한 바와 같이, 본 명세서에서 설명된 실시예들은 CFD 프로세스뿐만 아니라 ALD 프로세스를 포함할 수 있다. 일반적으로, CFD는 막을 형성하도록 반응 전에 하나 이상의 반응물의 완전한 퍼지에 의존하지 않는다. 예를 들어, 플라즈마 (또는 다른 활성화 에너지) 가 타격되는 (struck) 경우, 증기 상태의 하나 이상의 반응물이 존재할 수도 있다. 따라서, ALD 프로세스에서 기재된 하나 이상의 프로세스 단계는 예시적인 CFD 프로세스에서 단축되거나 제거될 수 도 있다. 나아가, 다수의 실시예에서, 증착 반응의 플라즈마 활성화는 열적으로-활성화되는 반응 보다 더 낮은 증착 온도를 발생시킬 수도 있으며, 잠재적으로 통합된 프로세스의 열적 버짓 (budget) 을 감소시킨다. 실시예들이 CFD를 포함하나, 본 명세서에 기재된 방법은 CFD에 제한되지 않는다. 다른 적합한 방법은 ALD를 포함한다.
이해를 위해, CFD의 간략한 기재가 제공된다. CFD "싸이클"의 개념은 본 명세서의 다양한 실시예의 설명과 관련이 있다. 일반적으로 싸이클은, 표면 증착 반응을 1회 수행할 때 요구되는 작업들의 최소 세트이다. 1회 싸이클 결과, 기판 표면 상에 적어도 부분 막 층이 생성된다. 통상적으로, CFD 싸이클은 각각의 반응물을 기판 표면으로 전달 및 흡착시키고, 그 후 이들 흡착된 반응물을 반응시켜 부분 막 층을 형성하는 데 필요한 단계들만 포함할 것이다. 물론, 싸이클은 특정 보조 단계, 가령, 반응물 또는 부산물을 스윕 (sweep) 하는 단계, 및/또는 증착된 상태 (as deposited) 의 부분 막을 처리하는 단계를 포함할 수 있다. 일반적으로, 싸이클은 작업들로 구성된 고유한 하나의 시퀀스를 포함한다. 예를 들면, 싸이클은, (ⅰ) 반응물 A를 전달/흡착하는 동작, (ⅱ) 반응물 B를 전달/흡착하는 동작, (ⅲ) 반응 챔버 밖으로 B를 스윕하는 동작, 및 (ⅳ) A와 B의 표면 반응을 일으켜, 표면에 부분 막 층을 형성하도록 플라즈마를 인가하는 동작을 포함할 수 있다.
본 명세서에서의 기재는 용어 "주요" 및 "보조" 반응물을 사용한다. 본 명세서에서 사용된 바와 같이, 주요 반응물은 상온에서 고체이고 CFD에 의해 형성된 막에 기여하는 엘리먼트를 포함한다. 이러한 엘리먼트의 예시는 메탈 (예컨대, 알루미늄, 티타늄 등), 반도체 (예컨대, 실리콘 및 게르마늄), 및 비-메탈 또는 메탈로이드 (예컨대, 붕소 (boron)) 이다. 본 명세서에서 사용된 바와 같이, 보조 반응물은 주요 반응물이 아닌 모든 반응물이다. 용어 공동-반응물이 때때로 보조 반응물을 지칭하도록 사용된다. 보조 반응물의 예시는 산소, 오존, 수소, 카본 모녹사이드, 나이트러스 옥사이드 (nitrous oxide), 암모니아, 알킬 아민, 및 그와 유사한 것을 포함한다.
본 명세서의 실시예는 상이한 다양한 프로세스 시퀀스를 사용할 수도 있다. 하나의 가능한 프로세스는 이하의 동작 시퀀스를 포함한다: (1) 연속적으로 보조 반응물을 흘림, (2) 실리콘-함유 또는 다른 주요 반응물의 투입을 제공, (3) 퍼지 (purge) 1, (4) RF 플라즈마에 기판을 노출, (5) 퍼지 2. 다른 선택적 프로세스는 이하의 동작 시퀀스를 포함한다: (1) 계속적으로 비활성 가스를 흘림, (2) 실리콘-함유 또는 다른 주요 반응물의 투입을 제공, (3) 퍼지 1, (4) 산화제 또는 다른 보조 반응물의 투입을 제공하는 동안 RF 플라즈마에 기판을 노출, (5) 퍼지 2. 다른 예시적인 프로세스 흐름이 도 1a-1e에 도시된다.
본 명세서에서 제공된 컴파운드, 유속, 및 투입 시간은 예시적이다. 임의의 적합한 실리콘-함유 반응물 및 산화제가 실리콘 옥사이드의 적층을 위해 사용될 수도 있다. 유사하게, 실리콘 나이트라이드의 증착을 위해, 임의의 적합한 실리콘-함유 반응물 및 질소-함유 반응물이 사용될 수도 있다. 나아가, 메탈 옥사이드 또는 메탈 나이트라이드의 적층을 위해, 임의의 적합한 메탈-함유 반응물 및 공동-반응물이 사용될 수도 있다. 본 명세서의 기법은 막 화학물의 넓은 다양성을 구현하는데 있어 이롭다. 제공된 범위 밖의 유속 및 시간은 특정 실시예에서 적합할 수도 있다. 예시적인 유속은 300 mm 웨이퍼를 위해 주어지며, 다른 크기의 웨이퍼에 대하여 대략적으로 조정될 수도 있다. 다른 프로세스 플로우가 또한 사용될 수도 있으며, 그 일부는 도 1a 및 도 1b에 도시된 타이밍도를 참조하여 이하에서 설명된다.
다수의 경우에서, (예컨대, 심지어 다른 반응물의 전달 동안 및/또는 플라즈마 노출 동안) 반응물 중 하나는 계속적으로 전달될 수도 있다. 계속적으로 흐르는 반응물은 커리어 가스 예컨대, 아르곤과 함께 반응 챔버로 전달될 수도 있다. 다수의 경우에서, 계속적으로 흐르는 반응물의 반응 챔버로의 전달은 전환 밸브/유입 밸브 토글링을 사용함으로써 제어된다. 가스 흐름 변화는 전환되거나 같이 흐르게 한다(co-flowed). 일 실시예에서, 계속적으로 흐르는 반응물은, 특정 기간에만 반응 챔버에 전달되도록 반응 챔버로부터 주기적으로 전환된다. 계속적으로 흐르는 가스는 적합한 밸브를 사용하여 출구/폐기장으로 전환될 수도 있다. 예를 들어, 산화 반응물은 계속적으로 흐를 수도 있으나, 오직 주기적으로만 반응 챔버에 전달될 수도 있다. 산화 반응물이 반응 챔버로 전달되지 않는 경우, 산화 반응물은 출구, 재활용 시스템 등으로 전환될 수도 있다.
계속적 흐름 실시예의 일 이점은 확립된 흐름이 일시적인 초기 설정 및 흐름을 온 (on) 및 오프 (off) 시키는 것과 연관된 흐름의 안정화에 의해 야기된 지연 및 흐름의 변형을 피할 수 있다는 점이다.
특정한 실시예로서, 옥사이드 층은 (때때로 "고체 컴포넌트" 전구체 또는, 본 실시예에서는 단순히 "반응물 B"로 지칭되는) 주요 반응물을 사용하는 콘포멀 층 증착 프로세스에 의해 증착될 수도 있다. 비스(3차 부틸아미노)실란 (bis(tertiarybutylamino)silane) (BTBAS) 은 이러한 하나의 주요 반응물이다. 본 실시예에서, 옥사이드 증착 프로세스는 별개의 (distinct) 노출 상태에서 주요 반응물의 전달 동안 시작부터 계속적으로 흐르는 산소 또는 나이트러스 옥사이드와 같은 산화제의 전달을 포함한다. 산화제는 또한 별개의 플라즈마 노출 상태 동안 지속적으로 흐른다. 도 1a에서 도시된 시퀀스를 예시적으로 참조한다.
다수의 특정한 실시예에서, 계속적으로 흐르는 반응물은 보조 반응물이다. 계속적으로 흐르는 반응물은 고정된 유속 또는 변동되나 제어되는 유속으로 제공된다. 후자의 경우에서, 예로서, 주요 반응물이 전달된 경우, 보조 반응물의 유속은 노출 상태 동안 떨어질 수도 있다. 예를 들어, 옥사이드 증착에서, 산화제 (예컨대, 산소 또는 나이트러스 옥사이드) 는 증착 시퀀스 전반동안 계속적으로 흐를수도 있으나, 그 유속은 주요 반응물 (예컨대, BTBAS) 이 전달되는 경우 떨어질 수도 있다. 이는 BTBAS의 투입동안 BTBAS의 일부 압력을 높이므로, 기판 표면을 포화시키기 위해 필요한 노출 시간이 줄어들 수 있다. 플라즈마를 점화 (igniting) 시키기 직전에, 산화제의 흐름은, BTBAS가 플라즈마 노출 상태 동안 존재할 가능성을 줄이도록, 증가될 수도 있다. 다수의 실시예에서, 계속적으로 흐르는 반응물은 2 이상의 증착 싸이클 동안 변화된 유속으로 흐른다. 예를 들어, 반응물은 제1 CFD 싸이클 동안 제1 유속으로, 제2 CFD 싸이클 동안은 제2 유속으로 흐를수도 있다. 다양한 실시예에서, 제1 반응 싸이클 세트는 제1 막을 증착하도록 특정 증착 조건 하에서 수행되며, 제2 반응 싸이클 세트는 그 후 제1 막 상에 제2 막을 증착시키도록 상이한 조건 하에서 수행된다. 두 개의 막은 상이한 특성; 예를 들어, 제2 막이 바람직한 실시를 위해 보다 전기적으로 바람직한 특성을 가지는 것과 같은 특성을 가질 수도 있다.
복수의 보조 반응물이 사용된 경우, 보조 반응물들은 반응 챔버로 전달되기 전에 혼합되거나 별도의 스트림으로 전달될 수 있다. 다수의 실시예에서, 보조 반응물은 퍼지 동작을 위한 버스트 (burst) 에서 전달된 비활성 가스 흐름과 함께 계속적으로 전달된다. 다수의 실시예에서, 비활성 가스 흐름은 비활성 가스 유속이 퍼지 동작을 위해 증가되거나 증가되지 않더라도 계속될 수 있다. 선택적인 퍼지는 플라즈마가 소멸된 후에 발생할 수 있다.
CFD "스윕" 또는 "퍼지" 단계 또는 상태의 컨셉은 본 명세서의 다양한 실시예에 대한 기재에 나타난다. 일반적으로, 스윕 상태는 반응 챔버로부터 증기 상태 반응물 중 하나를 제거하거나 퍼지 (purge) 하며, 통상적으로 이러한 반응물의 전달이 완료된 후에 발생한다. 다시 말하면, 그 반응물은 스윕 상태 동안은 반응 챔버에 더이상 전달되지 않는다. 그러나, 반응물은 스윕 상태 동안 기판 표면 상에 흡착된체로 남는다. 통상적으로, 스윕은, 반응물이 원하는 레벨로 기판 표면 상에 흡착된 후에 챔버에서 어떠한 잔류 증기 상태 반응물을 제거하도록 한다. 스윕 상태는 또한 기판 표면에서 약 흡착 종들 (예컨대 특정 전구체 리간드 또는 반응 부산물)을 제거할 수도 있다. ALD에서, 스윕 상태는 두 반응물의 가스 상의 상호작용 또는 열적, 플라즈마적 또는 표면 반응을 위한 다른 구동하는 힘을 갖는 하나의 반응물의 상호작용을 막는데 요청되는 것으로 여겨졌다. 일반적으로, 그리고 본 명세서에서 상이하게 기술되지 않은 이상, 스윕/퍼지 상태는 (i) 반응 챔버를 배기시키는 (evacuate) 단계, 및/또는 (ii) 스윕되지 않을 종을 포함하지 않는 가스를 반응 챔버를 통해 흘리는 단계에 의해 달성될 수도 있다. (ii)의 경우에서, 이러한 가스는 예컨대, 비활성 가스 또는 계속적으로 흐르는 보조 반응물과 같은 보조 반응물일 수도 있다.
상이한 실시예는 상이한 시간에 스윕 상태를 구현할 수도 있다. 예컨대, 특정한 경우에서, 스윕 단계는 이하의 시간 중 임의의 시간에서 발생할 수도 있다: (1) 주요 반응물의 전달 이후, (2) 주요 반응물을 전달하는 펄스들 (pulses) 사이에서, (3) 보조 반응물의 전달 이후, (4) 플라즈마 노출 이전, (5) 플라즈마 노출 이후, 및 (6) (1)-(5)의 임의의 조합. 이 시간 프레임의 일부는 겹칠수도 있다. 주요 반응물의 전달 이후에 수행된 제1 스윕, 및 플라즈마 여기 이후 수행된 제2 스윕이 균일한 막을 증착시키는데 특히 유용하다는 점이 보여져왔다.
다수의 다른 증착 프로세스, 특히 열적 활성화를 요하는 프로세스와는 상이하게, CFD 프로세스는 상대적으로 저온에서 수행될 수도 있다. 일반적으로, CFD 온도는 대략 20 내지 400 ℃ 사이일 것이다. 이러한 온도는, 포토리지스트 코어 상의 증착과 같이 온도에 민감한 프로세스에서 증착을 허용하도록 선택될 수도 있다. 특정한 실시예에서, 대략 20 내지 100 ℃ 사이의 온도는 (예컨대 포토리지스트 코어를 사용하는) 더블 패터닝 어플리케이션을 위해 사용된다. 다른 실시예에서, 대략 200 내지 350 ℃ 사이의 온도는 메모리 제조 공정을 위해 채용된다. 다수의 구현에서, 제1 반응 싸이클 세트는 제1 온도에서 수행되고, 제2 반응 싸이클 세트는 제1 온도 보다 높은 제2 온도에서 수행된다.
위에서 제안된 것과 같이, CFD는 고급 기술 노드 (node) 에 있어서의 필름 증착에 있어 적합하다. 따라서, 예를 들어, CFD 프로세싱은 32 nm 노드, 22 nm 노드, 16 nm 노드, 11 nm 노드 및 이 모든 것을 넘는 노드에서의 프로세스 내에 통합될 수도 있다. 이 노드는 수년 동안 지속된 마이크로일렉트로닉스 기술 요건의 산업 합의인, ITRS (International Technology Roadmap for Semiconductors) 에서 설명된다. 일반적으로 이들은 메모리 셀의 절반 피치를 참조한다. 특정한 실시예에서, CFD 프로세싱은 (20 - 29 nm 의 영역에서 디바이스 특징부를 갖는) "2X" 디바이스 및 그 이상에 적용된다.
본 명세서에 나타난 CFD 막의 대다수 실시예들이 실리콘 기초 마이크로일렉트로닉스 디바이스와 관련하지만, 막은 또한 다른 영역에서 응용될 수도 있다. GaAs 및 다른 III-V 반도체, 및 HgCdTE와 같은 II-VI 물질을 이용하는 마이크로전자 또는 옵토전자가 본 명세서에서 개시된 CFD 프로세스를 이용하여 이득을 볼 수도 있다. 광전지 디바이스와 같은 태양 에너지 분야, 일렉트로크로믹스 분야 및 다른 분야에서의 콘포멀 유전체막에 대한 응용이 가능하다.
CFD 막에 대한 다른 예시적인 어플리케이션은 제한되지 않으나 라인말미 인터커넥트 아이솔레이션 어플리케이션 (back-end-of-line interconnect isolation application) 을 위한 (예컨대, k는 다수의 비-제한 실시예에서 약, 3.0 이하인) 콘포멀 로우-k 막, 에치 스탑 (etch stop) 을 위한 콘포멀 실리콘 나이트라이드막, 스페이서층 어플리케이션, 콘포멀 반사방지층, 구리 점착 (adhesion) 및 베리어 층을 포함한다. BEOL 프로세싱을 위한 로우-k 유전체의 많은 상이한 구성은 CFD를 사용하여 제조될 수 있다. 실시예는 실리콘 옥사이드, 산소 도핑된 카바이드, 탄소 도핑된 옥사이드, 옥시나이트라이드 및 그와 유사한 것들을 포함한다.
도 1a는 플라즈마-활성화된 CFD 프로세스의 예시적인 실시예를 위한 타이밍도 (100) 를 개략적으로 도시한다. 두개의 완전한 CFD 싸이클이 도시된다. 나타난 것과 같이, 각각은, 반응물 A에 대한 노출 상태 (120), 바로 다음은 반응물 B에 대한 노출 상태 (140), 반응물 B의 스윕 상태 (160), 마지막으로 플라즈마 활성화 상태 (180) 를 포함한다. 플라즈마 활성화 상태 (180A 및 180B) 동안 제공된 플라즈마 에너지는 표면 흡착된 반응물 종 A 및 B 사이의 반응을 활성화시킨다. 도시된 실시예에서, 스윕 상태는 하나의 반응물 (반응물 A) 이 전달된 이후에는 수행되지 않는다. 사실, 이 반응물은 막 증착 프로세스 동안 계속적으로 흐른다. 따라서, 플라즈마는, 반응물 A가 기체 상태인 동안 발생된다. 도시된 실시예에서, 반응물 가스 A 및 B는 반응하지 않고 기체 상태에서 공존할 수도 있다. 따라서, ALD 프로세스에서 기재된 하나 이상의 프로세스 단계는 본 예시적인 CFD 프로세스에서 단축되거나 제거될 수도 있다. 예를 들어, A 노출 단계 (120A 및 120B) 이후의 스윕 단계는 제거될 수도 있다.
도 1a는 또한 다양한 CFD 프로세스 파라미터를 위한 예시적인 CFD 프로세스 상태의 시간 진행의 실시예를 나타낸다. 증착 싸이클의 임의의 적절한 수는 원하는 막 두께를 적층하도록 CFD 프로세스에 포함될 수도 있다는 점이 이해될 것이지만, 도 1a는 2개의 예시적인 증착 싸이클 (110A 및 110B) 를 도시한다. 예시적인 CFD 프로세스 파라미터는 제한되지는 않으나 비활성 및 반응 종에 대한 유속, 플라즈마 전력 및 주파수, 기판 온도, 및 프로세스 스테이션 압력을 포함한다.
통상적으로 CFD 싸이클은 반응물 각각에 대한 노출 상태를 포함한다. 이 "노출 상태" 동안, 반응물은 기판 표면 상에서 반응물의 흡착을 야기하도록 프로세스 챔버에 전달된다. 통상적으로, 노출 상태의 시작에서, 기판 표면은 어떠한 주목할 만한 양의 흡착된 반응물을 갖지 않는다. 도 1a에서, 반응물 A 노출 상태 (120A 및 B) 에서, 반응물 A는 기판의 노출된 표면을 포화시키도록 프로세스 스테이션에 제어된 유속으로 공급된다. 반응물 A는 예컨대, 주요 반응물 또는 보조 반응물인 임의의 적합한 증착 반응물일 수도 있다. CFD가 실리콘 디옥사이드 막을 생성하는 하나의 실시예에서, 반응물 A는 산소일 수도 있다.
도 1a에 도시된 실시예에서, 반응물 A는 증착 싸이클 (110A 및 110B) 전체에 걸쳐 계속적으로 흐른다. 필름 전구체 노출이 기체 반응을 방지하도록 분리된 통상적인 ALD 프로세스와는 달리, 반응물 A 및 B는 CFD 프로세스의 다수의 실시예의 기체 상태에서 섞이도록 허용된다. 전술된 바와 같이, 다수의 실시예에서, 반응물 A 및 B는, 표면 반응의 활성화 또는 플라즈마 에너지의 적용 전에 반응기 에서 마주하는 조건들 하에서 서로와 눈에 띄게 반응함 없이 기체 상태로 공존할 수 있도록, 선택된다. 다수의 경우에서, 반응물들은 (1) 그 사이에서의 반응이 열역학적으로 바람직하고 (즉, 깁스의 자유 에너지 < 0), (2) 반응이 플라즈마 여기 없이 원하는 증착 온도에서 미미한 반응만이 있게 충분히 높은 활성화 에너지를 갖도록, 선택된다.
반응물 A를 프로세스 스테이션으로 계속적으로 공급하는 것은, 반응물 A가 먼저 턴 온 (turn on) 되고, 다음으로 안정화되고, 기판에 노출되고, 그리고 턴 오프 (turn off)되고, 마지막으로 반응기에서 제거되는 ALD 프로세스와 비교하여 반응물 A의 유속 턴 온 및 안정화 시간을 줄이거나 제거할 수도 있다. 도 1a에 도시된 실시예가 반응물 A 노출 상태 (120A 및 B) 를 고정된 유속을 갖는 것으도 도시하며, 가변적인 흐름을 포함하는 반응물 A의 임의의 적합한 흐름이 본 개시의 범위 내에 채용될 수도 있다는 것이 이해될 것이다. 나아가, 도 1a가 전체 CFD 싸이클 (증착 싸이클 110A) 동안 고정된 유속을 갖는 반응물 A를 도시하나, 이는 필수적인 것은 아니다. 예를 들어, 반응물 A의 유속은 B 노출 상태 (140A 및 140B) 동안 감소할 수도 있다. 이는 B의 부분 압력을 증가시켜, 기판 표면 상에서 흡착하는 반응물 B의 구동하는 힘을 증가시킨다. 다른 경우에서, 반응물 A 및 B 각각은 약간씩 (in dose) 전달될 수도 있다 (즉, 어떤 반응물도 계속적으로 흐르지 않는다).
다수의 실시예에서, 반응물 A 노출 상태 (120A) 는 반응물 A에 대한 기판 표면 포화 시간을 넘는 기간을 가질 수도 있다. 예를 들어, 도 1a의 실시예는 반응물 A 노출 상태 (120A) 에서 반응물 A 후-포화 노출 시간 (130) 을 포함한다. 선택적으로, 반응물 A 노출 상태 (120A) 는 비활성 가스의 제어된 유속을 포함한다. 예시적인 비활성 가스는 제한되지 않으나 질소, 아르곤, 및 헬륨을 포함한다. 비활성 가스는, 프로세스 스테이션의 압력 및/또는 온도 제어, 액체 전구체의 증발, 전구체의 보다 빠른 전달 및/또는 프로세스 스테이션으로부터 프로세스 가스를 제거하는 것 및/또는 프로세스 스테이션 플럼빙 (plumbing) 을 위한 스윕 가스로 도움을 주도록 제공될 수도 있다.
도 1a에 도시된 실시예의 반응물 B 노출 상태 (140A) 에서, 반응물 B는 노출된 기판 표면을 포화시키도록 프로세스 스테이션에 제어된 유속으로 공급된다. 하나의 예시적인 실리콘 디옥사이드 막에서, 반응물 B는 BTBAS 일 수도 있다. 도 1a의 실시예가 반응물 B 노출 상태 (140A)를 고정된 유속을 갖는 것으로 도시하나, 가변적인 흐름을 포함하는 반응물 B의 임의의 적합한 흐름은 본 개시의 범위 내에 채용될 수도 있다는 것이 이해될 것이다. 나아가, 반응물 B 노출 상태 (140A) 는 임의의 적합한 기간을 갖는다는 점이 이해될 것이다. 다수의 실시예에서, 반응물 B 노출 상태 (140A) 는 반응물 B를 위한 기판 표면 포화 시간을 넘는 기간을 가질 수도 있다. 예를 들어, 도 1a에 도시된 실시예는 반응물 B 노출 상태 (140A) 에 포함된 반응물 B 후-포화 노출 시간 (150) 을 도시한다. 선택적으로, 반응물 B 노출 상태 (140A) 는, 전술한 바와 같이 프로세스 스테이션의 압력 및/또는 온도 제어, 액체 전구체의 증발, 전구체의 보다 빠른 전달을 도울 수도 있으며, 프로세스 스테이션 가스의 역-확산을 방지할 수도 있는, 적합한 비활성 가스의 제어된 흐름을 포함할 수도 있다.
도 1a에 도시된 CFD 프로세스 실시예는 플라즈마 활성화되나, 다른 비-열적 에너지 소스가 본 개시의 범위 내에서 사용될 수도 있다는 점이 이해될 것이다. 비-열적 에너지 소스의 비-제한적인 실시예는, 제한되지 않으나 자외선 램프, 다운스트림 (downstream), 또는 원격 플라즈마 소스, 용량-커플링된 플라즈마, 유도-커플링된 플라즈마, 및 마이크로파 표면 파동 플라즈마을 포함한다.
다수의 시나리오에서, 표면 흡착된 B 종은 기판 표면 상에서 비연속적인 섬으로 존재할 수도 있어 반응물 B의 표면 포화를 달성하는 것을 어렵게 한다. 다양한 표면 조건은 기판 표면 상에서 반응물 B의 포화 및 핵생성을 지연시킬 수도 있다. 예를 들어, 반응물 A 및/또는 B의 흡착에 의해 방출된 리간드는 다수의 표면 활성 사이트를 막을 수도 있어, 반응물 B의 더 이상의 흡착을 방지한다. 따라서, 다수의 실시예에서, 반응물 B의 계속되는 흡착층 (adlayer) 은 반응물 B 노출 상태 (140A) 동안 프로세스 스테이션으로 반응물 B를 분리하여 펄싱하는 것 및/또는 흐름을 조절하는 것에 의해 제공될 수도 있다. 고정된 흐름 시나리오와 비교하여 반응물 B를 아끼며, 이는 표면 흡착 및 탈착 프로세스를 위한 추가적인 시간을 제공할 수도 있다.
추가적으로 혹은 선택적으로, 다수의 실시예에서, 하나 이상의 스윕 상태는 반응물 B의 연속된 노출 사이에 포함될 수도 있다. 예를 들어, 도 1b의 실시예는 증착 싸이클 (210) 을 위한 예시적인 CFD 프로세스 타이밍 도 (200) 를 개략적으로 나타낸다. 반응물 B 노출 상태 (240A) 에서, 반응물 B는 기판 표면에 노출된다. 다음으로, 스윕 상태 (260A) 에서, 반응물 B가 턴 오프되며, 반응물 B의 기체 상태 종은 프로세스 스테이션으로부터 제거된다. 하나의 시나리오에서, 기체 상태 반응물 B는 반응물 A 및/또는 비활성 가스의 계속된 흐름에 의해 대체될 수도 있다. 다른 시나리오에서, 기체 상태 반응물 B는 프로세스 스테이션을 배기시키는 것 (evacuating) 에 의해 제거될 수도 있다. 기체 상태 반응물 B의 제거는 흡착/탈착 프로세스 균형을 시프팅시킬 수도 있어 리간드를 탈착시키고, 흡착된 B의 비연속적인 섬을 합치도록 흡착된 B의 표면 재배열을 촉진시킨다. 반응물 B 노출 상태 (240B) 에서, 반응물 B는 기판 표면에 다시 노출된다. 도 1b에 도시된 실시예가 반응물 B 스윕 및 노출 싸이클의 일 예시를 포함하나, 스윕 및 노출 싸이클을 교대하는 것의 임의의 반복 숫자는 본 개시의 범위 내에 채용될 수도 있다는 점이 이해될 것이다.
도 1a의 실시예로 돌아가면, 180A 에서 플라즈마에 의한 활성화 전에, 기체 반응물 B는 다수의 실시예에서 스윕 상태 (160A) 에서 프로세스 스테이션으로부터 제거될 수도 있다. CFD 싸이클은 전술된 노출 상태들에 더하여 하나 이상의 스윕 상태를 포함할 수도 있다. 프로세스 스테이션을 스윕하는 것은, 반응물 B가 플라즈마 활성화에 민감한 기체 반응을 피할 수도 있다. 나아가, 프로세스 스테이션을 스윕하는 것은 잔류하여 막을 오염시킬 수도 있는 표면 흡착된 리간드를 제거할 수도 있다. 예시적인 스윕 가스는 제한되지는 않으나 아르곤, 헬륨, 및 질소를 포함한다. 도 1a에 도시된 실시예에서, 스윕 상태 (160A) 를 위한 스윕 가스는 비활성 가스 스트림에 의해 공급된다. 다수의 실시형테에서 스윕 상태 (160A) 는 프로세스 스테이션을 진공화시키기 위한 하나 이상의 진공화 서브상태를 포함할 수도 있다. 선택적으로, 스윕 상태 (160A) 는 다수의 실시예에서 생략될 수도 있다.
스윕 상태 (160A) 는 임의의 적합한 기간을 가질 수도 있다. 다수의 실시예에서, 하나 이상의 스윕 가스의 유속을 증가시키는 것은 스윕 상태 (160A) 의 기간을 감소시킬 수도 있다. 예를 들어, 스윕 가스 유속은 프로세스 스테이션의 다양한 반응물 열역학적 특징 및/또는 기하학적 특징 및/또는 스윕 상태 (160A) 의 기간을 조절하기 위한 프로세스 스테이션 플럼빙에 따라 조절될 수도 있다. 하나의 비-제한적인 실시예에서, 스윕 상태의 기간은 스윕 가스의 유속의 조절에 의해 최적화될 수도 있다. 이는 기판 쓰루풋을 향상시킬 수도 있는 증착 싸이클 시간을 단축시킬 수도 있다.
CFD 싸이클은 통상적으로 "활성화 상태"를 전술한 노출 및 선택적 스윕 상태에 더하여 포함한다. 활성화 상태는 기판 표면 상에 흡착된 하나 이상의 반응물의 반응을 일어나도록 한다. 도 1a에 도시된 실시예의 플라즈마 활성화 상태 (180A) 에서, 플라즈마 에너지는 표면 흡착된 반응물 A 및 B 사이의 표면 반응을 활성화시키도록 제공된다. 예를 들어, 플라즈마는 반응물 A 라디칼 (radical) 을 형성하도록 반응물 A의 기체 분자를 직간접적으로 활성화할 수도 있다. 이 라디칼은 그 후 표면 흡착된 반응물 B와 상호작용할 수도 있어, 막-형성 표면 반응을 발생시킨다. 플라즈마 활성화 상태 (180A) 는, 증착 싸이클 (110A) 을 마무리하며, 도 1a의 실시예에서 반응물 A 노출 상태 (120B) 로 시작하는 증착 싸이클 (110B) 로 넘어간다.
다수의 실시예에서, 플라즈마 활성화 상태 (180A) 에서 점화된 플라즈마는 기판 상에 직접 형성될 수도 있다. 이는 반응물 A 및 B 사이의 향상된 표면 반응 속도 및 더 큰 플라즈마 밀도를 제공할 수도 있다. 예를 들어, CFD 프로세스를 위한 플라즈마는 두개의 용량 (capacitively) 커플링된 플레이트를 사용하여 저-압력 가스에 RF 필드를 가함으로써 생성될 수도 있다. 선택적인 실시예에서, 떨어지게 생성된 플라즈마는 메인 반응 챔버의 외부에서 생성될 수도 있다.
임의의 적합한 가스는 플라즈마를 형성하도록 사용될 수도 있다. 제1 실시예에서, 아르곤 또는 헬륨과 같은 비활성 가스는 플라즈마를 형성하도록 사용될 수도 있다. 제2 실시예에서, 산소 또는 암모니아와 같은 반응물 가스가 플라즈마를 형성하도록 사용될 수도 있다. 제3 실시예에서, 질소와 같은 스윕 가스가 플라즈마를 형성하도록 사용될 수도 있다. 물론 이러한 종류의 가스들의 조합이 채용될 수도 있다. RF 필드에 의한 플레이트 사이의 가스의 이온화는 플라즈마를 점화시키며, 플라즈마 방전 영역에서 자유 전자를 생성시킨다. 이 전자들은 RF 필드에 의해 활성화되며, 기체 반응물 분자들과 충돌할 수도 있다. 반응물 분자들과 이 전자들의 충돌은 증착 프로세스에 참여하는 라디칼 종들을 형성할 수도 있다. RF 필드는 임의의 적합한 전극을 통해 커플링될 수도 있다는 점이 이해될 것이다. 전극의 비-한정적인 실시예는 프로세스 가스 분배 샤워헤드 및 기판 지지 페데스탈 (pedestal) 을 포함한다. CFD 프로세스를 위한 플라즈마는 RF 필드와 가스의 용량 커플링 외에 하나 이상의 적합한 방식에 의해 형성될 수도 있다.
플라즈마 활성화 상태 (180A) 는 임의의 적합한 기간을 갖는다. 다수의 실시예에서 플라즈마 활성화 상태 (180A) 는, 모두 노출된 기판 표면 및 흡착질 (absorbate) 과 상호작용하도록 플라즈마-활성화된 라디칼에 대한 시간을 초과하는 기간을 가질 수도 있다. 예를 들어, 도 1a에 도시된 실시예는 플라즈마 활성화 상태 (180A) 에서 플라즈마 후-포화 노출 시간 (190) 을 포함한다.
일 시나리오에서, CFD 프로세스는 비-평탄한 기판 상에 콘포멀 실리콘 디옥사이드 막을 증착할 수도 있다. 예를 들어, CFD 실리콘 디옥사이드 막은, 얇은 트렌치 분리 (STI; shallow trench isolation) 구조의 트렌치 채움 (fill) 과 같은 구조의 갭 채움을 위해 사용될 수도 있다. 이하에 기재된 다양한 실시예가 갭 채움 어플리케이션과 연관되나, 이는 비-한정적이고, 예시적인 어플리케이션일 뿐이며, 다른 적합한 막 물질을 사용하는 다른 적합한 어플리케이션이 본 개시의 범위 내에 있을 수 있다는 점이 이해될 것이다. CFD 실리콘 디옥사이드 층을 위한 다른 어플리케이션은 제한되지 않으나 층간유전체 (ILD; interlayer dielectric) 어플리케이션, 금속간유전체 (IMD; intermetal dielectric) 어플리케이션, 금속 전 유전체 (PMD; pre-metal dielectric) 어플리케이션, 쓰루-실리콘 비아 (TSV; through-silicon via) 어플리케이션을 위한 유전체 라이너 (liner), 저항성 RAM (ReRAM) 어플리케이션, 및/또는 DRAM 어플리케이션에서의 스택된 커패시터 제조를 포함한다.
도핑된 실리콘 옥사이드는 붕소, 인, 또는 비소 도펀트 (dopant) 를 위한 확산 소스로 사용될 수도 있다. 예를 들어, 붕소 도핑된 실리케이트 글라스 (BSG), 인 도핑된 실리케이트 글라스 (PSG), 또는 붕소 인 도핑된 실리케이트 글라스 (BPSG) 가 사용될 수 있다. 도핑된 CFD 층은 다중-게이트 FinFET 및 3차원 메모리 디바이스와 같은 3차원 트랜지스터 구조에 콘포멀 도핑을 제공하도록 채용될 수 있다. 기존의 이온 주입기는 측벽, 특히 고종횡비 구조를 쉽게 도핑할 수 없었다.
확산 소스로서의 CFD 도핑된 옥사이드는 다양한 이점을 지닌다. 먼저, 이들은 낮은 온도에서 높은 콘포멀리티 (conformality) 를 제공한다. 비교에서, 저-압력 CVD로 제조된 도핑된 TEOS (tetrathylorthosilicate) 가 알려지나 고온에서 증착을 요하고, 부-기압 CVD 및 PECVD 도핑된 옥사이드 막은 저온에서 가능하나 부적합한 콘포멀리티 (conformality) 를 가진다. 도핑의 콘포멀리티는 중요하나, 막 자체의 콘포멀리티도 중요하고, 이는 막이 통상적으로 희생적 어플리케이션이며 후에 제거될 필요가 있기 때문이다. 비-콘포멀 막은 통상적으로 제거에서 보다 많은 장벽을 마주한다, 즉 일부 영역이 오버에칭될 수 있다.
추가적으로, CFD 는 극도로 잘 제어된 도핑 농도를 제공한다. 언급한 바와 같이, CFD 프로세스는 도핑의 단일층이 따르는 몇몇의 도핑 되지 않은 옥사이드층으로부터 제공할 수 있다. 도핑의 레벨은 도핑 싸이클의 조건 및 도핑된 층이 증착되는 주파수에 의해 타이트하게 제어된다. 특정 실시예에서, 도핑 싸이클은 예컨대 중요한 입체 장애를 가진 도펀트 소스를 사용함으로써 제어된다. 기존의 실리콘-기반 마이크로일렉트로닉스, CFD 도핑의 다른 어플리케이션은 GaAs 와 같은 III-V 반도체 및 HgCdTe와 같은 II-VI 반도체에 기초한 옵토일렉트로닉스, 광전지, 평면 패널 디스플레이 및 일렉트로크로믹 기술을 포함한다.
다수의 실시예에서 플라즈마 발생기는 플라즈마 활성화 상태 동안 플라즈마 에너지의 간헐적 펄스를 제공하도록 제어될 수도 있다. 예를 들어, 플라즈마는, 제한되지 않으나 10 Hz 와 500 Hz 사이의 주파수를 포함하는 하나 이상의 주파수에서 펄싱될 수도 있다. 이는 계속적 플라즈마와 비교하여 이온 충격의 방향성을 감소시킴으로써 스텝 커버리지를 향상시킬 수도 있다. 나아가, 이는 기판에 대한 이온 충격 데미지를 줄일 수도 있다. 예를 들어, 포토리지스트 기판은 계속된 플라즈마 동안 이온 충격에 의해 부식될 수도 있다. 플라즈마 에너지를 펄싱하는 것은 포토리지스트 부식을 줄일 수도 있다.
공존하는 PECVD-타입 및 CFD-타입은, 플라즈마 환경에서 반응물 B가 반응물 A와 공존하는 곳에서 발생할 수도 있다. 다수의 실시예에서, 플라즈마 환경에서의 반응물의 공존은, 반응물 B의 공급이 중단된 후 프로세스 스테이션에서 반응물 B의 잔류 (persistence) 로부터 야기될 수도 있으며, 기판에 반응물 B의 노출을 계속시킨다. 예를 들어, 도 1c는 프로세스 스테이션에 반응물 B의 공급을 중단시키는 것과 플라즈마 활성화 사이의 양의 기간을 갖는 스윕 상태를 포함하는 CFD 프로세스의 실시예를 위한 타이밍도 (2900) 를 나타낸다. 다른 실시예로, 도 1d는 반응물 B의 공급을 중단시키는 것과 플라즈마 활성화 사이의 (예컨대 스윕 시간=0을 갖는) 스윕 상태를 제외하는 CFD 프로세스의 실시예를 위한 타이밍도 (3000) 를 나타낸다.
다수의 실시예에서, 플라즈마 환경에서 반응물의 공존은 공존하는 플라즈마 스테이션으로의 반응물 B의 공급과 플라즈마 활성화로부터 야기될 수도 있다. 예를 들어, 도 1e은 프로세스 스테이션으로 반응물 B의 공급 및 플라즈마 활성화 사이의 ("음의" 스윕 시간으로 표시된) 오버랩 (overlap) 을 갖는 CFD 프로세스의 실시예에 대한 타이밍도 (3100) 를 나타낸다.
전술된 다양한 CFD 증착 프로세스가 단일의 막 타입을 증착, 처리, 및/또는 에칭하는 것을 향하고 있으나, 본 개시의 범위 내의 다수의 CFD 프로세스는 복수의 막 타입의 인-시츄 (in-situ) 증착을 포함할 수도 있다는 점이 이해될 것이다. 예를 들어, 막 타입의 층을 교대하는 층은 인-시츄로 증착될 수도 있다. 제1 시나리오에서, 게이트 디바이스에 대한 더블 스페이서는 실리콘 나이트라이드/실리콘 옥사이드 스페이서 스택의 인-시츄 증착에 의해 제조될 수도 있다. 이는, 싸이클 시간을 감소시킬 수도 있으며, 프로세스 스테이션 쓰루풋을 증가시킬 수도 있으며, 잠재적 막 층 불호환성에 의해 형성되는 층간 결함을 피할 수도 있다. 제2 시나리오에서, 리소그래피 패터닝 어플리케이션을 위한 반사방지층은 SiON 또는 비정질 실리콘의 스택 및 튜닝가능한 광 속성을 갖는 SiOC로 증착될 수도 있다. 다른 시나리오에서, 보호막 층은 민감한 기판 상에 (예컨대, 본 명세서에서 설명된 낮은 손상 조건에서) 먼저 증착되고, 그 후 전기적으로 바람직한 막 층이 보호막 층 상에 증착된다. 이 이중층 접근법은 산화, 질화 또는 민감한 기판 상의 다른 반응을 방지하는데 사용될 수도 있다.
다수의 상이한 반응물이 개시된 실시예를 실시하는데 사용될 수도 있다. 증착된 막이 실리콘을 포함하면, 실리콘 화합물은 예를 들어, 실란, 할로실란 또는 아미노실란일 수도 있다. 실란은 산소 및/또는 탄소 그룹을 함유하나 할로겐을 함유하지 않는다. 실란의 예시는 실란 (SiH4), 디실란 (Si2H6), 및 메틸실란, 에틸실란, 이소프로필실란, t-부틸실란, 디멜틸실란, 디에틸실란, 디-t-부틸실란, 알릴실란, 이차-부틸실란, 텍실실란(thexylsilane), 이소아밀실란(isoamylsilane), t-부틸디실란, 디-t-부틸디실란 등과 같은 유기 실란이다. 할로실란은 하나 이상의 할로겐 그룹을 포함하고 수소 및/또는 탄소 그룹을 포함하거나 포함하지 않을 수 있다. 할로실란의 예는 요오드화실란, 브롬화실란, 염화실란, 그리고 불화실란이다. 비록 할로실란, 특히 불화실란이 본원 명세서서에서 설명되는 일정 실시 예에서 실리콘 재료를 에칭할 수 있는 반응성 할라이드 화학종을 형성할 수 있는 것이나, 플라즈마가 스트라이킹되는 때 규소 포함 반응물은 존재하지 않는다. 특정 클로로 실란은 테트라클로로실란(SiCl4), 트리클로로실란(HSiCl3), 디클로로실란(H2SiCl2), 모노클로로실란(ClSiH3), 클로로알릴실란, 클로로메틸실란, 디클로로메틸실란, 클로로디메틸실란, 클로로에틸실란, t-부틸클로로실란, 디-t-부틸클로로실란, 클로로이소프로필실란, 클로로-이차-부틸실란, t-부틸디메틸클로로실란, 텍실디메틸클로로실란 등이다. 아미노실란은 실리콘 원자에 하나 이상의 질소 원자 결합을 포함한다. 그러나 수소, 산소, 할로겐 그리고 탄소들을 포함할 수도 있다. 아미노실란의 예는 모노-, 디-, 트리- 그리고 테트라-아미노실란(H3Si(NH2)4, H2Si(NH2)2, HSi(NH2)3 그리고 Si(NH2)4, 각각), 그리고 이들 뿐 아니라 치환된 모노-, 디-, 트리- 그리고 테트라-아미노실란, 예를 들면 t-부틸아미노실란, 메틸아미노실란, 3차-부틸실란아민, 비스(3차 부틸아미노)실란 (bis(tertiarybutylamino)silane) (SiH2(NHC(CH3)3)2 (BTBAS), 3차-부틸 시릴카바메이트 (silylcarbamate), SiH(CH3)-(N(CH3)2)2, SiHCl-(N(CH3)2)2, (Si(CH3)2NH)3, 비스디에틸아미노실란(BDEAS; bisdiethylaminosilane), 디이소프로필아미노실란(DIPAS; diisopropylaminosilane), 트리디메틸아미노티타늄(TDMAT, tridimethylaminotitanium) 등을 포함한다. 아미노 실란의 나아간 예는 트리실릴아민 (N(SiH3)3) 이다.
다른 경우에서, 증착된 막은 메탈을 함유한다. 형성될 수도 있는 메탈-함유 막의 예시는 알루미늄, 티타늄, 하프늄 (hafnium), 탄탈룸 (tantalum), 텅스텐, 망간, 마그네슘, 스트론튬 (strontium) 등의 옥사이드 및 나이트라이드를 포함하고, 원소 메탈 막도 포함한다. 예시적인 전구체는 메탈 알킬아민, 메탈 알콕사이드 (alkoxide), 메탈 알킬아미드, 메탈 할라이드, 메탈 β-디케토네이트, 메탈 카르보닐, 유기금속, 등을 포함할 수도 있다. 적합한 메탈-함유 전구체는 막에 포함되는데 바람직한 메탈을 포함한다. 예를 들어, 탄탈룸-함유층은 펜타키스(디메틸아미도)탄탈룸 (pentakis(dimethylamido)tantalum 을 암모니아 또는 다른 환원제와 반응시킴으로써 증착될 수도 있다. 채용될 수도 있는 메탈-함유 전구체의 나아간 예시는 트리메틸알루미늄, 테트라에폭시티타늄, 테트라키스-디메틸-아미도 티타늄 (tetrakis-dimethyl-amido titanium), 하프늄 테트라키스(에틸메틸아미드), 비스(싸이클로펜타디에닐)망간 (bis(cyclopentadienyl)manganese), 비스(n-프로필싸이클로펜타디에닐)마그네슘 (bis(n-propylcyclopentadienyl)magnesium), 및 비스(n-프로필싸이클로펜타디에닐)망간을 포함한다.
다수의 실시예에서, 증착된 막은 질소를 함유하고, 질소-함유 반응물이 사용되어야만 한다. "질소 함유 반응물"은 적어도 하나의 질소, 예를 들면, 암모니아, 히드라진, 메틸 아민, 디메틸아민, 에틸아민, 이소프로필아민, t-부틸아민, 디-t-부틸아민, 시클로프로필아민, 2차-부틸아민, 시클로부틸아민, 이소아밀아민, 2-메틸부탄-2-아민, 트리메틸아민, 디이소프로필아민, 디에틸이소프로필아민, 디-t-부틸히드라진과 같은 아민 (탄소 함유 아민), 그리고 이들뿐 아니라 아닐린, 피리딘 (pyridine), 그리고 벤질아민과 같은 아민 함유 방향제를 포함한다. 아민은 일차, 이차, 삼차, 또는 사차 (예를 들면, 테트라알킬암모늄 화합물)일 수 있다. 질소 함유 반응물은 질소가 아닌 헤테로원자를 포함할 수 있으며, 예를 들면 히드록신 아민, t-부틸옥시카보닐 아민, N-t-부틸 히드로실아민이 질소 함유 반응물이다.
특정 구현에서, 산소-함유 산화 반응물이 사용된다. 산소-함유 산화 반응물의 예시들은 산소, 오존, 나이트러스 옥사이드, 나이트릭 옥사이드, 나이트로젠 디옥사이드, 카본 모녹사이드, 카본 디옥사이드, 설퍼 옥사이드, 설퍼 디옥사이드, 산소-함유 탄화수소 (CxHyOz), 물 (H2O), 그 혼합물 등을 포함한다.
다수의 실시예에서, (예를 들어, 0의 세트 포인트를 사용하여) 약 1Torr 이하로의 선택적인 펌프다운 (pumpdown) 이 플라즈마가 소멸된 이후 또는, 후-플라즈마 퍼지가 수행된다면, 그 전, 그 동안 또는 그 이후에 채용될 수도 있다.
전술된 바와 같이, 옥사이드를 증착하는 통상적인 방법은 하부의 기판에 손상을 일으킬 수 있다. 도 2는 N2/O2 산화제를 사용하는 T = 400℃ 이고 RF 전력은 625 W/스테이션에서 실리콘 산화층을 증착하는 CFD 방법에서 발생하는, 하부의 기판에 대한 산화-관련 손상의 존재를 나타낸다. 막 두께 (CFDOx 두께) 대 CFD 싸이클의 수에 대한 선형 맞춤을 이용함으로써, y-절편은 기판 표면 상의 자연 산화 두께와 관련된 정보를 제공한다. y절편의 제로는 증착 동안 하부의 기판에 산화가 없었다는 것을 나타낸다. 도 2에 도시된 바와 같이, 그러나, 방법은 대략 0.6 nm 또는 6Å의 자연 산화 두께를 발생시킨다.
민감성 기판 상에서 산화의 양에 영향을 주는 몇몇 중요한 요인이 있다. 이러한 요인들은 증착 프로세스 동안의 기판의 온도, 플라즈마를 점화하는데 사용된 전력, 산화 반응물의 선택, 챔버 압력, 및 플라즈마 전력이 증착 프로세스 동안 인가된 기간을 포함한다. 다양한 경우에서, 보호층은 기판을 약 25℃ 내지 450℃ 사이에서 유지시킴으로써 형성될 수 있다. 일반적으로, 보다 낮은 기판 온도는 기판의 더 낮은 산화를 야기할 것이다. 다수의 실시예에서, 보호층은 기판을 약 25℃ 내지 200℃, 예를 들어 약 50℃ 내지 150℃ 사이에서 유지시킴으로써 형성될 수 있다. 그러나, 450℃ 또는 그 이상의 온도는, 플라즈마 전력과 같은 다른 조건들이 조정되면, 특정 실시예에서 사용될 수도 있다.
기판 산화 레벨에 영향을 주는 또 다른 중요한 요인은 CFD 프로세스 동안 플라즈마를 점화하는데 사용된 전력이다. 더 낮은 전력은 기판의 더 낮은 산화를 야기한다. 도 3a는 2.5 Torr에서 산화제로 N2O를 사용하는 CFD 증착에서 기판의 산화의 두께에 대한 RF 전력의 영향을 나타낸다. 여기서 비교된 RF 값들은 (다이아몬드로 도시된) 500 W, (정사각형으로 도시된) 350 W, (삼각형으로 도시된) 250 W, 및 (X로 도시된) 3xRF 시간에서의 250 W 이다. 도 3a에서, 도 2에서와 같이, y절편은 기판 산화량을 나타낸다. 따라서, 높은 값의 RF 전력이 더 높은 y절편을 가지며, 대응하여 더 높은 기판 산화 레벨을 가진다고 볼 수 있다. (이하에서 더 논의되는) 도 3a 및 도 3b와 이하의 표 1에서, 보고된 RF 레벨은 총 사용된 RF 전력을 나타낸다. 이 총 전력은 4 RF 스테이션 사이에서 나눠진다. 산화에 대한 RF 전력 온셋 (onset) 값은 약 60-90 W/스테이션 사이일 수도 있다. 62.5 W/스테이션의 RF 전력 값은 기판의 산화를 거의 나타내지 않는다. 따라서, 특정 실시예에서, 플라즈마-향상된 증착은 산화에 대한 RF 전력 온셋 값 이하인, 산화-민감성 기판 상에서 약 60-90 스테이션 당 W 이하에서 수행될 수도 있다.
도 3a에 도시된 조건과 데이터에 대응하는 이하의 표 1은 RF 흐름 (즉, RF 전력 및/또는 RF 시간) 을 다양하게 함으로써 막 스트레스가 조절될 수도 있다는 것을 도시한다. 약 4 kW와 같이 보다 높은 RF 전력에서, 스트레스는 약 -200 에서 -250 MPa 압축력이 있다. 여기서 도시된 보다 낮은 RF 전력에서, 스트레스는 낮은 압축력을 가지며 중립에 보다 가까워진다. 또한, 표 1은 평형 RF 전력 값에서 보다 짧은 RF 시간이 또한 보다 중립적인 스트레스 값을 야기한다는 것을 나타낸다. 예를 들어, RF 전력이 250 W일 때, RF 시간을 3배로 증가시키는 것은 약 3배로 막 스트레스를 높인다. RF 시간을 높이는 것은 또한 막의 붕괴 (breakdown) 전압의 상승을 야기한다 (즉, BDV 는 더 음의 수가 된다). 이는, 낮은 손상 조건에서 형성된 막이 낮은 붕괴 전압으로부터 피해를 입을 수도 있다는 것을 시사한다. 그러나, 이는 언제나 사실은 아닐 수도 있으며, 500 W에서 형성된 막이 250 W에서 형성된 막 보다 더 낮은 (lower) (덜 음수인, less negative) BDV를 나타냈다는 사실에 의해 증명된다.
상이한 RF 전력 및 RF 시간에 따른 보호층 ALD 막 속성
조건 (N2O 단독, 2.5T) 두께 (A) 비-균일성 (%) 웨이퍼 내 두께 범위 (A) 스트레스 (MPa) 증착 속도 (A/싸이클) BDV (MV/cm)
250 W 1309 0.70 23 -16 1.19 -1.3
3xRF 시간에서 250 W 1552 0.17 14 -45 1.41 -1.7
500 W 1454 0.17 15 -46 1.32 -1.2
본 명세서에서 제공된 모든 RF 레벨은 300 mm 웨이퍼에 대한 것이며, 상이한 크기의 웨이퍼들에 대해 대략적으로 조정될 수도 있다. RF 전력 레벨은 웨이퍼 면적에 선형적으로 조정된다 (다른 크기의 웨이퍼에 대해 RF 전력 레벨의 변환은 플라즈마 밀도 및 유닛 면적 상수 당 분포를 유지시킴으로써 달성될 수도 있다). 예를 들어, 보고된 125 W/스테이션 값은 450 mm 지름 웨이퍼에 대해 대략적으로 280 W/스테이션으로 조정될 수도 있다.
일 실시예에서, 보호층을 생성하는데 사용된 RF 전력은 약 12.5 내지 125 W/스테이션 사이이다. 다른 실시예에서, 보호층을 생성하는데 사용된 RF 전력은 약 50 내지 125 W/스테이션 또는 약 100 W/스테이션 이하이다.
RF 전력이 인가된 동안의 기간 (RF 시간) 은 또한 기판 산화의 양에 영향을 줄 수 있다. 일반적으로, 보다 긴 RF 시간은 기판의 더 많은 산화를 야기할 것이다. 플라즈마-향상된 ALD 또는 CFD 싸이클 동안 RF 시간의 기간은 약 50 ms에서 약 1 s 범위, 예를 들어, 0.25 s 일 수도 있다.
특정 실시예에서, 저주파수 (LF; low-frequency) RF 가 HF RF에 더하여 인가될 수 있다고 해도, 본 명세서에서 설명된 RF 레벨은 고주파수 (HF; high-frequency) RF를 지칭한다. 예시적인 고주파수 RF 주파수들은 제한되지 않으나, 약 1.8 MHZ 내지 2.45 GHz 사이의 주파수를 포함할 수도 있다. 예시적인 저주파수 RF 주파수들은 제한되지 않으나 약 50 kHz 내지 500 kHz 사이의 주파수들을 포함할 수도 있다.
산화 반응물의 선택은 또한 기판 산화량에 영향을 미칠 수 있다. 산화 반응물은 종종 O2 및 약 산화제의 혼합물이다. 약 산화제의 예시들은 카본 디옥사이드 (CO2) 와 카본 모녹사이드 (CO) 와 같은 카본 옥사이드, 나이트러스 옥사이드 (N2O), 나이트릭 옥사이드 (NO) 및 나이트로진 디옥사이드 (NO2) 와 같은 나이트로진 옥사이드 및 설퍼 옥사이드 (SO) 및 설퍼 디옥사이드 (SO2) 와 같은 설퍼 옥사이드를 포함한다. 다른 약 산화제의 예시들은 임의의 산소 함유 탄화수소 (CXHYOZ) 및 물 (H2O) 를 포함한다. 일반적으로, 상대적으로 보다 낮은 O2 양과 상대적으로 높은 약 산화제 양은 기판의 낮은 산화를 발생시킨다. 다수의 경우에서, O2는 산화 반응물에서 완전히 제거될 수도 있다. 다수의 실시예에서, 산화 반응물은 약 산화제에 더하여 또는 약 산화제를 대신하여 오존을 포함할 수도 있다. 오존이 일반적으로 강 산화제이나, CFD 동안 발생하는 것과 같은 표면 반응은 이온으로 야기된 (ion-driven) 것이 아닐 수도 있어, 오존을 산화 반응물을 위한 잠재적 후보로 또는 그 재료로 만든다. 오존이 사용된 경우, 기판으로의 표면 손상은 단일항 상태 (singlet state) 에 의해 인에이블된 라디칼 반응으로 제한될 수도 있다. 역으로, 플라즈마 (예를 들어, 용량 커플링된 또는 유도 커플링된 플라즈마) 로 O2 를 깨뜨리는 것은 O-의 존재 때문에 이온 관련된 기판으로의 손상을 줄 수도 있다.
도 3b는 두 개의 상이한 산화 반응물을 사용하는 것의 영향을 나타낸다. 데이터는 두 개의 상이한 RF 전력 레벨에서 도시된다. 기판 산화량은 산화 반응물이 N2O와 O2의 혼합물인 경우와 비교하여 산화 반응물이 N2O 단독일 때 감소한다.
민감성 기판 상에 보호막을 형성하는 일 실시예에서, 산화 반응물은 0 내지 약 50% 사이의 O2 및 약 50 내지 100% 사이의 약 산화제이다. 산화 반응물은 나머지 하나 이상의 산화제를 가지는 0 내지 50% 사이의 O2일 수도 있다. 산화 반응물 흐름 속도는 총 약 1-25 SLM 범위일 수 있다. 예를 들어, 산화 반응물은 약 10 SLM O2 및 N2O와 같은 약 10 SLM 약 산화제를 갖는 총 20 SLM에서 흐를 수도 있다. 산화 반응물은 RF 스트라이크와 일치하여 도입되거나 계속적으로 흐를 수도 있다.
다수의 실시예에서, 실리콘-함유 반응물이 사용된다. 이 반응물은 약 0.25 mL/min 내지 약 4 mL/min 사이의 속도로 도입될 수도 있으며, 다수의 실시예에서, 약 0.5 mL/min의 속도로 도입된다.
본 문서에서의 많은 기재들이 실리콘 산화막의 형성에 포커싱되어 있으나, 본 명세서에서 기재된 방법들은 반응-민감성 기판 상에 다른 종류의 막을 형성하는데 또한 사용될 수도 있다. 예를 들어, 전술된 온도 및 RF 전력 레벨은 실리콘 전구체 및 질소-함유 공동-반응물을 사용하여 플라즈마-지원된 반응에서 SiN을 형성하는데 사용될 수도 있다. 이와 같은 방법으로, 질화-민감성 기판의 원하지 않는 질화가 방지될 수 있다. 나아가, 방법은 또한 메탈 산화 및 메탈 질화막을 포함하는 비-실리콘 함유 막들의 증착에 사용될 수도 있다.
기판 산화에 영향을 주는 또 다른 중요한 인자는 CFD 프로세스가 발생하는 곳에서의 압력이다. 보다 낮은 압력은 낮은 산화를 이끌 수도 있으며, 보호층을 생성하는 동안 낮은 압력에서 CFD 프로세스가 수행되는데 바람직하도록 한다. 일 실시예에서, 압력은 약 2 내지 10 Torr 사이 예를 들어 약 6 Torr 일 수도 있다.
보호층의 두께는 생성되는 제품의 성능과 연관된 중요한 특성이다. 보호층을 제조하는데 사용된 프로세싱 조건은 막에서 낮은 전기적 퀄리티를 야기할 수도 있다. 따라서, 보호층은, 막의 전반적인 바람직한 전기적 특성을 달성하는데 충분히 얇으면서, 후속 프로세싱 및 사용 동안 하부의 기판의 산화를 충분히 방지할 정도로 충분히 두꺼워야한다. 일 실시예에서, 보호층은 두께의 범위가 약 10 내지 약 50Å 이다. 50Å은 보다 공격적인 프로세스 조건 동안 후속의 산화를 방지할 수 있을 정도로 충분할 수도 있으며, 다수의 실시예에서 보호층은 더 두꺼울 수도 있으며, 예를 들어 약 100 Å 이하일 수도 있으나 다수의 경우에서 100 Å 보다 더 클 수도 있다. 다수의 실시예에서, 보호층은 전체 SiO2 (또는 다른 물질) 층일 수도 있다.
본 발명의 일 양태에서, 보호층은 이중층에 포함된다. 보호층은 하부층을 형성하며, 전기적으로 바람직한 층은 보호층의 상부 상에 증착된다. 보호층은 불충분한 산화 변환에 따른 낮은 붕괴 전압 (BDV; breakdown voltage) 과 높은 누출 전류와 같은 전기적으로 바람직하지 않은 퀄리티를 가질 수도 있기 때문에, 전기적으로 바람직한 층을 최상부에 증착하는 것이 바람직하며, 따라서 생성되는 제품이 원하는 전기적 퀄리티를 확실히 갖도록 한다. 전기적으로 바람직한 층은 보다 높은 기판 온도, 보다 높은 RF 전력, 보다 높은 압력, 더 긴 RF 시간, 및/또는 하부 보호층을 생성하는데 사용된 것들과는 상이한 산화 (또는 다른 보조의) 화합물을 사용하여 증착될 수도 있다.
전기적으로 바람직한 층을 형성하는데 있어, 기판 온도는 일반적으로 약 50℃ 내지 약 400℃ 사이에서 유지된다. 다수의 실시예에서, 기판은 약 150℃ 내지 약 250℃ 사이에서 유지되며, 다른 실시예에서 기판은 약 300℃ 내지 약 400℃ 사이에서 유지된다. 다수의 실시예에서 각 층의 형성은 동일한 기판 온도 (즉, 이중층은 등온적으로 생성된다) 에서 발생하며, 다른 실시예에서, 기판 온도는 전기적으로 바람직한 층의 형성 도중 보다 높다.
전기적으로 바람직한 층을 생성하는데 사용된 RF 전력 레벨은 62.5 W/스테이션 내지 약 375 W/스테이션 사이, 예를 들어 250 W/스테이션일 수도 있다. RF 시간은 약 50 ms 내지 1 s 사이일 수도 있으며, 일 실시예에서 약 0.25 s 이다.
보호층을 생성하는데 사용된 산화 반응물과 같이, 전기적으로 바람직한 층을 생성하는데 사용된 산화 반응물은 통상적으로 O2와 0 내지 약 50% 사이의 O2 및 50-100% 사이의 약 산화제의 혼합물이다. 다수의 실시예에서, O2 : 약 산화제의 비율은 보호층의 증착에 사용된 비율과 비교하여 전기적으로 바람직한 층의 증착 동안 더 높다. 다른 실시예에서, 동일한 O2 : 약 산화제의 비율이 각 층의 증착을 위해 사용된다. 산화 반응물의 총 흐름은, 약 1 내지 25 SLM 사이일 수도 있으며, 일 실시예에서 약 10 SLM의 O2와 N2O와 같은 약 10 SLM의 약 산화제를 갖는 약 20 SLM이다.
다수의 실시예에서, 실리콘-함유 반응물이 전기적으로 바람직한 층을 증착하는데 사용된다. 이 반응물은 약 0.25 mL/min 내지 약 4 mL/min 사이의 속도로 도입될 수도 있으며, 다수의 실시예에서는 약 0.5 mL/min의 속도로 도입된다.
전기적으로 바람직한 층을 생성하는데 사용된 압력은 약 2 내지 약 10 Torr 사이일 수도 있으며, 예를 들어 약 6 Torr이다. 다른 실시예에서 전기적으로 바람직한 층은 원하는 전기적 퀄리티를 달성하도록 상대적으로 더 높은 압력에서 증착되는 동안, 다수의 실시예에서, 보호층과 전기적으로 바람직한 층은 각각 동일한 압력에서 증착된다.
전기적으로 바람직한 층의 두께는 어떤 응용으로 사용될 것인지에 의해 정해진다. 예를 들어, 층은 논리 소자기술에서 커패시터(cap)을 위해 약 1 nm 내지 약 25 nm 사이에 있을 수도 있으나, 층은 논리 소자기술에서 계면 층들을 위해 약 5 Å 내지 40 Å 사이일 수도 있다. 다른 두께들은 다른 내용에서 적합할 수도 있다.
생성되는 제품의 기능에 중요한 또 다른 특징은 보호층 및 전기적으로 바람직한 층의 상대적 두께이다. 다수의 실시예에서, 보호층은 이중층의 총 두께의 약 1% 내지 약 20% 사이이다. 다수의 응용은 이 범위 밖의 비율, 예를 들어 총 두께의 1% 미만 또는 20% 초과의 범위를 요구할 수도 있다.
도 4는 보호층의 상대적 두께의 범위에 대한 붕괴 전압 (BDV) 을 나타낸다. 본 데이터 세트를 위한 모든 막 두께는 1000 ± 50 Å 이다. 도 4에서 x-축 상의 더 오른쪽의 데이터 포인트는 상대적으로 두꺼운 보호막과 상대적으로 얇은 전기적으로 바람직한 막을 갖는 이중층을 나타낸다. 전기적으로 바람직한 층을 형성하기 위한 프로세스는 O2/N2O 산화제 반응물을 사용하여, T = 150℃, HF 전력 = 625 W/스테이션, 3.5 T 에서 수행되었다. 보호층을 형성하기 위한 프로세스는 N2O를 산화제 반응물로 사용하여, T = 150℃, HF 전력 = 65 W/스테이션, 2.5 T 에서 수행되었다. 이중층의 BDV는 이중층에서 보호층의 상대적 두께에 대한 강한 의존도를 나타내며, 이중층 막 스택의 전기적 속성이 튜닝가능하다는 것을 나타낸다. 데이터는, 보호층이 이중층 전체 두께의 약 20% 또는 약 20% 미만인 경우 BDV가 아직 상대적으로 좋다는 것을 시사한다.
본 발명의 또 다른 양태는 테스트 수단으로 일반 실리콘 기판을 사용하여 기판 산화를 평가하기 위한 방법으로, 디바이스 기판 상에서의 산화 테스팅의 복잡함을 피하게 한다. 방법은 CFD 프로세스를 많은 싸이클을 통해 수행하는 것과 막 두께 대 싸이클 수를 플롯팅 (plotting) 하는 것을 포함한다. 변수들 사이에서 선형 맞춤을 사용함으로써 (따라서, CFD 싸이클 각각이 동일한 두께의 막을 증착하는 것을 가정하여), y-절편이 기판 표면 상에서 자연 산화 두께를 제공하도록 추론될 수 있다. 보다 높은 y-절편은 기판의 더 많은 산화를 시사하며, 제로의 y-절편은 기판의 산화가 없다는 것을 시사한다. 이 방법의 실시예가 위의 도 2와 관련하여 설명된다. 이 방법을 실시할 때, 얼마간의 막 층들이 첫번째 두께 측정이 수행되기 전에 증착되어야 한다. 이는 기판으로의 손상과 관련하여 더욱 정확한 정보를 제공하는데 도움을 줄 수도 있다. 다수의 구현에서, 적어도 약 5, 또는 적어도 약 10 층들이 첫번째 두께 측정 수행 전에 증착된다. 기판이 증착의 핵생성 (nucleation) 상태 동안 코팅된 후, 기판 손상은 최소일 것으로 기대된다.
본 발명의 또 다른 양태는 전술된 이중층 접근법에서 보호층의 최소 두께를 결정하기 위한 방법과 관련한다. 이 방법은 산화-민감성 기판을 보호하는데 충분히 두꺼운 보호층 두께의 선택을 허용하며, 이중층의 원하는 전기적 속성에 불리하게 영향을 줄 만큼 두께는 두껍지 않다.
상이한 두께의 보호막 층들의 시리즈는 제한되지 않으나 실리콘 웨이퍼를 포함하는 독립된 기판 상에 증착될 수 있다. 예를 들어, 약 0 Å 내지 약 300 Å 사이의 상이한 두께의 층들은 독립된 기판 상에 증착된다. 다음으로, 막 층들은 100 싸이클의 비-증착 플라즈마에 각각 노출된다. 예를 들어, 기판은 RF 전력 = 2500 W (625 W/스테이션), 기판 온도 = 150℃, 및 P = 3.5 T 와 함께 O2/N2O 플라즈마의 혼합물의 비-증착 싸이클에 노출될 수 있다. 다음으로, 층 각각의 후-플라즈마 두께가 측정된다. Δ두께는 전-플라즈마 두께 및 후-플라즈마 두께 간의 차이로 산출된다. Δ두께는 막 층들의 전-플라즈마 두께에 대해 플로팅된다. 산화로부터 기판을 보호하는데 사용된 최소 두께는 Δ두께가 포화된 (즉, Δ두께가 고르게 되거나 실질적으로 안정된 경우) 두께를 찾음으로써 결정될 수 있다. 실질적으로 안정된다는 것은, 막의 하나의 추가 층이 약 0.5 Å 미만의 Δ두께 변화를 발생시킬 때를 의미할 수도 있다. 여기서, 표면 산화에 기인한 두께의 증가는 보호막에 의해 방지되며, Δ두께는 플라즈마 이온 충격에 의해 막 치밀화의 원인 (contribution) 으로부터 발생된다.
위의 분석은 Δ두께가 두 개의 주요한 원인: (1) 보호층을 통해 투과되는 O 종들에 의해 야기된 기판 산화에 기인한 두께의 증가 및 (2) 플라즈마 이온 충격에 의한 막 치밀화에 기인한 두께의 감소를 가진 것으로 가정한다. 웨이퍼 각각은 동일한 RF 싸이클을 가지고 프로세싱되기 때문에, 막 치밀화는 상이한 웨이퍼들에 걸쳐 균일한 것으로 가정된다.
도 5는 이 방법을 사용하여 획득된 실험적인 데이터 세트를 나타낸다. 도 5에 도시된 바와 같이, 데이터는 기판 산화가 50-100 Å 두께의 보호층에 의해 효과적으로 방지되었다는 것을 시사한다. 나아가, 데이터는 또한 전술된 조건들에서의 100 싸이클에서의 막 치밀화가 대략 5 Å의 막 치밀화를 발생시킨다는 것을 시사한다. 다른 증착 조건이 사용되는 경우 (예컨대, 상이한 막 종류, 하부의 기판, RF 흐름, 온도, 압력, 등), 최소 두께는 상이할 수도 있다. 개시된 방법은 필요한 전기적 특성을 달성하도록 특정 이중층 형성 프로세스를 맞추도록 사용될 수도 있다.
이 방법을 설명하는데 사용된 숫자들은 예시적인 방법으로 제시된 것이며, 본 발명의 범위를 제한하도록 의도되지 않는다. 당업자는 넓은 범위의 온도, RF 전력, 압력 및 플라즈마 조성이 사용될 수도 있다는 것을 이해할 것이다.
장치
임의의 적합한 프로세스 스테이션이 전술된 하나 이상의 실시예와 함께 채용될 수도 있다는 점이 이해될 것이다. 예를 들어, 도 6은 CFD 프로세스 스테이션 (1300) 의 실시예를 개략적으로 나타낸다. 단순함을 위해, CFD 프로세스 스테이션 (1300) 은 저-압력 환경을 유지하기 위한 프로세스 챔버 바디 (1302) 를 가지는 독립형 프로세스 스테이션으로 도시된다. 그러나, 복수의 CFD 프로세스 스테이션 (1300) 이 일반적인 프로세스 툴 환경에 포함될 수도 있다는 점이 이해될 것이다. 도 7은 다중-스테이션 프로세싱 툴 (2400) 의 실시예를 도시한다. 나아가, 다수의 실시예에서 위에 자세히 설명된 하드웨어 파라미터를 포함하는, CFD 프로세스 스테이션 (1300) 의 하나 이상의 하드웨어 파라미터가 하나 이상의 컴퓨터 제어기에 의해 프로그램적으로 조정될 수도 있다는 점이 이해될 것이다.
CFD 프로세스 스테이션 (1300) 은 프로세스 가스를 분배 샤워헤드 (1306) 로 전달하기 위한 반응물 전달 시스템 (1301) 으로 유체적으로 연결된다. 반응물 전달 시스템 (1301) 은 샤워헤드 (1306) 로의 전달을 위해 프로세스 가스를 블랜딩 및/또는 조절 (conditioning) 하기 위한 혼합 용기 (1304) 를 포함한다. 하나 이상의 혼합 용기 유입 밸브 (1320) 는 프로세스 가스의 혼합 용기 (1304) 로의 도입을 제어할 수도 있다.
BTBAS와 같은 다수의 반응물은 프로세스 스테이션으로의 다음 전달 및 증발 이전에 액체 형태로 저장될 수도 있다. 예를 들어, 도 6의 실시예는 혼합 용기 (1304) 로 공급될 액체 반응물을 증발시키기 위한 증발 포인트 (1303) 를 포함한다. 다수의 실시예에서, 증발 포인트 (1303) 는 가열된 증발기일 수도 있다. 이러한 증발기로부터 생산된 포화된 반응물 수증기는 다운스트림 전달 파이핑 (downstream delivery piping) 에서 응결될 수도 있다. 응결된 반응물에 호환되지않는 (incompatible) 가스의 노출은 작은 파티클을 생성할 수도 있다. 이 작은 파티클은 파이프를 막으며, 밸브 동작을 방해하며, 기판을 오염시킬 수도 있다. 이 문제를 해결하기 위한 시도는 잔류 반응물을 제거하도록 전달 파이프를 스윕 및/또는 배기시키는 것과 연관한다. 그러나, 전달 파이프를 스윕하는 것은 프로세스 스테이션 싸이클 시간을 증가시킬 수도 있으며, 프로세스 스테이션 쓰루풋을 떨어뜨릴 수 있다. 따라서, 다수의 실시예에서, 증발 포인트 (1303) 의 파이프 다운스트림은 열 추적될 수도 있다. 다수의 실시예에서, 혼합 용기 (1304) 는 또한 열 추적될 수도 있다. 하나의 비-제한적인 실시예에서, 증발 포인트 (1303) 의 전달 파이핑 다운스트림은 약 섭씨 100 도에서 약 섭씨 150 도까지 연장되는 증가하는 온도 프로파일을 가질 수 있다.
다수의 실시예에서, 반응물 액체는 액체 주입기에서 증발될 수도 있다. 예를 들어, 액체 주입기는 액체 반응물의 펄스를 커리어 가스 스트림으로 혼합 용기의 업스트림에 주입할 수도 있다. 하나의 시나리오에서, 액체 주입기는 보다 높은 압력에서 보다 낮은 압력으로 액체를 빠르게 주입함으로써 (flashing) 반응물을 증발시킬 수도 있다. 또 다른 시나리오에서, 액체 주입기는 액체를 가열된 전달 파이프에서 차례로 증발된 분산된 미세방울로 분무할 수도 있다. 더 작은 방울이 더 큰 방울 보다 더 빠르게 증발되어 액체 주입 및 완전한 증발 사이의 지연을 줄인다는 점이 이해될 것이다. 더 빠른 증발은 증발 포인트 (1303) 으로부터 다운스트림 파이프의 길이를 감소시킬 수도 있다. 하나의 시나리오에서, 액체 주입기는 혼합 용기 (1304) 에 바로 마운트될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (1306) 에 바로 마운트될 수도 있다.
다수의 실시예에서, 증발 포인트 (1303) 의 업스트림 액체 흐름 제어기는 증발을 위한 액체 질량 흐름 및 프로세스 스테이션 (1300) 으로의 전달을 제어하기 위해 제공될 수도 있다. 예를 들어, 액체 흐름 제어기 (LFC; liquid flow controller) 는 LFC의 다운스트림에 위치된 열적 질량 유량계 (MFM; mass flow eter) 를 포함한다. LFC의 플런저 (plunger) 밸브는 그 후 MFM과 전기적 통신에서 비례 적분 미분 (PID; proportional-integral-derivative) 제어기에 의해 제공된 피드벡 제어 신호에 응답하여 조정될 수도 있다. 그러나, 피드벡 제어를 사용하여 액체 흐름을 안정화하는데 일 초 이상이 소요될 수도 있다. 이는 액체 반응물의 투입 시간을 연장시킬 수도 있다. 따라서, 다수의 실시예에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 다수의 실시예에서 LFC는 LFC 및 PID 제어기의 센스 튜브 (sense tube) 를 비활성화함으로써 피드벡 제어모드에서 직접 제어 모드로 동적으로 스위칭될 수도 있다.
샤워헤드 (1306) 는 기판 (1312) 으로 프로세스 가스를 분배한다. 도 6에 도시된 실시예에서, 기판 (1312) 은 샤워헤드 (1306) 의 아래에 위치되며, 페데스탈 (1308) 상에 있도록 도시된다. 샤워헤드 (1306) 는 임의의 적합한 형상을 가질 수도 있으며, 프로세스 가스를 기판 (1312) 에 분배하기 위한 포트의 임의의 적합한 숫자 및 배열을 가질 수도 있다.
다수의 실시예에서, 마이크로 용량 (1307) 이 샤워헤드 (1306) 아래에 위치된다. 프로세스 스테이션의 전체 용량 보다 마이크로 용량에서 CFD 프로세스를 수행하는 것은 스윕 시간 및 반응물 노출 시간을 줄일 수도 있으며, CFD 프로세스 조건 (예컨대, 압력, 온도, 등) 을 교대하기 위한 시간을 줄일 수도 있으며, 프로세스 가스로의 프로세스 스테이션 로보틱스 (robotics) 의 노출을 제한시킬 수도 있다. 예시적인 마이크로 용량 크기는 제한되지 않으나, 0.1 리터 내지 2 리터 사이의 부피를 포함한다.
다수의 실시예에서, 페데스탈 (1308) 은 마이크로 용량 (1307) 에 기판 (1312) 을 노출하도록 및/또는 마이크로 용량 (1307) 의 부피를 다양하게 하도록 상승 또는 하강시킬 수도 있다. 예를 들어, 기판 이송 상태에서, 페데스탈 (1308) 은 페데스탈 (1308) 상에 기판 (1312) 이 실리는 것을 허용하도록 하강될 수도 있다. CFD 프로세스 상태 동안, 페데스탈 (1308) 은 마이크로 용량 (1307) 내에 기판 (1312) 을 위치시키도록 상승될 수도 있다. 다수의 실시예에서, 마이크로 용량 (1307) 은 기판 (1312) 및 CFD 프로세스 동안 높은 흐름 방해의 영역을 형성하도록 페데스탈 (1308) 의 부분도 완전히 에워쌀 수도 있다.
선택적으로, 페데스탈 (1308) 은, 마이크로 용량 (1307) 내에서 프로세스 압력, 반응물 농도, 등을 조절하도록 CFD 프로세스의 일부 동안 상승 및/또는 하강될 수도 있다. 프로세스 챔버 바디 (1302) 를 CFD 프로세스 동안 기초 압력에 유지시키는 하나의 시나리오에서, 페데스탈 (1308) 을 낮추는 것은 마이크로 용량 (1307) 이 배기되도록 허용할 수도 있다. 프로세스 챔버 용량 대 마이크로 용량의 예시적인 비율은 제한되지는 않으나 1 : 500 내지 1 : 10 사이의 부피 비율을 포함한다. 다수의 실시예에서, 페데스탈 높이는 적합한 컴퓨터 제어기에 의해 프로그래밍적으로 조정될 수도 있다.
또 다른 시나리오에서, 페데스탈 (1308) 의 높이를 조정하는 것은 플라즈마 밀도로 하여금 CFD 프로세스에 포함된 플라즈마 활성화 및/또는 처리 싸이클 동안 변동될 수도 있다. CFD 프로세스 상태의 마지막에서, 페데스탈 (1308) 은 페데스탈 (1308) 로부터 기판 (1312) 의 제거를 허용하도록 또 다른 기판 이송 상태 동안 하강될 수도 있다.
다수의 실시예에서, 페데스탈 (1308) 은 증착 프로세스 동안 기판의 손상을 방지하는데 도움을 주도록 냉각될 수도 있다. 장치/하드웨어의 다른 부분이 또한 기판의 손상을 줄이는데 도움이 되도록 냉각될 수도 있다. 예를 들어, 냉각된 샤워헤드 및/또는 냉각된 챔버가 사용될 수도 있다. 냉각될 수도 있는 챔버 표면의 실시예는 상부 플레이트, 챔버 바디, 립(rib)들, 필러 플레이트, 스핀들 (spindle), 이송 암(arm) 등을 포함한다. 냉각은 그외 발생할 수도 있는 온도 상승에 대해 대응할 수도 있다. 냉각의 목적은 기판을 보다 낮은 온도로 유지시키는 것이다. 이 냉각된 컴포넌트들의 온도는 약 25-300℃의 범위 또는 약 35-100℃ 사이에 있을 수도 있다. 냉각은 예를 들어 냉각기 (chiller) 로부터의 액체를 순환시키는 냉각 루프를 제공함으로써 이루어질 수도 있다. 다른 냉각 방법이 또한 사용될 수도 있으며, 당업자에게 일반적으로 알려진다.
본 명세서에 기재된 예시적인 마이크로 용량 변형이 높이-조정가능한 페데스탈을 지칭하나, 다수의 실시예에서, 샤워헤드 (1306) 의 위치가 마이크로 용량 (1307) 의 부피를 변화시키도록 페데스탈 (1308) 에 연관되어 조정될 수도 있다는 점이 이해될 것이다. 나아가, 페데스탈 (1308) 및/또는 샤워헤드 (1306) 의 수직 위치가 본 개시의 범위 내의 임의의 적합한 매커니즘에 의해 변형될 수도 있다는 점이 이해될 것이다. 다수의 실시예에서, 페데스탈 (1308) 은 기판 (1312) 의 방향을 회전하기 위한 회전축을 포함할 수도 있다. 다수의 실시예에서, 하나 이상의 이 예시적인 조정들이 하나 이상의 적합한 컴퓨터 제어기에 의해 프로그램적으로 수행될 수도 있다는 점이 이해될 것이다.
도 6에 도시된 실시예로 돌아오면, 샤워헤드 (1306) 및 페데스탈 (1308) 은 플라즈마를 작동시키기 위한 매칭 네트워크 (1316; matching network) 및 RF 전력 공급기 (1314) 와 전기적으로 통신한다. 다수의 실시예에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 파워 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급기 (1314) 및 매칭 네트워크 (1316) 는 라디칼 종의 원하는 구성을 갖는 플라즈마를 형성하도록 임의의 적합한 전력에서 동작될 수도 있다. 적합한 전력의 실시예는 위에 포함된다. 마찬가지로, RF 전력 공급기 (1314) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 다수의 실시예에서, RF 전력 공급기 (1314) 는 각각으로부터 독립된 고- 및 저-주파수 RF 전력 소스를 제어하도록 구성될 수도 있다. 예시적인 저-주파수 RF 주파수는 제한되지 않으나, 50 kHz 내지 500 kHz 사이의 주파수를 포함할 수도 있다. 예시적인 고-주파수 RF 주파수는 제한되지 않으나 1.8 MHz 내지 2.45 GHz 사이의 주파수를 포함할 수도 있다. 임의의 적합한 파라미터가 표면 반응을 위한 플라즈마 에너지를 제공하도록 분리되어 또는 계속적으로 조절될 수도 있다는 점이 이해될 것이다. 하나의 비-제한적인 실시예에서, 플라즈마 전력은 계속적으로 동력이 공급된 플라즈마와 연관된 기판 표면과 함께 이온 폭격을 줄이도록 간헐적으로 퍼싱될 수도 있다.
다수의 실시예에서, 플라즈마는 하나 이상의 플라즈마 모니터에 의해 인-시츄로 모니터링될 수도 있다. 하나의 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서 (예컨대 VI 프로브) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 광 발광 분광법 센서 (OES; optical emission spectroscopy sensor) 에 의해 측정될 수도 있다. 다수의 실시예에서, 하나 이상의 플라즈마 파라미터는 이러한 인-시츄 플라즈마 모니터로 부터의 측정치에 기초하여 프로그램적으로 조절될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적 제어를 제공하기 위한 피드벡 루프에서 사용될 수도 있다. 다수의 실시예에서 다른 모니터가 플라즈마 및 다른 프로세스 특성을 모니터링하도록 사용될 수도 있다는 점이 이해될 것이다. 이러한 모니터는 제한되지 않으나, 적외선 (IR) 모니터, 음향 모니터, 및 압력 변화기를 포함할 수도 있다.
다수의 실시예에서, 플라즈마는 입/출력 제어 (IOC; input/output control) 시퀀싱 명령을 통해 제어될 수도 있다. 일 실시예에서, 플라즈마 활성화 상태에 대한 플라즈마 조건을 세팅하기 위한 명령은 CFD 프로세스 레시피의 대응하는 플라즈마 활성화 레시피 상태에 포함될 수도 있다. 다수의 경우에서, 프로세스 레시피 상태는, CFD 프로세스 상태에 대한 모든 명령이 그 프로세스 상태와 동시에 실행되도록, 순차적으로 배열될 수도 있다. 다수의 실시예에서, 하나 이상의 플라즈마 파라미터를 세팅하기 위한 명령은 플라즈마 프로세스 상태를 앞서는 레시피 상태에 포함될 수도 있다. 예를 들어, 제1 레시피 상태는 비활성 및/또는 반응물 가스의 유속을 세팅하기 위한 명령, 전력 세트 포인트로 플라즈마 발생기를 세팅하기 위한 명령, 및 제1 레시피 상태를 위한 시간 지연 명령을 포함할 수도 있다. 이어지는 제2 레시피 상태는 플라즈마 발생기를 인에이블하기 위한 명령, 및 제2 레시피 상태에 대한 시간 지연 명령을 포함할 수도 있다. 제3 레시피 상태는 플라즈마 발생기를 비활성시키기 위한 명령 및 제3 레시피 상태에 대한 시간 지연 명령을 포함할 수도 있다. 이 레시피 상태들은 나아가 더 나뉘거나, 및/또는 본 개시의 범위 내에서 임의의 적합한 방식으로 통합될 수도 있다.
기존의 증착 프로세스에서, 플라즈마는 기간의 마지막 약 몇 초 이상 동안 타격한다. 본 명세서에 기재된 특정한 구현에서, 보다 짧은 플라즈마 타격이 CFD 싸이클 동안 적용될 수도 있다. 이들은 대략 50 ms 내지 1 s 상에 있을 수 있으며, 0.25 s 를 특정한 실시예로 하여 있을 수 있다. 이러한 짧은 RF 플라즈마 타격은 플라즈마의 빠른 안정화를 요구한다. 이를 달성하기 위해, 플라즈마 발생기는, 주파수가 플로팅하도록 허용된 동안, 임피던스 매치가 특정 전압에 프리셋되도록 구성될 수도 있다. 기존의 고-주파수 플라즈마는 대략 13.56 MHz 에서의 RF 주파수에서 생성된다. 본 명세서에 개시된 다양한 실시예에서, 주파수는 이 표준 값과는 상이한 값에 플로팅되도록 허용된다. 미리 결정된 전압에 임피던스 매치가 고정되는 동안 주파수를 플로팅하는 것을 허용함으로써, 플라즈마는 보다 빠르게 안정화될 수 있으며, CFD 싸이클과 연관된 매우 짧은 플라즈마 타격을 사용하는 경우 그 결과가 매우 중요할 수도 있다.
다수의 실시예에서, 페데스탈 (1308) 은 가열기 (1310) 을 통해 온도 제어될 수도 있다. 나아가, 다수의 실시예에서, CFD 프로세스 스테이션 (1300) 에 대한 압력 제어는 나비꼴 밸브 (1318) 에 의해 제공될 수도 있다. 도 6의 실시예에 도시된 바와 같이, 나비꼴 밸브 (1318) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 조절한다. 그러나, 다수의 실시예에서, 프로세스 스테이션 (1300) 의 압력 제어는 또한 CFD 프로세스 스테이션 (1300) 에 도입된 하나 이상의 가스의 유속을 다르게 함으로써 조절될 수도 있다.
전술한 바와 같이, 하나 이상의 프로세스 스테이션은 복수-스테이션 프로세싱 툴에 포함될 수도 있다. 도 7은, 인바운드 로드 록 (inbound load lock) (2402) 및 아웃바운드 로드 록 (outbound load lock) (2404) 을 갖는 다중-스테이션 프로세싱 툴 (2400) 의 실시예의 개략도를 도시하며, 인바운드 로드 록 (2402), 아웃바운드 로드 록 (2404) 각각 또는 모두는 떨어진 (remote) 플라즈마 소스를 포함할 수도 있다. 대기압에서 로봇 (2406) 은 기압 포트 (2410) 를 통해 인바운드 로드 록 (2402) 으로 포드 (pod) (2408) 를 통해 로딩된 카세트로부터 웨이퍼를 이동시키도록 구성된다. 웨이퍼는 로봇 (2406) 에 의해 인바운드 로드 록 (2402) 내의 페데스탈 (2412) 상에 위치되며, 기압 포트 (2410) 는 닫히고, 로드 록은 펌프다운된다 (pumped down). 인바운드 로드 록 (2402) 은 떨어진 플라즈마 소스를 포함하며, 웨이퍼는 프로세싱 챔버 (2414) 로 도입되기 이전에 로드 록 내의 떨어진 플라즈마 처리에 노출될 수도 있다. 나아가, 또한 웨이퍼는 예를 들어 습기와 흡착된 가스를 제거하도록 인바운드 로드 록 (2402) 내에서 가열될 수도 있다. 다음으로, 프로세스 챔버 (2414) 로의 챔버 이송 포트 (2416) 가 개방되며, 또 다른 로봇 (미도시) 은 프로세싱을 위한 반응기에 도시된 제1 스테이션의 페데스탈 상의 반응기로 웨이퍼를 위치시킨다. 도 4에 도시된 실시예는 로드 록을 포함하나, 다수의 실시예에서 프로세스 스테이션으로의 웨이퍼의 직접 입력이 제공될 수도 있다는 점이 이해될 것이다.
도시된 프로세싱 챔버 (2414) 는 도 7에 도시된 실시예에서 1 내지 4로 넘버링된 4개의 프로세스 스테이션을 포함한다. 스테이션 각각은 (스테이션 1에 대한 2418 에서 도시된) 가열된 페데스탈, 가스 라인 투입구를 가진다. 다수의 실시예에서 프로세스 스테이션 각각은 상이한 또는 복수의 목적을 가질 수도 있다. 예를 들어, 다수의 실시예에서, 프로세스 스테이션은 CFD와 PECVD 프로세스 모드 사이에서 교체가능할 수도 있다. 추가적으로 또는 선택적으로, 다수의 실시예에서 프로세싱 챔버 (2414) 는 하나 이상의 매칭된 쌍의 CFD 및 PECVD 프로세스 스테이션을 포함할 수도 있다. 도시된 프로세싱 챔버 (2414) 가 4개의 스테이션을 포함하나, 본 개시에 따른 프로세싱 챔버는 임의의 숫자의 스테이션을 가질 수도 있다는 점이 이해될 것이다. 예를 들어, 다수의 실시예에서 프로세싱 챔버는 5개 이상의 스테이션을 가질 수도 있으며, 다른 실시예에서 프로세싱 챔버는 3개 이하의 스테이션을 가질 수도 있다.
도 7은 또한 프로세싱 챔버 (2414) 내의 웨이퍼를 이송시키기 위한 웨이퍼 조작 시스템 (2490) 의 실시예를 도시한다. 다수의 실시예에서, 웨이퍼 조작 시스템 (2490) 은 프로세스 스테이션과 로드 록 사이 및 다양한 프로세스 스테이션 사이의 웨이퍼를 이송할 수도 있다. 임의의 적합한 웨이퍼 조작 시스템이 채용될 수도 있다는 점이 이해될 것이다. 비-제한적인 실시예는 웨이퍼 카루셀 (carousel) 및 웨이퍼 조작 로봇을 포함한다. 도 7은 또한 프로세스 조건 및 프로세스 툴 (2400) 의 하드웨어 상태를 제어하도록 채용된 시스템 제어기 (2450) 의 실시예를 도시한다. 시스템 제어기 (2450) 는 하나 이상의 메모리 디바이스 (2456), 하나 이상의 대용량 저장 디바이스 (2454) 및 하나 이상의 프로세서 (2452) 를 포함할 수도 있다. 프로세서 (2452) 는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입/출력 연결, 스테퍼 모터 제어기 보드 등을 포함할 수도 있다.
다수의 실시예에서, 시스템 제어기 (2450) 는 프로세스 툴 (2400) 의 모든 활동을 제어한다. 시스템 제어기 (2450) 는 대용량 저장 디바이스 (2454) 에 저장된, 메모리 디바이스 (2456) 에 로딩된, 그리고 프로세서 (2452) 상에서 실행된 시스템 제어 소프트웨어 (2458) 를 실행한다. 시스템 제어 소프트웨어 (2458) 는 타이밍, 가스의 혼합, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타겟 전력 레벨, RF 전력 레벨, RF 노출 시간, 기판 페데스탈, 척 (chuck) 및/또는 서셉터 (susceptor) 위치 및 프로세스 툴 (2400) 에 의해 수행된 특정 프로세스의 다른 파라미터를 제어하기 위한 명령들을 포함할 수도 있다. 시스템 제어 소프트웨어 (2458) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다수의 프로세스 툴 컴포넌트 서브루틴 (subroutine) 또는 제어 객체는 다양한 프로세스 툴 프로세스를 실행하는데 필요한 프로세스 툴 컴포넌트의 동작을 제어하도록 기록될 수도 있다. 시스템 제어 소프트웨어 (2458) 는 임의의 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
다수의 실시형태에서, 시스템 제어 소프트웨어 (2468) 는 전술한 다양한 파라미터를 제어하기 위한 입/출력 제어 (IOC) 시퀀싱 명령을 포함할 수도 있다. 예를 들어, CFD 프로세스의 상태 각각은 시스템 제어기 (2450) 에 의해 실행하기 위한 하나 이상의 명령을 포함할 수도 있다. CFD 프로세스 상태에 대한 프로세스 조건을 세팅하기 위한 명령은 대응하는 CFDV 레시피 상태에 포함될 수도 있다. 다수의 실시형태에서, CFD 레시피 상태는, CFD 프로세스 상태에 대한 모든 명령이 그 프로세스 상태와 동시에 실행되도록 순차적으로 배열될 수도 있다.
시스템 제어기 (2450) 와 연관된 대용량 저장 디바이스 (2454) 및/또는 메모리 디바이스 (2456) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램은 다수의 실시형태에 채용될 수도 있다. 이 목적을 위한 프로그램의 실시예 또는 프로그램의 섹션은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 가열기 제어 프로그램 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 기판 및 프로세스 툴 (2400) 의 다른 부분들 사이의 공간을 제어하고, 페데스탈 (2418) 상에 기판을 로딩하도록 사용된, 프로세스 툴 컴포넌트를 위한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 프로세스 스테이션에서 압력을 안정화 하기 위해 증착 이전에 하나 이상의 프로세스 스테이션으로 가스를 선택적으로 흐르게 하기 위한, 및 가스 구성과 유속을 제어하기 위한 코드를 포함할 수도 있다. 다수의 실시예에서, 제어기는 제1 반응물 조건 세트에서 보호층을 증착하기 위한 명령들 및 제2 반응물 조건 세트에서 전기적으로 바람직한 층을 증착하기 위한 명령들을 포함한다. 제2 반응물 조건 세트는 더 높은 비율의 강 산화제 : 약 산화제를 포함한다.
압력 제어 프로그램은 예를 들어 프로세스 스테이션의 배기 시스템의 조절 밸브, 프로세스 스테이션으로의 가스 흐름 등을 조절함으로써 프로세스 스테이션 내의 압력을 조절하기 위한 코드를 포함할 수도 있다. 다수의 실시예에서, 제어기는 제1 압력에서 보호층을 증착하고, 제2 압력에서 보호층 위에 전기적으로 바람직한 층을 증착하기 위한 명령들을 포함하며, 여기서 제2 압력은 제1 압력 보다 더 높다.
가열기 제어 프로그램은 기판을 가열하도록 사용된 가열부로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 선택적으로, 가열기 제어 프로그램은 기판에 열 전달 가스 (예컨대 헬륨) 의 전달을 제어할 수도 있다. 특정 구현에서, 제어기는 제1 온도에서 보호층을 증착하고, 제2 온도에서 보호층 위에 전기적으로 바람직한 층을 증착하기 위한 명령들을 포함하고, 여기서 제2 온도는 제1 온도 보다 더 높다.
플라즈마 제어 프로그램은 본 명세서에서의 실시예에 따른 하나 이상의 프로세스 스테이션 에서의 RF 전력 레벨 및 노출 시간을 세팅하기 위한 코드를 포함할 수도 있다. 다수의 실시예에서, 제어기는 제1 RF 전력 레벨 및 RF 기간에서 보호층을 증착하고, 제2 RF 전력 레벨 및 RF 기간에서 보호층 위에 전기적으로 바람직한 층을 증착하기 위한 명령들을 포함한다. 제2 RF 전력 레벨 및/또는 제2 RF 기간은 제1 RF 전력 레벨/기간 보다 더 높고/더 길 수도 있다.
다수의 실시형태에서 시스템 제어기 (2450) 와 연관된 유저 인터페이스가 있을 수도 있다. 유저 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건의 그래픽 소프트웨어 디스플레이 및 포인팅 디바이스, 키보드, 터치스크린, 마이크 등과 같은 유저 입력 디바이스를 포함할 수도 있다.
다수의 실시형태에서, 시스템 제어기 (2450) 에 의해 조절된 파라미터는 프로세스 조건과 연관될 수도 있다. 비-제한적인 실시예는 유속, 온도, 압력, (RF 바이어스 전력 레벨 및 노출 시간과 같은) 플라즈마 조건 등을 포함한다. 이 파라미터는 유저 인터페이스를 사용하여 입력될 수도 있는 레시피의 형태로 유저에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호는 다양한 프로세스 툴 센서로부터 시스템 제어기 (2450) 의 아날로그 및/또는 디지털 입력 연결에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호는 프로세스 툴 (2400) 의 아날로그 및 디지털 출력 연경로 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서의 비-제한적인 실시예는 질량 흐름 제어기, (압력계와 같은) 압력 센서, 열전대 등을 포함한다. 대략적으로 프로그래밍된 피드백 및 제어 알고리즘은 프로세스 조건을 유지하도록 이 센서들로부터의 데이터와 함께 사용될 수도 있다.
시스템 제어기 (2450) 는 전술된 증착 프로세스를 구현하기 위한 프로그램 명령을 제공할 수도 있다. 프로그램 명령은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도등과 같은 다양한 프로세스 파라미터를 제어할 수도 있다. 명령은 본 명세서에 기재된 다양한 실시예에 따라 필름 스택의 인-시츄 증착을 동작하기 위한 파라미터를 제어할 수도 있다.
시스템 제어기는, 장치가 본 발명과 연관된 방법을 수행할 수 있도록, 명령을 실행하도록 구성된 하나 이상의 프로세서 및 하나 이상의 메모리 디바이스를 포함할 것이다. 본 발명에 따른 프로세스 동작을 제어하기 위한 명령을 포함하는 기계-판독가능 매체, 비-일시적인 매체는 시스템 제어기에 커플링될 수도 있다.

Claims (43)

  1. 산화-민감성 및/또는 질화-민감성 기판의 노출된 표면 상에 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법으로서,
    (a) 상기 산화-민감성 및/또는 질화-민감성 기판을 실리콘-함유 반응물의 증기 상태 흐름에 주기적으로 노출시키는 단계;
    (b) 상기 산화-민감성 및/또는 질화 민감성 기판을 반응 챔버에서 산화 반응물 또는 질소-함유 반응물의 증기 상태 흐름에 노출시키는 단계; 및
    (c) 상기 실리콘-함유 반응물의 상기 증기 상태 흐름이 중단된 경우, 고주파수의 라디오 주파수 (radio frequency) 전력을 사용하여 상기 반응 챔버 내에서 플라즈마를 주기적으로 점화시키는 단계를 포함하고,
    상기 방법은, 상기 실리콘 산화 재료 또는 실리콘 질화 재료 상에 제2 실리콘 산화 재료 또는 제2 실리콘 질화 재료를 증착하는 단계를 더 포함하고,
    상기 제2 실리콘 산화 재료 또는 제2 실리콘 질화 재료를 증착하는 단계는,
    (d) 상기 산화-민감성 및/또는 질화-민감성 기판을 상기 반응 챔버에서 제2 실리콘-함유 반응물의 제2 증기 상태 흐름에 주기적으로 노출시키는 단계;
    (e) 상기 산화-민감성 및/또는 질화-민감성 기판을 제2 산화제 반응물의 제2 증기 상태 흐름 또는 제2 질소-함유 반응물의 제2 증기 상태 흐름에 노출시키는 단계; 및
    (f) 상기 제2 실리콘-함유 반응물의 상기 증기 상태 흐름이 중단된 경우, 고주파수의 라디오 주파수 전력을 사용하여 상기 반응 챔버 내에서 상기 플라즈마를 주기적으로 점화시키는 단계에 의해 상기 제2 실리콘 산화 재료 또는 제2 실리콘 질화 재료를 증착하고, 상기 고주파수의 라디오 주파수 전력은 동작 (c) 보다 동작 (f) 동안 더 높으며, 상기 플라즈마는 2 개의 전극들 사이에서 형성되고, 상기 산화-민감성 및/또는 질화-민감성 기판은 상기 2 개의 전극들 사이에 위치되는, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  2. 제1항에 있어서,
    상기 실리콘 산화 재료 또는 실리콘 질화 재료의 두께는 10 내지 50 옹스트롬인, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  3. 제1항에 있어서,
    상기 방법은 25℃ 내지 450℃ 에서 수행되는, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  4. 제1항에 있어서,
    상기 방법은 50℃ 내지 200℃ 에서 수행되는, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  5. 제1항에 있어서,
    상기 산화 반응물은 CO, CO2, NO, NO2, N2O, 술폭시드, 산소-함유 탄화수소(CXHYOZ) 및/또는 H2O로 구성된 그룹으로부터 선택된 50 내지 100% 의 약 (weak) 산화제 및 0 내지 50% 의 O2를 포함하는, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 플라즈마는 50 내지 125 기판 당 와트의 고주파수 라디오 주파수 전력을 사용하여 점화된, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  7. 삭제
  8. 제1항에 있어서,
    상기 동작 (d)-(f) 는 50℃ 내지 400℃ 에서 수행되는, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  9. 제1항에 있어서,
    상기 동작 (d)-(f) 는 300℃ 내지 400℃ 에서 수행되는, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  10. 제1항에 있어서,
    상기 동작 (a)-(f) 는 등온선상으로 (isothermally) 수행되는, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  11. 제1항에 있어서,
    상기 실리콘 산화 재료 및 상기 제2 실리콘 산화 재료, 또는 상기 실리콘 질화 재료 및 상기 제2 실리콘 질화 재료는 이중층의 각 층들이고, 상기 실리콘 산화물 재료층 또는 실리콘 질화 재료층의 두께는 상기 이중층의 전체 두께의 1 내지 20%인, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  12. 제1항에 있어서,
    상기 동작 (a)-(c) 로부터 상기 동작 (d)-(f) 로 천이 (transitioning) 시키는 단계는, 상기 제2 실리콘-함유 반응물의 상기 제2 증기 상태 흐름이 상기 실리콘-함유 반응물의 상기 증기 상태 흐름과 동일해지도록, 상기 실리콘-함유 반응물의 상기 증기 상태 흐름을 유지하는 단계를 포함하는, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  13. 제1항에 있어서,
    상기 동작 (a)-(c) 로부터 상기 동작 (d)-(f) 로 천이 (transitioning) 시키는 단계는, 상기 제2 산화제 반응물 또는 제2 질소-함유 반응물의 상기 제2 증기 상태 흐름이 상기 산화제 반응물 또는 질소-함유 반응물의 상기 증기 상태 흐름과는 상이하도록, 상기 산화제 반응물 또는 질소-함유 반응물의 상기 증기 상태 흐름을 변화시키는 단계를 포함하는, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  14. 제13항에 있어서,
    상기 제2 산화제 반응물의 상기 제2 증기 상태 흐름은 상기 산화제 반응물의 상기 증기 상태 흐름 보다 더 높은 퍼센트의 O2 를 포함하는, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  15. 제1항에 있어서,
    상기 산화제 반응물 또는 질소-함유 반응물의 상기 증기 상태 흐름, 및/또는 상기 제2 산화제 반응물의 상기 제2 증기 상태 흐름 또는 상기 제2 질소-함유 반응물의 제2 증기 상태 흐름은 상기 반응 챔버 내로 펄싱 (pulsed) 되는, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  16. 제1항에 있어서,
    상기 산화제 반응물, 질소-함유 반응물, 제2 산화제 반응물 및 제2 질소-함유 반응물의 하나 이상의 상기 증기 상태 흐름은 계속적인, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  17. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 산화-민감성 또는 질화-민감성 기판의 노출된 표면은 실리콘 (Si), 코발트 (Co), 게르마늄-안티모니-텔루륨 (GST; germanium-antimony-tellerium), 실리콘-게르마늄 (SiGe), 실리콘 나이트라이드 (SiN), 및 실리콘 카바이드 (SiC) 로 구성된 그룹으로부터 선택된, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  18. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 산화-민감성 또는 질화-민감성 기판의 2 옹스트롬 이하가 산화된, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  19. 기판의 노출된 표면 상에 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법으로서,
    (a) 상기 기판을 반응 챔버에서 실리콘-함유 반응물의 증기 상태 흐름에 주기적으로 노출시키는 단계로서, 상기 기판의 온도는 5℃ 내지 200℃ 에서 유지되는, 상기 노출시키는 단계;
    (b) 상기 기판을 산화 반응물 또는 질소-함유 반응물의 증기 상태 흐름에 노출시키는 단계; 및
    (c) 상기 실리콘-함유 반응물의 상기 증기 상태 흐름이 중단된 경우, 라디오 주파수 전력을 사용하여 상기 반응 챔버 내에서 플라즈마를 주기적으로 점화하는 단계를 포함하고,
    상기 방법은, 상기 실리콘 산화 재료 또는 실리콘 질화 재료 상에 제2 실리콘 산화 재료 또는 제2 실리콘 질화 재료를 증착하는 단계를 더 포함하고,
    상기 제2 실리콘 산화 재료 또는 제2 실리콘 질화 재료를 증착하는 단계는,
    (d) 상기 기판을 상기 반응 챔버에서 제2 실리콘-함유 반응물의 제2 증기 상태 흐름에 주기적으로 노출시키는 단계로서, 상기 기판의 온도는 상기 동작 (a)-(c)에서 보다 적어도 50℃ 더 높은, 상기 노출시키는 단계;
    (e) 상기 기판을 제2 산화제 반응물 또는 제2 질소-함유 반응물의 제2 증기 상태 흐름에 노출시키는 단계; 및
    (f) 상기 제2 실리콘-함유 반응물의 상기 제2 증기 상태 흐름이 중단된 경우, 동작 (c)에서 사용된 상기 라디오 주파수 전력보다 높은 라디오 주파수 전력을 사용하여 상기 반응 챔버 내에서 상기 플라즈마를 주기적으로 점화시키는 단계에 의해 상기 제2 실리콘 산화 재료 또는 제2 실리콘 질화 재료를 증착하고,
    상기 플라즈마는 2 개의 전극들 사이에서 형성되고, 상기 기판은 상기 2 개의 전극들 사이에 위치되는, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  20. 제19항에 있어서,
    상기 실리콘 산화 재료 또는 실리콘 질화 재료의 두께는 10 내지 50 옹스트롬인, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  21. 제19항에 있어서,
    상기 플라즈마는 12.5 내지 125 기판 당 와트의 고주파의 라디오 주파수 전력을 사용하여 점화된, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  22. 제19항에 있어서,
    상기 플라즈마는 50 내지 125 기판 당 와트의 고주파의 라디오 주파수 전력을 사용하여 점화된, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  23. 제19항에 있어서,
    상기 산화 반응물은 CO, CO2, NO, NO2, N2O, 술폭시드, 산소-함유 탄화수소(CXHYOZ) 및/또는 H2O로 구성된 그룹으로부터 선택된 50 내지 100% 의 약 산화제 및 0 내지 50% 의 O2를 포함하는, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  24. 제19항 내지 제23항 중 어느 한 항에 있어서,
    냉각된 페데스탈 (pedestal), 냉각된 샤워헤드, 및 냉각된 챔버 중 적어도 하나를 포함하는 냉각 하드웨어는 상기 기판에 대한 손상을 최소화하는데 사용된, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  25. 삭제
  26. 제19항에 있어서,
    상기 동작 (d)-(f) 는 300℃ 내지 400℃ 에서 수행되는, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  27. 제19항에 있어서,
    상기 동작 (f) 에서의 상기 플라즈마는 250 내지 1500 기판 당 와트의 고주파의 라디오 주파수 전력을 사용하여 점화된, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  28. 제19항에 있어서,
    상기 실리콘 산화 재료 또는 실리콘 질화 재료 및 상기 제2 실리콘 산화 재료, 또는 제2 실리콘 질화 재료는 이중층의 각 층들이고, 상기 실리콘 산화 재료 또는 실리콘 질화 재료는 상기 이중층의 전체 두께의 1 내지 20%인, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  29. 제19항 내지 제23항 중 어느 한 항에 있어서,
    상기 기판의 상기 노출된 표면은 실리콘 (Si), 코발트 (Co), 게르마늄-안티모니-텔루륨 (GST; germanium-antimony-tellerium), 실리콘-게르마늄 (SiGe), 실리콘 나이트라이드 (SiN), 및 실리콘 카바이드 (SiC) 로 구성된 그룹으로부터 선택된, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  30. 제19항 내지 제23항 중 어느 한 항에 있어서,
    상기 기판의 2 옹스트롬 이하가 산화된, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  31. 제1항에 있어서,
    상기 동작 (c) 동안의 상기 플라즈마는 12.5 내지 125 기판 당 와트의 고주파수의 라디오 주파수 전력을 사용하여 점화된, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  32. 제31항에 있어서,
    상기 동작 (f) 동안의 상기 플라즈마는 250 내지 1200 기판 당 와트의 고주파수의 라디오 주파수 전력을 사용하여 점화된, 실리콘 산화 재료 또는 실리콘 질화 재료를 형성하는 방법.
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
KR1020130135907A 2012-11-08 2013-11-08 민감성 기판 상에 막을 증착하는 방법 KR102183336B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261724217P 2012-11-08 2012-11-08
US61/724,217 2012-11-08

Publications (2)

Publication Number Publication Date
KR20140059751A KR20140059751A (ko) 2014-05-16
KR102183336B1 true KR102183336B1 (ko) 2020-11-27

Family

ID=50728302

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130135907A KR102183336B1 (ko) 2012-11-08 2013-11-08 민감성 기판 상에 막을 증착하는 방법

Country Status (5)

Country Link
US (4) US9287113B2 (ko)
JP (1) JP6538300B2 (ko)
KR (1) KR102183336B1 (ko)
SG (1) SG2013083654A (ko)
TW (2) TWI612173B (ko)

Families Citing this family (279)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) * 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
JP6154215B2 (ja) * 2013-06-28 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN105336661B (zh) * 2014-05-29 2019-01-22 中芯国际集成电路制造(北京)有限公司 半导体结构的形成方法
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9520295B2 (en) * 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US9570289B2 (en) 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
JP6456764B2 (ja) * 2015-04-28 2019-01-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6479560B2 (ja) * 2015-05-01 2019-03-06 東京エレクトロン株式会社 成膜装置
WO2016178991A1 (en) * 2015-05-02 2016-11-10 Applied Materials, Inc. Methods for depositing low k and low wet etch rate dielectric thin films
JP6529357B2 (ja) * 2015-06-23 2019-06-12 東京エレクトロン株式会社 エッチング方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10381655B2 (en) * 2015-07-13 2019-08-13 Sonata Scientific LLC Surface modified SOFC cathode particles and methods of making same
US9385318B1 (en) * 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
US20170040158A1 (en) * 2015-08-06 2017-02-09 Applied Materials, Inc. Low temperature ald on semiconductor and metallic surfaces
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR101942819B1 (ko) * 2016-02-05 2019-01-30 연세대학교 산학협력단 박막 형성 방법
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9929006B2 (en) 2016-07-20 2018-03-27 Micron Technology, Inc. Silicon chalcogenate precursors, methods of forming the silicon chalcogenate precursors, and related methods of forming silicon nitride and semiconductor structures
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9865456B1 (en) 2016-08-12 2018-01-09 Micron Technology, Inc. Methods of forming silicon nitride by atomic layer deposition and methods of forming semiconductor structures
WO2018038892A1 (en) * 2016-08-26 2018-03-01 Applied Materials, Inc. Self-healing semiconductor wafer processing
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US20180076026A1 (en) 2016-09-14 2018-03-15 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
US10049911B2 (en) * 2016-09-16 2018-08-14 Lam Research Corporation Temporally pulsed and kinetically modulated CVD dielectrics for gapfill applications
US9824884B1 (en) * 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10049882B1 (en) * 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10546748B2 (en) 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US10242866B2 (en) * 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
JP6754493B2 (ja) * 2017-04-19 2020-09-09 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US20180363133A1 (en) * 2017-06-16 2018-12-20 Applied Materials, Inc. Method and Apparatus for Void Free SiN Gapfill
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10822699B2 (en) * 2017-12-29 2020-11-03 Varian Semiconductor Equipment Associates, Inc. Techniques for controlling precursors in chemical deposition processes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102630349B1 (ko) 2018-01-30 2024-01-29 램 리써치 코포레이션 패터닝에서 주석 옥사이드 맨드렐들 (mandrels)
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11521849B2 (en) * 2018-07-20 2022-12-06 Applied Materials, Inc. In-situ deposition process
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP2020066764A (ja) * 2018-10-23 2020-04-30 東京エレクトロン株式会社 成膜装置および成膜方法
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
WO2020093013A1 (en) * 2018-11-01 2020-05-07 Lam Research Corporation Method for generating high quality plasma for enhanced atomic layer deposition
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10704143B1 (en) * 2019-01-25 2020-07-07 Asm Ip Holding B.V. Oxide film forming method
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
EP3715502B1 (en) 2019-03-29 2024-01-24 Picosun Oy Coating of 3-dimensional substrates
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
JP2022534793A (ja) * 2019-06-07 2022-08-03 ラム リサーチ コーポレーション 原子層堆積時における膜特性の原位置制御
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
JP7320085B2 (ja) 2019-06-27 2023-08-02 ラム リサーチ コーポレーション 交互のエッチングプロセスおよび不動態化プロセス
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
WO2021092197A1 (en) * 2019-11-08 2021-05-14 Lam Research Corporation Plasma-enhanced atomic layer deposition with radio-frequency power ramping
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11276570B2 (en) * 2020-07-22 2022-03-15 Applied Materials, Inc. Multi-layer deposition and treatment of silicon nitride films
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030108674A1 (en) 2001-12-07 2003-06-12 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20030134038A1 (en) 1997-08-11 2003-07-17 Paranjpe Ajit P. Method and apparatus for layer by layer deposition of thin films
US20060165890A1 (en) 2005-01-26 2006-07-27 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US20060281254A1 (en) 2005-06-09 2006-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US20080317972A1 (en) 2007-06-21 2008-12-25 Asm International N.V. Method for depositing thin films by mixed pulsed cvd and ald
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
JP2011187934A (ja) * 2010-02-15 2011-09-22 Tokyo Electron Ltd 成膜方法、成膜装置、および成膜装置の使用方法
US20110256726A1 (en) * 2010-04-15 2011-10-20 Adrien Lavoie Plasma activated conformal film deposition

Family Cites Families (432)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4843472A (ko) 1971-10-04 1973-06-23
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
JPH0293071A (ja) 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JPH0311635A (ja) * 1989-06-08 1991-01-18 Sekiyu Sangyo Katsuseika Center 化合物半導体装置の製造方法
US5094984A (en) 1990-10-12 1992-03-10 Hewlett-Packard Company Suppression of water vapor absorption in glass encapsulation
JPH04364320A (ja) 1991-06-07 1992-12-16 Mitsubishi Electric Corp 脱調検出回路
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
TW201848B (ko) 1991-11-08 1993-03-11 Advanced Micro Devices Inc
DE4136987A1 (de) 1991-11-11 1993-05-13 Leybold Ag Verfahren zur oberflaechenpassivierung von sensoren
JPH05226279A (ja) 1992-02-10 1993-09-03 Toshiba Corp 半導体装置の製造方法
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
JPH06177120A (ja) 1992-10-27 1994-06-24 Sony Corp 層間絶縁膜の形成方法
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
JPH0781271A (ja) * 1993-09-20 1995-03-28 Hitachi Ltd 自動頁めくり制御方式
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
JPH07176084A (ja) * 1993-12-20 1995-07-14 Kuraray Co Ltd 情報記録媒体用ポリカーボネート樹脂および情報記録媒体の製造方法
JPH09102494A (ja) * 1995-10-09 1997-04-15 Toshiba Corp 半導体装置の保護膜およびその形成方法
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5593914A (en) 1996-03-19 1997-01-14 Radiant Technologies, Inc. Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JPH1098032A (ja) 1996-09-20 1998-04-14 Hitachi Ltd 薄膜形成方法及び薄膜形成装置
US5994209A (en) 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US6069058A (en) 1997-05-14 2000-05-30 United Semiconductor Corp. Shallow trench isolation for semiconductor devices
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6100202A (en) 1997-12-08 2000-08-08 Taiwan Semiconductor Manufacturing Company Pre deposition stabilization method for forming a void free isotropically etched anisotropically patterned doped silicate glass layer
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6218293B1 (en) 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
JP3492634B2 (ja) 1999-03-17 2004-02-03 インフィネオン テクノロジース エスシー300 ゲゼルシャフト ミット ベシュレンクテル ハフツング ウント コンパニー コマンディートゲゼルシャフト 半導体ウェーハ上のギャップの充填方法
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
KR100356473B1 (ko) 1999-12-29 2002-10-18 주식회사 하이닉스반도체 반도체 소자의 알루미늄 옥사이드 박막 형성 방법
JP3437832B2 (ja) 2000-03-22 2003-08-18 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2001274404A (ja) 2000-03-24 2001-10-05 Toshiba Corp 薄膜トランジスタおよびその製造方法
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
JP2002009072A (ja) 2000-06-23 2002-01-11 Tokyo Electron Ltd シリコン窒化膜の形成方法及び形成装置
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
EP1292970B1 (en) 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
US20050230047A1 (en) 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US6482726B1 (en) 2000-10-17 2002-11-19 Advanced Micro Devices, Inc. Control trimming of hard mask for sub-100 nanometer transistor gate
JP2002134497A (ja) 2000-10-23 2002-05-10 Sony Corp 半導体装置の製造方法
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
JP3437830B2 (ja) 2000-11-28 2003-08-18 東京エレクトロン株式会社 成膜方法
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP4406178B2 (ja) * 2001-03-28 2010-01-27 株式会社渡辺商行 成膜装置
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6610169B2 (en) 2001-04-21 2003-08-26 Simplus Systems Corporation Semiconductor processing system and method
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
JP4666912B2 (ja) 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
AU2003220088A1 (en) 2002-03-08 2003-09-22 Sundew Technologies, Llc Ald method and apparatus
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US6777308B2 (en) 2002-05-17 2004-08-17 Micron Technology, Inc. Method of improving HDP fill process
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6730164B2 (en) 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6784049B2 (en) 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
WO2004032196A2 (en) 2002-10-03 2004-04-15 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7097886B2 (en) 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US6890656B2 (en) 2002-12-20 2005-05-10 General Electric Company High rate deposition of titanium dioxide
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
CN100567564C (zh) 2002-12-20 2009-12-09 应用材料有限公司 形成高质量的低温氮化硅层的方法和设备
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930059B2 (en) 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
JP4965247B2 (ja) 2003-04-23 2012-07-04 アイクストロン・インコーポレーテッド 促進されたaldプロセス
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6949442B2 (en) 2003-05-05 2005-09-27 Infineon Technologies Ag Methods of forming MIM capacitors
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7264849B2 (en) 2003-07-11 2007-09-04 Optisolar, Inc. Roll-vortex plasma chemical vapor deposition method
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
KR100500472B1 (ko) 2003-10-13 2005-07-12 삼성전자주식회사 리세스 게이트 트랜지스터 구조 및 형성방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100545697B1 (ko) 2003-12-29 2006-01-24 주식회사 하이닉스반도체 반도체소자의 트렌치 소자분리 방법
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
US7088003B2 (en) 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
KR100538096B1 (ko) 2004-03-16 2005-12-21 삼성전자주식회사 원자층 증착 방법을 이용하는 커패시터 형성 방법
JP2005310927A (ja) 2004-04-20 2005-11-04 Toshiba Corp 紫外線照射による高品質シリコン窒化膜の成膜方法
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7449345B2 (en) 2004-06-15 2008-11-11 Headway Technologies, Inc. Capping structure for enhancing dR/R of the MTJ device
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US7488690B2 (en) 2004-07-06 2009-02-10 Applied Materials, Inc. Silicon nitride film with stress control
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7449416B2 (en) 2004-09-01 2008-11-11 Axcelis Technologies, Inc. Apparatus and plasma ashing process for increasing photoresist removal rate
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US20060183055A1 (en) 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
CN100554506C (zh) 2005-03-09 2009-10-28 东京毅力科创株式会社 半导体处理用的成膜方法及装置
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
JP4228150B2 (ja) 2005-03-23 2009-02-25 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4752349B2 (ja) 2005-06-23 2011-08-17 大日本印刷株式会社 パターン形成体およびその製造方法
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
KR100734748B1 (ko) 2005-09-08 2007-07-03 주식회사 아이피에스 인시튜 질화물(in-situ nitride) 박막증착방법
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US7524743B2 (en) 2005-10-13 2009-04-28 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
US8034727B2 (en) 2005-10-14 2011-10-11 Nec Corporation Method and apparatus for manufacturing semiconductor devices
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
KR100891779B1 (ko) 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7829159B2 (en) 2005-12-16 2010-11-09 Asm Japan K.K. Method of forming organosilicon oxide film and multilayer resist structure
JP2007180362A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
CN101416293B (zh) 2006-03-31 2011-04-20 应用材料股份有限公司 用于介电膜层的阶梯覆盖与图案加载
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP2007287889A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法
JP2007287890A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法、プラズマcvd装置
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7727413B2 (en) 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
WO2007145513A1 (en) 2006-06-16 2007-12-21 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
CN101517713B (zh) 2006-09-19 2011-02-09 东京毅力科创株式会社 等离子体清洁方法和等离子体cvd方法
JP5258229B2 (ja) 2006-09-28 2013-08-07 東京エレクトロン株式会社 成膜方法および成膜装置
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
WO2008042981A2 (en) 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
US20080087890A1 (en) 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR100816759B1 (ko) 2006-11-09 2008-03-25 삼성전자주식회사 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
DE112008000368T5 (de) 2007-02-12 2009-12-24 Lotus Applied Technology, LLC, Beaverton Herstellung von Verbundmaterialien unter Verwendung von Atomschichtabscheidung
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
KR100805018B1 (ko) 2007-03-23 2008-02-20 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP2008294260A (ja) 2007-05-25 2008-12-04 Sony Corp 半導体装置とその製造方法並びに積層絶縁膜とその形成方法
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
US8119424B2 (en) 2007-09-28 2012-02-21 Everspin Technologies, Inc. Electronic device including a magneto-resistive memory device and a process for forming the electronic device
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
KR101221598B1 (ko) 2007-12-18 2013-01-14 삼성전자주식회사 유전막 패턴 형성 방법 및 이를 이용한 비휘발성 메모리소자 제조방법.
KR20090067576A (ko) 2007-12-21 2009-06-25 삼성전자주식회사 트렌치의 매립 방법 및 이를 이용한 소자 분리막 구조물의형성 방법
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
TWI420722B (zh) 2008-01-30 2013-12-21 Osram Opto Semiconductors Gmbh 具有封裝單元之裝置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
ES2335638B1 (es) 2008-08-01 2011-02-09 Cosentino, S.A. Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar.
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8357617B2 (en) 2008-08-22 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a metal gate of semiconductor device
US20100051578A1 (en) 2008-09-04 2010-03-04 Shuo-Che Chang Method for fabricating an integrated circuit
JP2010103484A (ja) 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
US8303780B2 (en) 2008-09-30 2012-11-06 Tdk Corporation Method of forming mask for dry etching and manufacturing method of magnetic head using the same method
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
US8580993B2 (en) 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
CN101736326B (zh) 2008-11-26 2011-08-10 中微半导体设备(上海)有限公司 电容耦合型等离子体处理反应器
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
GB0823565D0 (en) 2008-12-24 2009-01-28 Oxford Instr Plasma Technology Signal generating system
JP5293168B2 (ja) 2008-12-25 2013-09-18 富士通株式会社 レジスト組成物及びそれを用いた半導体装置の製造方法
JP2010183069A (ja) * 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8142862B2 (en) 2009-01-21 2012-03-27 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP2010177652A (ja) 2009-02-02 2010-08-12 Toshiba Corp 半導体装置の製造方法
JP5298938B2 (ja) 2009-02-24 2013-09-25 住友電気工業株式会社 半導体素子の製造方法
JP4792097B2 (ja) 2009-03-25 2011-10-12 株式会社東芝 不揮発性記憶装置及びその製造方法
US8197915B2 (en) * 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
JP2010251654A (ja) 2009-04-20 2010-11-04 Elpida Memory Inc 成膜方法および半導体装置の製造方法
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
JP2011023576A (ja) 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2011023655A (ja) * 2009-07-17 2011-02-03 Shimadzu Corp 窒化シリコン薄膜成膜方法および窒化シリコン薄膜成膜装置
US8169024B2 (en) 2009-08-18 2012-05-01 International Business Machines Corporation Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
KR101680899B1 (ko) 2009-09-02 2016-11-29 소니 주식회사 고체 촬상 장치 및 그 제조 방법
KR101732187B1 (ko) 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
US8072800B2 (en) 2009-09-15 2011-12-06 Grandis Inc. Magnetic element having perpendicular anisotropy with enhanced efficiency
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8076241B2 (en) 2009-09-30 2011-12-13 Tokyo Electron Limited Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
WO2011058947A1 (ja) 2009-11-11 2011-05-19 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
US8691675B2 (en) 2009-11-25 2014-04-08 International Business Machines Corporation Vapor phase deposition processes for doping silicon
WO2011072143A2 (en) 2009-12-09 2011-06-16 Novellus Systems, Inc. Novel gap fill integration
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US8662053B2 (en) 2009-12-22 2014-03-04 Cummins Inc. Pre-combustion device for an internal combustion engine
US20110151142A1 (en) 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
KR101226876B1 (ko) 2010-04-01 2013-01-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 아미노-금속 및 할로겐화 금속 전구체의 조합을 사용한 금속 질화물 함유 필름 퇴적
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
EP4084093B1 (en) 2010-05-21 2024-02-21 ASM International N.V. Solar cell, and method of manufacturing the same
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
WO2012012381A1 (en) 2010-07-22 2012-01-26 Synos Technology, Inc. Treating surface of substrate using inert gas plasma in atomic layer deposition
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US20120064682A1 (en) 2010-09-14 2012-03-15 Jang Kyung-Tae Methods of Manufacturing Three-Dimensional Semiconductor Memory Devices
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR101815527B1 (ko) 2010-10-07 2018-01-05 삼성전자주식회사 반도체 소자 및 그 제조 방법
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
EP2638321B1 (en) 2010-11-10 2019-05-08 Nanosys, Inc. Quantum dot films, lighting devices, and lighting methods
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP2012160671A (ja) 2011-02-02 2012-08-23 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
JP5661523B2 (ja) 2011-03-18 2015-01-28 東京エレクトロン株式会社 成膜方法及び成膜装置
US20120258261A1 (en) 2011-04-11 2012-10-11 Novellus Systems, Inc. Increasing etch selectivity of carbon films with lower absorption co-efficient and stress
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US20140120270A1 (en) 2011-04-25 2014-05-01 James M. Tour Direct growth of graphene films on non-catalyst surfaces
US9006802B2 (en) * 2011-08-18 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device manufacturing methods and methods of forming insulating material layers
JP2013058521A (ja) 2011-09-07 2013-03-28 Toshiba Corp 記憶装置及びその製造方法
JP5551129B2 (ja) 2011-09-07 2014-07-16 株式会社東芝 記憶装置
WO2013039881A2 (en) 2011-09-13 2013-03-21 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
KR101975071B1 (ko) 2011-09-23 2019-05-03 노벨러스 시스템즈, 인코포레이티드 플라즈마 활성화된 컨포멀 유전체 막 증착
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
JP6043546B2 (ja) 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
WO2013065806A1 (ja) 2011-11-02 2013-05-10 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法
US9318431B2 (en) 2011-11-04 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having a MOM capacitor and method of making same
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9330963B2 (en) 2011-12-20 2016-05-03 Intel Corporation Conformal low temperature hermetic dielectric diffusion barriers
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP5843318B2 (ja) 2012-02-14 2016-01-13 株式会社Adeka Ald法用窒化アルミニウム系薄膜形成用原料及び該薄膜の製造方法
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
JP5547763B2 (ja) 2012-03-16 2014-07-16 三井造船株式会社 プラズマ生成方法、この方法を用いた薄膜形成方法及びプラズマ生成装置
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8952765B2 (en) 2012-03-23 2015-02-10 Mks Instruments, Inc. System and methods of bimodal automatic power and frequency tuning of RF generators
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US8716149B2 (en) * 2012-05-29 2014-05-06 GlobalFoundries, Inc. Methods for fabricating integrated circuits having improved spacers
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US20140049162A1 (en) 2012-08-15 2014-02-20 George Thomas Defect reduction in plasma processing
US8795774B2 (en) 2012-09-23 2014-08-05 Rohm And Haas Electronic Materials Llc Hardmask
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
CN104347421A (zh) 2013-08-07 2015-02-11 中芯国际集成电路制造(北京)有限公司 鳍式场效应管的形成方法
KR102081195B1 (ko) 2013-08-28 2020-02-25 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9564361B2 (en) 2013-09-13 2017-02-07 Qualcomm Incorporated Reverse self aligned double patterning process for back end of line fabrication of a semiconductor device
US9368348B2 (en) 2013-10-01 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned patterning process
JP2017501530A (ja) 2013-10-17 2017-01-12 ナノシス・インク. 発光ダイオード(led)デバイス
US10106887B2 (en) 2013-11-13 2018-10-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Group 5 transition metal-containing compounds for vapor deposition of group 5 transition metal-containing films
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI480415B (zh) 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
US20150159271A1 (en) 2013-12-09 2015-06-11 Veeco Ald Inc. Deposition of non-isostructural layers for flexible substrate
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
CN103928396A (zh) 2014-04-08 2014-07-16 上海华力微电子有限公司 扩大沟槽开口的方法
US9305837B2 (en) 2014-04-10 2016-04-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and formation thereof
US9543375B2 (en) 2014-06-27 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. MIM/RRAM structure with improved capacitance and reduced leakage current
TWI673753B (zh) 2014-08-22 2019-10-01 美商蘭姆研究公司 一狀態期間中的次脈動方法
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
CN105719954B (zh) 2014-12-04 2018-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9496169B2 (en) 2015-02-12 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure having an air gap and structure thereof
US9595424B2 (en) 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US10043690B2 (en) 2015-03-31 2018-08-07 Lam Research Corporation Fault detection using showerhead voltage variation
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9406693B1 (en) 2015-04-20 2016-08-02 Sandisk Technologies Llc Selective removal of charge-trapping layer for select gate transistors and dummy memory cells in 3D stacked memory
US9502428B1 (en) 2015-04-29 2016-11-22 Sandisk Technologies Llc Sidewall assisted process for wide and narrow line formation
US9859088B2 (en) 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
US9299830B1 (en) 2015-05-07 2016-03-29 Texas Instruments Incorporated Multiple shielding trench gate fet
US20160329206A1 (en) 2015-05-08 2016-11-10 Lam Research Corporation Methods of modulating residual stress in thin films
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US9653571B2 (en) 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
CN106373880B (zh) 2015-07-22 2021-05-25 联华电子股份有限公司 半导体元件及其形成方法
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9768272B2 (en) 2015-09-30 2017-09-19 International Business Machines Corporation Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity
KR102250656B1 (ko) 2015-10-08 2021-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030134038A1 (en) 1997-08-11 2003-07-17 Paranjpe Ajit P. Method and apparatus for layer by layer deposition of thin films
US20030108674A1 (en) 2001-12-07 2003-06-12 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20060165890A1 (en) 2005-01-26 2006-07-27 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US20060281254A1 (en) 2005-06-09 2006-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US20080317972A1 (en) 2007-06-21 2008-12-25 Asm International N.V. Method for depositing thin films by mixed pulsed cvd and ald
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
JP2011187934A (ja) * 2010-02-15 2011-09-22 Tokyo Electron Ltd 成膜方法、成膜装置、および成膜装置の使用方法
US20110256726A1 (en) * 2010-04-15 2011-10-20 Adrien Lavoie Plasma activated conformal film deposition
KR101762978B1 (ko) 2010-04-15 2017-07-28 노벨러스 시스템즈, 인코포레이티드 플라즈마-활성화 컨포멀 막 증착

Also Published As

Publication number Publication date
TWI675122B (zh) 2019-10-21
US20160155676A1 (en) 2016-06-02
JP2014146786A (ja) 2014-08-14
US10008428B2 (en) 2018-06-26
US20180247875A1 (en) 2018-08-30
KR20140059751A (ko) 2014-05-16
US10741458B2 (en) 2020-08-11
TW201437414A (zh) 2014-10-01
TW201809345A (zh) 2018-03-16
US20170316988A1 (en) 2017-11-02
JP6538300B2 (ja) 2019-07-03
US20140141542A1 (en) 2014-05-22
US9287113B2 (en) 2016-03-15
US9786570B2 (en) 2017-10-10
TWI612173B (zh) 2018-01-21
SG2013083654A (en) 2014-06-27

Similar Documents

Publication Publication Date Title
KR102183336B1 (ko) 민감성 기판 상에 막을 증착하는 방법
KR102145694B1 (ko) 플라즈마 활성화된 등각 막 성막을 위한 전구체들
KR102328850B1 (ko) 서브-포화된 원자층 증착 및 등각막 증착
KR101975071B1 (ko) 플라즈마 활성화된 컨포멀 유전체 막 증착
TWI609426B (zh) 在基板上形成氮化矽膜之方法、設備及系統
TWI567225B (zh) 電漿活化保形膜沈積
KR20140016201A (ko) 고 압력, 고 전력 플라즈마 활성화된 컨포멀 막 증착
KR20170039567A (ko) 칼코게나이드 재료를 캡슐화하기 위한 방법
KR20140071402A (ko) 플라즈마 활성화된 컨포멀 유전체 막 증착

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right