KR20170039567A - 칼코게나이드 재료를 캡슐화하기 위한 방법 - Google Patents

칼코게나이드 재료를 캡슐화하기 위한 방법 Download PDF

Info

Publication number
KR20170039567A
KR20170039567A KR1020160122046A KR20160122046A KR20170039567A KR 20170039567 A KR20170039567 A KR 20170039567A KR 1020160122046 A KR1020160122046 A KR 1020160122046A KR 20160122046 A KR20160122046 A KR 20160122046A KR 20170039567 A KR20170039567 A KR 20170039567A
Authority
KR
South Korea
Prior art keywords
substrate
silicon nitride
layer
chamber
deposited
Prior art date
Application number
KR1020160122046A
Other languages
English (en)
Other versions
KR102637938B1 (ko
Inventor
존 헨리
데니스 엠. 하우스만
세샤세이 바라다라잔
바드리 엔. 바라다라잔
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170039567A publication Critical patent/KR20170039567A/ko
Application granted granted Critical
Publication of KR102637938B1 publication Critical patent/KR102637938B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02417Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • H10N70/235Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect between different crystalline phases, e.g. cubic and hexagonal
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • H10N70/8413Electrodes adapted for resistive heating
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/861Thermal details
    • H10N70/8616Thermal insulation means
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8822Sulfides, e.g. CuS
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8825Selenides, e.g. GeSe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe

Abstract

칼코게나이드 재료를 포함한 메모리 디바이스들 위에 ALD (atomic layer deposition) 에 의해 실리콘 나이트라이드 캡슐화 층들을 증착하는 방법들이 본 명세서에 제공된다. 방법들은 요오드-함유 실리콘 전구체 및/또는 브롬-함유 실리콘 전구체를 사용하는 단계 및 제 2 반응물질로서 암모니아 또는 하이드라진, 또는 요오드-함유 실리콘 전구체 및/또는 브롬-함유 실리콘 전구체를 사용하여 열적으로 증착하는 단계 및 질소 기반 플라즈마 또는 수소 기반 플라즈마를 사용하여 증착하는 단계를 포함한다.

Description

칼코게나이드 재료를 캡슐화하기 위한 방법{METHOD FOR ENCAPSULATING A CHALCOGENIDE}
반도체 디바이스 제조는 실리콘 나이트라이드 막들의 증착을 수반할 수도 있다. 실리콘 나이트라이드 박막들은 독특한 물리적, 화학적, 그리고 기계적 특성들을 갖고 따라서 다양한 애플리케이션들에서 사용된다. 예를 들어, 실리콘 나이트라이드 막들은 확산 배리어들, 게이트 절연체들, 측벽 스페이서들, 캡슐화 층들, 트랜지스터들 내의 뒤틀린 (strained) 막들, 등에서 사용될 수도 있다. 실리콘 나이트라이드 막들을 증착하는 종래의 방법들은 증착이 수행되는 프로세스 챔버의 컴포넌트들 (components) 을 손상시킬 수도 있거나, 기판 재료들을 손상시킬 수도 있다.
기판들을 프로세싱하는 방법들이 본 명세서에 제공된다.
일 양태는 칼코게나이드 재료를 캡슐화하는 방법을 수반한다. 방법은: (a) 칼코게나이드 재료의 하나 이상의 노출된 층들을 포함한 기판을 챔버에 제공하는 단계; (b) 염소-프리 (free) 브롬-함유 실리콘 전구체로 하여금 기판의 표면 상에 흡착하게 하여 염소-프리 브롬-함유 실리콘 전구체의 흡착된 층을 형성하는 조건들 하에서 염소-프리 브롬-함유 실리콘 전구체에 기판을 노출시키는 단계; 및 (c) 칼코게나이드 재료 상에 실리콘 나이트라이드 막을 형성하도록 제 2 반응물질에 기판을 노출시키는 단계를 포함한다.
다양한 실시예들에서, 염소-프리 브롬-함유 실리콘 전구체는 SixBryIz (여기서 x=1, y는 1 내지 4의 정수, 그리고 y+z=4) 의 화학식을 가진 화합물들; 및 SixBryIz (여기서 x=2, y는 1 내지 6의 정수, 그리고 y+z=6) 의 화학식을 가진 화합물 중 임의의 화합물이다.
예를 들어, 일부 실시예들에서, 염소-프리 브롬-함유 실리콘 전구체는 테트라브로모실란 (SiBr4), SiBr3I, SiBr2I2, SiBrI3, 헥사브로모디실란 (Si2Br6), Si2Br5I, Si2Br4I2, Si2Br3I3, Si2Br2I4, Si2BrI5, 및 이들의 조합들 중 임의의 것이다.
다양한 실시예들에서, 실리콘 나이트라이드는 적어도 약 40 Å의 두께로 증착된다. 다양한 실시예들에서, 제 2 반응물질은 암모니아 및 하이드라진들 중 임의의 것이고, 그리고 실리콘 나이트라이드는 약 300 ℃ 미만의 온도로 증착될 수도 있다. 예를 들어, 일부 실시예들에서, 실리콘 나이트라이드는 약 50 ℃ 내지 약 300 ℃의 온도로 증착된다. 일부 실시예들에서, 실리콘 나이트라이드는 약 200 ℃ 내지 약 275 ℃의 온도로 증착된다.
일부 실시예들에서, 방법은 또한 반응성 종을 생성하도록 제 2 반응물질에 기판을 노출시킬 때 플라즈마를 점화하는 단계를 포함한다. 일부 실시예들에서, 제 2 반응물질은 질소 또는 수소이다.
다양한 실시예들에서, 제 2 반응물질은 알루미늄, 철, 구리, 안티몬, 셀레늄, 텔루륨, 게르마늄, 및 비소 중 임의의 것과 반응될 때 휘발성 종을 형성한다. 일부 실시예들에서, 칼코게나이드 재료는 황, 셀레늄, 텔루륨, 및 이들의 조합들 중 임의의 것이다.
일부 실시예들에서, 증착된 실리콘 나이트라이드 막은 적어도 약 95 %의 단차 커버리지를 갖는다. 실리콘 나이트라이드 막은 약 30 Å 초과의 두께로 증착될 수도 있다.
다양한 실시예들에서, 챔버는: (i) 단계 (b) 를 수행한 후 그리고 단계 (c) 를 수행하기 전; 및 (ii) 단계 (c) 를 수행한 후 중 적어도 하나 동안 퍼지된다. 일부 실시예들에서, 단계 (b) 및 단계 (c) 는 순차적으로 반복된다. 다양한 실시예들에서, 챔버는 아르곤, 헬륨, 질소, 및 수소 중 임의의 것과 같은 퍼지 가스를 흘림으로써 퍼지된다.
일 양태는 (a) 칼코게나이드 재료의 하나 이상의 노출된 층들을 포함한 기판을 챔버에 제공하는 단계; (b) 염소-프리 요오드-함유 실리콘 전구체로 하여금 기판의 표면 상에 흡착하게 하여 염소-프리 요오드-함유 실리콘 전구체의 흡착된 층을 형성하는 조건들 하에서 염소-프리 요오드-함유 실리콘 전구체에 기판을 노출시키는 단계; 및 (c) 칼코게나이드 재료 상에 실리콘 나이트라이드 막을 형성하도록 제 2 반응물질에 기판을 노출시키는 단계에 의해 칼코게나이드 재료를 캡슐화하는 방법을 수반한다.
염소-프리 요오드-함유 실리콘 전구체는 디요오도실란, 테트라요오도실란, 및 헥사요오도실란 중 임의의 것일 수도 있다. 다양한 실시예들에서, 제 2 반응물질은 암모니아 및 하이드라진들 중 임의의 것이고, 그리고 실리콘 나이트라이드는 약 300 ℃ 미만의 온도로 증착될 수도 있다. 예를 들어, 일부 실시예들에서, 실리콘 나이트라이드는 약 50 ℃ 내지 약 300 ℃의 온도로 증착된다. 일부 실시예들에서, 실리콘 나이트라이드는 약 200 ℃ 내지 약 275 ℃의 온도로 증착된다.
일부 실시예들에서, 방법은 또한 반응성 종을 생성하도록 제 2 반응물질에 기판을 노출시킬 때 플라즈마를 점화하는 단계를 포함한다. 일부 실시예들에서, 제 2 반응물질은 질소 또는 수소이다.
다양한 실시예들에서, 제 2 반응물질은 알루미늄, 철, 구리, 안티몬, 셀레늄, 텔루륨, 게르마늄, 및 비소 중 임의의 것과 반응될 때 휘발성 종을 형성한다. 일부 실시예들에서, 칼코게나이드 재료는 황, 셀레늄, 텔루륨, 및 이들의 조합들 중 임의의 것이다.
일부 실시예들에서, 증착된 실리콘 나이트라이드 막은 적어도 약 95 %의 단차 커버리지를 갖는다. 실리콘 나이트라이드 막은 약 30 Å 초과의 두께로 증착될 수도 있다.
다양한 실시예들에서, 챔버는: (i) 단계 (b) 를 수행한 후 그리고 단계 (c) 를 수행하기 전; 및 (ii) 단계 (c) 를 수행한 후 중 적어도 하나 동안 퍼지된다. 일부 실시예들에서, 단계 (b) 및 단계 (c) 는 순차적으로 반복된다. 다양한 실시예들에서, 챔버는 아르곤, 헬륨, 질소, 및 수소 중 임의의 것과 같은 퍼지 가스를 흘림으로써 퍼지된다.
일부 실시예들에서, 방법은 기판 위에 제 2 층을 증착하는 단계를 더 포함하고, 약 30 % 내지 약 90 %의 단차 커버리지를 가진 층이 증착된다.
제 2 층은 RPCVD (remote plasma chemical vapor deposition) 또는 PECVD (plasma enhanced chemical vapor deposition) 에 의해 증착될 수도 있다. 다양한 실시예들에서, 제 2 층은 실리콘 나이트라이드를 포함할 수도 있다. 일부 실시예들에서 제 2 층은 실리콘 카바이드를 포함할 수도 있다.
다양한 실시예들에서, 기판은, 하나 이상의 피처들 중 적어도 하나의 하단에서 하나 이상의 피처들 중 적어도 하나의 측벽 상의 제 2 층의 두께가 하나 이상의 피처들 중 적어도 하나의 상단에서 하나 이상의 피처들 중 적어도 하나의 측벽 상의 제 2 층의 두께보다 두껍도록 컨포멀하지 않게 증착된다.
또 다른 양태는: 칼코게나이드 재료를 포함한 메모리 스택; 메모리 스택 위에 증착되고 그리고 칼코게나이드 재료를 캡슐화하는 실리콘 나이트라이드 층으로서, 실리콘 나이트라이드 층은 ALD를 사용하여 요오드-함유 실리콘 전구체의 노출과 제 2 반응물질의 노출을 교번함으로써 증착되고, 실리콘 나이트라이드 층은 약 30 % 내지 약 90 %의 단차 커버리지를 갖는, 실리콘 나이트라이드 층; 및 약 250 ℃에서 RPCVD (remote plasma chemical vapor deposition) 또는 PECVD (plasma enhanced chemical vapor deposition) 에 의해 실리콘 나이트라이드 층 직상에 증착된 실리콘-함유 층으로서, 실리콘-함유 층은 희석된 플루오르화수소산을 사용하여 약 100 Å/min 미만의 습식 에칭 레이트를 갖고, 플루오르화수소산은 100:1의 비로 희석되는, 실리콘-함유 층을 포함하는, 메모리 디바이스를 수반한다.
또 다른 양태는: 칼코게나이드 재료를 포함한 메모리 스택; 메모리 스택 위에 증착되고 그리고 칼코게나이드 재료를 캡슐화하는 실리콘 나이트라이드 층으로서, 실리콘 나이트라이드 층은 ALD를 사용하여 붕소-함유 실리콘 전구체의 노출과 제 2 반응물질의 노출을 교번함으로써 증착되고, 실리콘 나이트라이드 층은 약 30 % 내지 약 90 %의 단차 커버리지를 갖는, 실리콘 나이트라이드 층; 및 약 250 ℃에서 RPCVD (remote plasma chemical vapor deposition) 또는 PECVD (plasma enhanced chemical vapor deposition) 에 의해 실리콘 나이트라이드 층 직상에 증착된 실리콘-함유 층으로서, 실리콘-함유 층은 희석된 플루오르화수소산을 사용하여 약 100 Å/min 미만의 습식 에칭 레이트를 갖고, 플루오르화수소산은 100:1의 비로 희석되는, 실리콘-함유 층을 포함하는, 메모리 디바이스를 수반한다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1은 예시적인 기판의 개략적인 예시이다.
도 2a는 개시된 실시예들에 따른 방법에 대한 동작들을 도시한 프로세스 흐름도이다.
도 2b는 특정한 개시된 실시예들에 따라 증착된 층들을 포함한 예시적인 기판의 개략적인 예시이다.
도 3은 특정한 개시된 실시예들에 따른 방법의 사이클들의 예를 도시한 타이밍 시퀀스도이다.
도 4는 개시된 실시예들을 수행하기 위한 예시적인 프로세스 챔버의 개략도이다.
도 5는 개시된 실시예들을 수행하기 위한 예시적인 프로세스 툴의 개략도이다.
도 6a는 특정한 개시된 실시예들을 사용하여 증착된 실리콘 나이트라이드를 가진 기판의 이미지이다.
도 6b는 특정한 개시된 실시예들을 사용하여 증착된 실리콘 나이트라이드 막에 대한 실험 결과들의 FTIR 스펙트럼을 도시한 플롯이다.
도 7a는 암모니아 플라즈마에 대한 노출 후에 GST (게르마늄, 안티몬, 텔루륨) 기판의 몰 분율 조성을 도시한 실험 결과들의 플롯이다.
도 7b는 GST (게르마늄, 안티몬, 텔루륨) 기판의 몰 분율 조성을 도시한 실험 결과들의 플롯이다.
도 7c는 암모니아 플라즈마에 대한 노출 후에 특정한 개시된 실시예들을 사용하여 증착된 실리콘 나이트라이드 층을 가진 GST (게르마늄, 안티몬, 텔루륨) 기판의 몰 분율 조성을 도시한 실험 결과들의 플롯이다.
도 8a, 도 8b, 및 도 8c는 암모니아 플라즈마에 대한 노출 후에 다양한 두께들로 특정한 개시된 실시예들을 사용하여 증착된 실리콘 나이트라이드를 가진 다양한 GST 기판들의 몰 분율 조성들을 도시한 실험 결과들의 플롯들이다.
다음의 기술에서, 다수의 특정한 세부 사항들이 제시된 실시예들의 완전한 이해를 제공하기 위해서 제시된다. 개시된 실시예들은 이러한 특정한 세부 사항들 전부 또는 일부 없이도 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들은 특정한 실시예들과 함께 기술될 것이지만, 이는 개시된 실시예들을 제한하려고 의도된 것이 아님이 이해될 것이다.
반도체 제조 프로세스들은 종종 실리콘 나이트라이드 재료의 증착을 수반한다. 일 예에서, 실리콘 나이트라이드는 확산 배리어들, 게이트 절연체들, 측벽 스페이서들, 및 캡슐화 층들로서 반도체 디바이스 제조에서 사용될 수도 있다. 컨포멀한 (conformal) 실리콘 나이트라이드 층들이 또한 다른 애플리케이션들에서 사용될 수도 있다. 예를 들어, 실리콘 나이트라이드는 메모리 구조체들의 제조 동안 사용될 수도 있다. 종래의 메모리 구조체들은 비트 저장소를 위해 사용된 금속 옥사이드 재료들을 포함한다. 그러나, 보다 작은 디바이스 사이즈들을 수용하고 그리고 효율성을 개선하기 위해서 진보된 메모리 구조체들이 개발됨에 따라, 새로운 과제들이 발생한다. 자기저항 RAM (random-access memory) 및 PCRAM (phase change random-access memory) 과 같은 진보된 메모리 아키텍처들은 비트 저장소를 위해 (금속 옥사이드들과는 다른) 새로운 재료들에 의존한다. 예를 들어, PCRAM의 경우에, 금속 칼코게나이드의 상 (phase) 이 비트 상태를 결정한다. 일부 예시적인 칼코게나이드들은 황 (S), 셀레늄 (Se), 및 텔루륨 (Te) 을 포함한다. 이들 새로운 재료들은 공기와 수분에 민감하고 그리고 캡슐화 층들을 필요로 할 수도 있다. 게르마늄 (Ge), 안티몬 (Sb), 등과 같은 적절한 메탈로이드 (metalloid) 이온들과 결합될 때, 이들 칼코게나이드들은 상 변화 층을 형성한다. 손상된다면, 상 변화 층은 상들을 변화시키지 않을 수도 있다. 상 변화 층은 또한 광에 민감할 수도 있다. 상 변화 층에 대한 임의의 손상을 방지하기 위해서, 컨포멀한 실리콘 나이트라이드 메모리 캡슐화 층이 상 변화 층 위에 증착될 수도 있다. 메모리 캡슐화 층은 다른 화합물들을 거의 또는 전혀 오염시키지 않고 그리고 디바이스의 손상을 회피하기 위해서 저온들에서 증착된다.
그러나, 자기 디바이스들을 위한 캡슐화 층들로서 이러한 실리콘 나이트라이드 층들을 증착하기 위한 많은 종래의 증착 방법들은, 예컨대, PECVD (plasma enhanced chemical vapor deposition) 에서, 컨포멀하지 않은 막들을 증착하거나, 예컨대, ALD (atomic latyer deposition) 에서 플라즈마와 함께 염소-함유 또는 수소-함유 화학물질을 사용함으로써 칼코게나이드 재료를 에칭하는 전구체들을 사용한다. 예를 들어, 금속은 다음과 같이 부식을 겪을 수도 있다:
Figure pat00001
반응식 1
또 다른 예에서, 금속은 산화 또는 질화를 겪을 수도 있다. 산화 반응의 예는 다음과 같을 수도 있다:
Figure pat00002
반응식 2
염소-함유 실리콘 전구체의 사용은 또한 종종 매우 고온들, 예컨대, 약 500 ℃ 초과의 온도들에서의 증착을 수반한다. 그 결과, 염소-함유 전구체들을 사용하는 증착은 실리콘 나이트라이드를 형성하기 위한 반응을 촉진하도록 (catalyze) 플라즈마를 점화하는 것을 관습적으로 수반한다.
예를 들어, 일부 종래의 증착은 디클로로실란 (또는 또 다른 염소-함유 전구체) 과 암모니아 (NH3) 플라즈마의 교번하는 도즈들을 수반하지만, 이들 동작들 양자는 칼코게나이드 재료를 에칭할 수 있는 종을 생성하려는 경향이 있다. 예를 들어, 캡슐화 층의 증착을 위해 실리콘 전구체로서 디클로로실란 (SiCl2H2) 을 사용하는 것은 실리콘 나이트라이드 층을 형성하도록 플라즈마와 디클로로실란을 반응시키는 것을 수반한다. 그러나, 플라즈마가 점화될 때, 디클로로실란은 도 1에 도시된 바와 같이 챔버의 금속 컴포넌트들 및 금속 칼코게나이드들을 공격할 수도 있는, 수소 라디칼들 및 NH2 라디칼들뿐만 아니라 수소 클로라이드를 형성하기 쉬울 수도 있다. 염소-함유 실리콘 전구체들이 플라즈마 없이 막들을 증착하도록 사용될 수도 있지만, 염소-함유 실리콘 전구체들은 훨씬 보다 고온들 (예를 들어, 500 ℃ 초과) 에서 사용되고, 그리고 메모리 디바이스들은 이들 온도들에서 손상되기 쉽다.
도 1은 옥사이드 층 (101) 을 포함한 기판 (100) 을 도시한다. 기판 (100) 은 또한 텅스텐 층 (103), 탄소 층 (105), 칼코게나이드 층 (107), 제 2 탄소 층 (115), 제 2 칼코게나이드 층 (117), 제 3 탄소 층 (125), 및 나이트라이드 층 (109) 을 포함한다.
도 1에 도시된 바와 같이, 염소-함유 실리콘 전구체가 기판 위에 캡슐화 층을 증착하도록 사용된다면, 플라즈마가 점화될 때 생성된 염소 및/또는 수소 라디칼들은, 염소가 알루미늄, 게르마늄, 또는 안티몬, 또는 철 또는 구리를 포함한 다른 챔버 재료 금속들과 반응할 수도 있도록, 수소 클로라이드를 생성하기 위해서 제 2 반응물질과 반응할 수도 있다. 이들 재료들 (예를 들어, AlCl3, GeCl4, 또는 SbCl3) 은 휘발성 금속 염들을 형성하는, 증발 층을 생성할 수도 있다. 이들 재료들은 저 비등점을 갖는다; 예를 들어, AlCl3의 비등점은 120 ℃이고, GeCl4의 비등점은 87 ℃이고, 그리고 SbCl3의 비등점은 200 ℃이다. 이들 휘발성 금속 염들은 기판의 다른 층들 상에 재증착될 수도 있고, 이는 결함들 및 성능 이슈들을 발생시킨다. 그러므로, 염소 전구체들은 휘발성 금속 클로라이드들 (Al, Fe, 및 Cu) 을 생성하는 챔버 에칭에 기인한 막들의 금속 오염의 일반적인 이슈들을 겪는다.
유사하게, 암모니아와 같은 질소-함유 반응물질로부터 생성된 플라즈마는 또한 칼코게나이드를 에칭할 수도 있는 프리 수소 이온들, 라디칼들, 및 다른 플라즈마 종을 형성할 수도 있다. 예를 들어, 수소 플라즈마는 H2Te 및 H2Se를 형성하도록 텔루륨 또는 셀레늄과 각각 반응할 수도 있어서, 스택으로부터 재료를 제거하고 그리고 성능 이슈들 및 결함들을 발생시킨다. 이들 재료들은 저 비등점을 갖는다; 예를 들어, H2Te는 -2 ℃의 비등점을 갖고, 그리고 H2Se는 -41 ℃의 비등점을 갖는다. 이에 따라 수소 플라즈마에 대한 노출로부터 이러한 재료의 생성은 스택을 에칭할 수도 있다. 따라서 (예를 들어 N2 플라즈마를 사용하는) 종래의 염소-프리 프로세스 및 수소-프리 프로세스는 컨포멀한 막을 생성하지 못하고 그리고 배리어들로서 효과적이지 않다.
칼코게나이드를 손상시키지 않고 칼코게나이드 재료를 캡슐화하는 방법들이 본 명세서에 제공된다. 방법들은 염소-프리 요오드-함유 실리콘 전구체 그리고/또는 브롬-함유 실리콘 전구체를 사용하는, 실리콘 나이트라이드의 증착을 수반한다. 염소-함유 실리콘 전구체 대신에 브롬-함유 실리콘 전구체 또는 브로모실란을 사용함으로써, 염소에 기인한 에칭이 크게 감소되거나 제거될 수 있다. 염소-함유 실리콘 전구체 대신에 요오드-함유 실리콘 전구체 또는 요오도실란을 사용함으로써, 염소에 기인한 에칭이 크게 감소되거나 제거될 수 있다. 따라서, 본 명세서에 기술된 다양한 실시예들에서, 염소-프리 요오드-함유 실리콘 전구체 또는 염소-프리 브롬-함유 실리콘 전구체가 실리콘 나이트라이드 막을 증착하기 위해 사용된다. 요오드-함유 및 브롬-함유 실리콘 전구체들은 실리콘 나이트라이드 막들을 생성하도록 사용된 암모니아 플라즈마에 존재하는 프리 수소에 기인한 에칭을 더 감소시키는 열 증착 프로세스들을 가능하게 한다. 용어들 "요오드-함유 실리콘 전구체" 및 "요오도실란 전구체"는 본 명세서에서 상호 교환가능하게 사용될 수도 있고 그리고 양자는 적어도 하나의 실리콘 및 적어도 하나의 요오드 원자를 포함한 전구체들을 지칭할 수도 있다. 용어들 "브롬-함유 실리콘 전구체" 및 "브로모실란 전구체"는 본 명세서에서 상호 교환가능하게 사용될 수도 있고 그리고 양자는 적어도 하나의 실리콘 및 적어도 하나의 브롬 원자를 포함한 전구체들을 지칭할 수도 있다. 본 명세서에 기술된 금속들과 요오도실란 전구체의 반응으로부터 생성된 재료들은 클로로실란과 반응될 때 생성된 재료들보다 고 비등점들을 갖는다. 예를 들어, 알루미늄과 요오도실란 전구체의 반응으로부터 생성된 재료들은 240 ℃ 초과의 비등점을 갖고, 게르마늄과 요오도실란 전구체의 반응으로부터 생성된 재료들은 353 ℃ 초과의 비등점을 갖고, 그리고 안티몬과 요오도실란 전구체의 반응으로부터 생성된 재료들은 181 ℃ 초과의 비등점들을 갖는다. 브로모실란 전구체들은 브로모실란의 보다 저 비등점에 기인하여 보다 휘발성일 것으로 예상된다. 그러나, 놀랍게도, 브로모실란들 전구체들은 요오도실란의 전구체들과 유사하게 거동하고 그리고 밀봉된 (hermetic), 컨포멀한, 손상되지 않은 캡슐화 층들을 형성하는데 효과적이다. 본 명세서에 기술된 캡슐화 층들은 밀봉되고, 컨포멀하고, 그리고 손상되지 않았고 그리고 약 300 ℃ 미만의 온도들과 같은 저온들에서 증착될 수도 있다. 예를 들어, 일부 실시예들에서, 개시된 실시예들은 약 50 ℃ 내지 약 300 ℃의 온도, 예컨대, 약 200 ℃ 내지 약 275 ℃의 온도로 수행된다. 일부 실시예들에서, 실리콘 나이트라이드는 약 50 ℃ 내지 약 300 ℃의 온도로 증착된다. 일부 실시예들에서, 실리콘 나이트라이드는 약 200 ℃ 내지 약 275 ℃의 온도로 증착된다. 일부 실시예들에서, 캡슐화 층들은 특정한 개시된 실시예들을 사용하여 약 250 ℃ 이상의 온도들로 증착된다.
증착된 막들은 컨포멀하다. 막들의 컨포멀성은 단차 커버리지에 의해 측정될 수도 있다. 본 명세서에서 사용된 바와 같이 "단차 커버리지"는 측벽 상에 증착된 막의 평균 두께를 피처의 상단에서 증착된 막의 평균 두께로 나눔으로써 그리고 백분율을 획득하도록 상기 계산된 값에 100을 곱함으로써 계산된다. 개시된 실시예들은 적어도 약 95 %, 또는 약 100 %, 또는 100 %의 단차 커버리지를 가진 막들을 증착할 수도 있다. 일부 실시예들에서, 기판들은 스택들 사이의 종횡비가 약 5:1인 스택들을 포함하고, 그리고 개시된 실시예들은 요오드-함유 전구체 및 암모니아를 사용하여 적어도 약 95 %의 단차 커버리지로 실리콘 나이트라이드를 증착할 수도 있다. 일부 실시예들에서, 제 2 반응물질 또는 플라즈마 내의 일부 수소의 존재는 막 컨포멀성을 개선시킬 수도 있다.
종래의 염소-함유 화학물질들과 비교할 때, 개시된 실시예들은 이하의 표 1에 도시된 바와 같이 다양한 재료들과 보다 양립가능할 수도 있다. H2Te를 생성하도록 NH3 플라즈마가 사용된다면 일부 H2가 형성될 수도 있지만, 개시된 실시예들은 H2 노출을 조금 사용하거나 아예 사용하지 않는 다양한 재료들에 대해 대체로 적합하다. 개시된 실시예들은 또한 패턴 로딩 민감성을 거의 또는 전혀 나타내지 않는다.
재료 양립 가능성
재료 염소-함유 화학물질 요오드-함유 화학물질 브롬-함유 화학물질
As 양립 가능하지 않음 양립 가능 양립 가능
Ge 오직 250 ℃ 미만에서 화합물들과 양립 가능함 양립 가능 양립 가능
Sb 오직 250 ℃ 미만에서 화합물들과 양립 가능함 양립 가능 양립 가능
Te 양립 가능 양립 가능 양립 가능
Se 오직 250 ℃ 미만에서 화합물들과 양립 가능함 양립 가능 양립 가능
본 명세서에 기술된 실시예들은 ALD에 의한 증착을 수반한다. ALD는 순차적인 자기-제한 반응들을 사용하여 재료의 박층들을 증착하는 기법이다. 통상적으로, ALD 사이클은 기판 표면에 적어도 하나의 반응물질을 전달하고 흡착하고 그리고 이어서 부분적인 막층을 형성하기 위해서 하나 이상의 반응물질들과 흡착된 반응물질을 반응시키기 위한 동작들을 포함한다. 예로서, 실리콘 나이트라이드 증착 사이클은 다음의 동작들을 포함할 수도 있다: (i) 요오드-함유 실리콘 전구체의 전달/흡착, (ii) 챔버로부터 요오드-함유 실리콘 전구체의 퍼지, (iii) 선택 가능한 플라즈마를 사용하여 질소-함유 반응물질의 전달, 및 (iv) 챔버로부터 질소-함유 가스 및/또는 플라즈마의 퍼지.
CVD (chemical vapor deposition) 기법과 달리, ALD 프로세스들은 층 단위 기반으로 (layer-by-layer basis) 막들을 증착하도록 표면-매개된 증착 반응들을 사용한다. ALD 프로세스의 일 예에서, 표면 활성 사이트들의 집단 (population) 을 포함하는 기판 표면은 기판을 하우징하는 챔버에 제공된 도즈 (dose) 의, 요오드-함유 또는 브롬-함유 실리콘 전구체와 같은 제 1 전구체의 가스 상 분포에 노출된다. 이러한 제 1 전구체의 분자들이 기판 표면 상에 흡착되고, 제 1 전구체의 화학흡착된 종 및/또는 물리흡착된 분자들을 포함한다. 화합물이 본 명세서에 기술된 바와 같이 기판 표면 상에 흡착될 때, 흡착된 층이 화합물뿐만 아니라 화합물의 유도체들을 포함할 수도 있다는 것이 이해되어야 한다. 예를 들어, 요오드-함유 실리콘 전구체의 흡착된 층은 요오드-함유 실리콘 전구체뿐만 아니라 요오드-함유 실리콘 전구체의 유도체들을 포함할 수도 있다. 제 1 전구체 도즈 후에, 대부분 흡착된 종 또는 흡착된 종만이 남아 있도록 챔버는 이어서 가스 상으로 남아 있는 제 1 전구체의 대부분 또는 모두를 제거하도록 배기된다. 일부 구현예들에서, 챔버는 완전히 배기되지 않을 수도 있다. 예를 들어, 챔버는 가스 상의 제 1 전구체의 분압이 반응을 감소시키기에 충분히 낮도록 배기될 수도 있다. 질소-함유 반응물질과 같은 제 2 반응물질은 이들 분자들의 일부가 표면 상에 흡착된 제 1 전구체와 반응하도록 챔버 내로 도입된다. 일부 프로세스들에서, 제 2 전구체는 흡착된 제 1 전구체와 바로 반응한다. 다른 실시예들에서, 제 2 반응물질은 활성화 소스가 일시적으로 적용된 후에만 반응한다. 일부 실시예들에서, 플라즈마는 제 2 반응물질 도즈 동안 점화된다. 이어서 챔버는 결합되지 않은 제 2 반응물질 분자들을 제거하도록 다시 배기될 수도 있다. 상기에 기술된 바와 같이, 일부 실시예들에서 챔버는 완전히 배기되지 않을 수도 있다. 추가의 ALD 사이클들이 막 두께를 구축하도록 사용될 수도 있다.
특정한 실시예들에서, ALD 제 1 전구체 도즈는 기판 표면을 부분적으로 포화시킨다. 일부 실시예들에서, ALD 사이클의 도즈 페이즈는 전구체가 표면을 골고루 포화시키도록 기판과 콘택트하기 전에 종료된다. 통상적으로, 전구체 플로우가 이 시점에서 턴 오프되거나 (turned off) 방향 전환되고, 그리고 퍼지 가스만이 흐른다. 이 서브-포화 레짐 (regime) 으로 동작함으로써, ALD 프로세스는 사이클 시간을 감소시키고 그리고 쓰루풋을 증가시킨다. 그러나, 전구체 흡착이 포화 제한되지 않기 때문에, 흡착된 전구체 농도는 기판 표면에 걸쳐 약간 가변할 수도 있다. 서브-포화 레짐으로 동작하는 ALD 프로세스들의 예들은, 전체가 참조로서 본 명세서에 인용되는, 2013년 10월 23일 출원되고, 발명의 명칭이 "SUB-SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION"인 미국 특허 출원 제 14/061,587 호에 제공된다.
기술된 바와 같이, 일부 구현예들에서, ALD 방법들은 플라즈마 활성화를 포함한다. 본 명세서에 기술된 바와 같이, 본 명세서에 기술된 ALD 방법들 및 장치들은, 전체가 참조로서 본 명세서에 인용되는, 2011년 4월 11일 출원되고 발명의 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"인 미국 특허 출원 제 13/084,399 호 (현재 미국 특허 제 8,728,956 호), 및 2011년 4월 11일 출원되고 발명의 명칭이 "SILICON NITRIDE FILMS AND METHODS"인 미국 특허 출원 제 13/084,305 호에 개괄적으로 기술되는, 컨포멀한 막 증착 (CFD) 방법들일 수도 있다.
도 2a는 개시된 실시예들의 예시적인 동작들을 도시한 프로세스 흐름도를 도시한다. 동작 201에서, 기판이 프로세스 챔버에 제공된다. 기판은 상부에 증착된 유전체, 도전성, 또는 반도전성 재료와 같은 재료의 하나 이상의 층들을 가진 웨이퍼들을 포함한, 실리콘 웨이퍼, 예를 들어, 200-㎜ 웨이퍼, 300-㎜ 웨이퍼, 또는 450-㎜ 웨이퍼일 수도 있다. 하층들의 비제한적인 예들은 유전체 층들 및 도전성 층들, 예를 들어, 실리콘 옥사이드들, 실리콘 나이트라이드들, 실리콘 카바이드들, 금속 옥사이드들, 금속 나이트라이드들, 금속 카바이드들, 및 금속 층들을 포함한다. 일부 실시예들에서, 기판은 도 1에 도시된 스택과 같은 스택을 포함한다. 일부 실시예들에서, 기판은 2 이상의 스택들을 포함하고, 스택들 각각은 도 1에 도시된 층들과 같은 층들을 포함한다. 스택들 사이의 공간은 스택들 사이의 종횡비들이 약 3:1 내지 약 10:1, 예컨대 약 5:1일 수도 있도록 좁을 수도 있다.
도 2a의 동작들 203 내지 215 동안, 불활성 가스가 흐를 수도 있다. 다양한 실시예들에서, 불활성 가스는 캐리어 가스로서 사용된다. 예시적인 캐리어 가스들은 아르곤, 헬륨, 및 네온을 포함한다. 일부 실시예들에서, 수소-함유 캐리어 가스가 사용될 수도 있다. 일부 실시예들에서, 캐리어 가스가 일부 동작들에서 퍼지 가스로서 사용된다. 일부 실시예들에서, 캐리어 가스가 방향 전환된다. 불활성 가스는 프로세스 챔버의 압력 및/또는 온도 제어, 액체 반응물질의 증발, 반응물질의 보다 신속한 전달을 돕도록 그리고/또는 프로세스 챔버 및/또는 프로세스 챔버 배관으로부터 프로세스 가스들을 제거하기 위한 스윕핑 가스로서 제공될 수도 있다.
다양한 개시된 실시예들은 약 0.1 Torr 내지 약 20 Torr의 압력으로 수행될 수도 있다. 많은 실시예들에서, 개시된 방법들은 약 300 ℃ 미만, 예컨대 약 50 ℃ 내지 약 300 ℃, 예를 들어, 약 250 ℃의 기판 온도로 수행될 수도 있다. 이러한 실시예들에서, 페데스탈은 기판 온도를 제어하도록 약 300 ℃ 미만의 온도로 설정될 수도 있다. 예를 들어, MRAM 및 PCRAM 애플리케이션들에 대해, 기판 상의 재료들은 고온들에 민감할 수도 있다. 일부 실시예들에서, 개시된 실시예들은 약 50 ℃ 내지 약 300 ℃의 온도, 예컨대, 약 200 ℃ 내지 약 275 ℃의 온도로 수행된다. 일부 실시예들에서, 실리콘 나이트라이드는 약 50 ℃ 내지 약 300 ℃의 온도로 증착된다. 일부 실시예들에서, 실리콘 나이트라이드는 약 200 ℃ 내지 약 275 ℃의 온도로 증착된다.
동작 203에서, 기판은 요오드-함유 및/또는 브롬-함유 실리콘 전구체가 기판 표면 상에 흡착하도록 요오드-함유 및/또는 브롬-함유 실리콘 전구체에 노출된다. 다양한 실시예들에서 요오드-함유 및/또는 브롬-함유 실리콘 전구체들은 브롬 및/또는 요오드 원자들로 완전히 치환될 수도 있다. 즉, 요오드-함유 전구체들 및/또는 브롬-함유 전구체들은 수소 원자들을 갖지 않을 수도 있다. 개시된 실시예들은 ALD에 의한 실리콘 나이트라이드의 증착을 위해 관습적으로 사용되지 않는 전구체들을 수반한다. 요오드-함유 및/또는 브롬-함유 실리콘 전구체를 사용하는 것은 염소-프리 증착을 허용한다. 예시적인 요오드-함유 실리콘 전구체들은 디요오도실란 (DIS), 테트라요오도실란, 헥사요오도실란, 및 다른 것들을 포함한다. 다양한 실시예들에서, 브롬-함유 실리콘 전구체들은 완전히 할로겐화된다. 브롬-함유 실리콘 전구체들은 화학식 SixBryIz를 가질 수도 있고, 여기서 x=1이라면, y는 1 내지 4의 정수이고, 그리고 y+z=4이거나, x=2라면, y는 1 내지 6의 정수이고, 그리고 y+z=6이다. 예시적인 브롬-함유 실리콘 전구체들은 테트라브로모실란 (SiBr4), SiBr3I, SiBr2I2, SiBrI3, 헥사브로모디실란 (Si2Br6), Si2Br5I, Si2Br4I2, Si2Br3I3, Si2Br2I4, Si2BrI5, 및 이들의 조합들을 포함한다.
동작 203은 ALD 사이클의 일부일 수도 있다. 상기에 논의된 바와 같이, 일반적으로 ALD 사이클은 표면 증착 반응을 수행하도록 1 회 사용된 동작들의 최소 세트이다. 일부 실시예들에서, 일 사이클의 결과는 기판 표면 상의 적어도 부분적인 실리콘 나이트라이드 막층의 생성이다. 사이클은 반응물질들 또는 부산물들 중 하나를 스윕핑하는 것 그리고/또는 증착될 때 부분적인 막을 처리하는 것과 같은 특정한 보조적인 동작들을 포함할 수도 있다. 일반적으로, 사이클은 독특한 시퀀스의 동작들의 일 예를 포함한다. 상기에 논의된 바와 같이, 일반적으로 사이클은 표면 증착 반응을 수행하도록 1 회 사용된 동작들의 최소 세트이다. 일 사이클의 결과는 기판 표면 상의 적어도 부분적인 막층, 예를 들어, 부분적인 실리콘 나이트라이드 막층의 생성이다.
동작 203 동안, 기판은 제 1 전구체가 흡착된 층을 형성하기 위해서 기판 표면 상에 흡착되도록 제 1 전구체에 노출된다. 일부 실시예들에서, 요오드-함유 및/또는 브롬-함유 실리콘 전구체는, 일단 요오드-함유 및/또는 브롬-함유 실리콘 전구체가 활성 사이트들을 점유한다면, 부가적인 요오드-함유 및/또는 브롬-함유 실리콘 전구체가 기판 표면 상에 거의 또는 전혀 흡착되지 않도록 자기-제한 방식으로 기판 표면 상에 흡착한다. 예를 들어, 요오드-함유 및/또는 브롬-함유 실리콘 전구체는 기판 표면의 약 60 % 상에 흡착될 수도 있다. 다양한 실시예들에서, 요오드-함유 및/또는 브롬-함유 실리콘 전구체가 챔버로 흐를 때, 요오드-함유 및/또는 브롬-함유 실리콘 전구체가 기판의 표면 상의 활성 사이트들 상에 흡착하고, 표면 상에 요오드-함유 및/또는 브롬-함유 실리콘 전구체의 박층을 형성한다. 다양한 실시예들에서, 이 층은 모노레이어 (monolayer) 미만일 수도 있고, 그리고 약 0.2 Å 내지 약 0.4 Å의 두께를 가질 수도 있다. 본 명세서에 제공된 방법들은 약 300 ℃ 미만, 예컨대 약 250 ℃의 온도로 수행될 수도 있다. 일부 실시예들에서, 개시된 실시예들은 약 50 ℃ 내지 약 300 ℃의 온도, 예컨대 약 200 ℃ 내지 약 275 ℃의 온도로 수행된다. 일부 실시예들에서, 실리콘 나이트라이드는 약 50 ℃ 내지 약 300 ℃의 온도로 증착된다. 일부 실시예들에서, 실리콘 나이트라이드는 약 200 ℃ 내지 약 275 ℃의 온도로 증착된다.
동작 205에서, 프로세스 챔버는 기판의 표면 상에 흡착하지 않은 가스 상의 과잉의 요오드-함유 실리콘 전구체를 제거하도록 선택 가능하게 퍼지된다. 챔버를 퍼지하는 것은 다른 동작들에서 사용되는 캐리어 가스일 수도 있거나 상이한 가스일 수도 있는, 퍼지 가스 또는 스윕핑 가스를 흘리는 것을 수반할 수도 있다. 일부 실시예들에서, 퍼지는 챔버를 배기하는 것을 수반할 수도 있다. 예시적인 퍼지 가스들은 아르곤, 질소, 수소, 및 헬륨을 포함한다. 일부 실시예들에서, 동작 205는 프로세스 챔버를 배기하기 위한 하나 이상의 배기 서브페이즈들을 포함할 수도 있다. 대안적으로, 동작 205가 일부 실시예들에서 생략될 수도 있다는 것이 이해될 것이다. 동작 205는 약 0 초 내지 약 60 초, 예를 들어 약 0.01 초와 같은 임의의 적합한 지속기간을 가질 수도 있다. 일부 실시예들에서, 하나 이상의 퍼지 가스들의 플로우 레이트를 증가시키는 것은 동작 205의 지속기간을 감소시킬 수도 있다. 예를 들어, 퍼지 가스 플로우 레이트는 동작 205의 지속기간을 수정하기 위해 프로세스 챔버 및/또는 프로세스 챔버 배관의 다양한 반응물질의 열역학적 특성들 및/또는 기하학적 특성들에 따라 조정될 수도 있다. 일 비제한적인 예에서, 퍼지 페이즈의 지속기간은 퍼지 가스 플로우 레이트를 조절함으로써 조정될 수도 있다. 이것은 증착 사이클 시간을 감소시킬 수도 있고, 이는 기판 쓰루풋을 개선시킬 수도 있다. 퍼지 후에, 요오드-함유 및/또는 브롬-함유 실리콘 전구체들은 기판 표면 상에 흡착된 채로 남아 있다.
동작 211에서, 기판은 요오드-함유 및/또는 브롬-함유 실리콘 전구체의 흡착된 층과 반응하도록 제 2 반응물질에 노출된다. 용어 "제 2 반응물질"은 플라즈마가 ALD 사이클에서 점화될 때 챔버에 도입된 하나 이상의 가스들을 기술하도록 사용될 수도 있다는 것을 주의하라.
일부 실시예들에서, 반응은 열적 반응일 수도 있다. 암모니아 (NH3) 또는 하이드라진들 (예를 들어, H4N2) 을 사용한 열적 ALD를 수반한 방법들은 오염을 감소시키고 그리고 증착 동안 수소 라디칼들의 존재를 감소시키고, 이에 따라 기판 상 그리고/또는 챔버 내의 칼코게나이드 및/또는 금속들의 에칭을 감소시킨다. 열적 프로세스에 대해, 증착은 적어도 약 250 ℃, 예컨대 약 300 ℃의 온도로 수행될 수도 있다. 일부 실시예들에서, 개시된 실시예들은 약 50 ℃ 내지 약 300 ℃의 온도, 예컨대 약 200 ℃ 내지 약 275 ℃의 온도로 수행된다. 일부 실시예들에서, 실리콘 나이트라이드는 약 50 ℃ 내지 약 300 ℃의 온도로 증착된다. 일부 실시예들에서, 실리콘 나이트라이드는 약 200 ℃ 내지 약 275 ℃의 온도로 증착된다.
일부 실시예들에서, 플라즈마는 동작 211에서 선택 가능하게 점화될 수도 있다. 플라즈마 에너지는 질소-함유 가스와 같은 제 2 반응물질을 제 1 전구체의 흡착된 층과 반응하는 이온들 및 라디칼들 및 다른 활성화된 종으로 활성화시키도록 제공될 수도 있다. 플라즈마를 수반한 개시된 실시예들에서, 플라즈마는 약 1 % 미만의 수소 라디칼들을 포함할 수도 있어서, 증착 동안 칼코게나이드 또는 금속 재료의 에칭을 감소시킨다. 다양한 실시예들에서, 플라즈마는 플라즈마가 챔버 내의 기판 표면 바로 위에 형성되는 인-시츄 (in-situ) 플라즈마이다. 인-시츄 플라즈마는 약 0.2122 W/㎠ 내지 약 2.122 W/㎠의 기판 면적당 전력으로 점화될 수도 있다. 예를 들어, 전력은 4 개의 300 ㎜ 웨이퍼들을 프로세싱하는 챔버에 대해, 약 150 W 내지 약 6000 W, 또는 약 600 W 내지 약 6000 W, 또는 약 800 W 내지 약 4000 W의 범위일 수도 있다. 예를 들어, ALD 프로세스들을 위한 플라즈마들은 2 개의 용량 결합된 플레이트들을 사용하여 가스에 RF (radio frequency) 필드를 인가함으로써 생성될 수도 있다. RF 필드에 의한 플레이트들 사이의 가스의 이온화는 플라즈마를 점화하고, 플라즈마 방전 구역에 프리 전자들을 생성한다. 이들 전자들은 RF 필드에 의해 가속화되고 그리고 가스 상 반응물질 분자들과 충돌할 수도 있다. 반응물질 분자들과 이들 전자들의 충돌은 증착 프로세스에 참여하는 라디칼 종을 형성할 수도 있다. RF 필드는 임의의 적합한 전극들을 통해 커플링될 수도 있다는 것이 이해될 것이다. 다양한 실시예들에서, 적어도 약 13.56 ㎒, 또는 적어도 약 27 ㎒, 또는 적어도 약 40 ㎒, 또는 적어도 약 60 ㎒의 주파수를 가진 고 주파수 플라즈마가 사용된다. 일부 실시예들에서, 마이크로파-기반 플라즈마가 사용될 수도 있다. 전극들의 비제한적인 예들은 프로세스 가스 분배 샤워헤드들 및 기판 지지 페데스탈들을 포함한다. ALD 프로세스들을 위한 플라즈마들은 가스에 대한 RF 필드의 용량성 결합과는 다른 하나 이상의 적합한 방법들에 의해 형성될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 플라즈마는 제 2 반응물질이 챔버의 업스트림의 리모트 플라즈마 생성기 내에서 점화되고, 이어서 기판이 하우징되는 챔버로 전달되는, 리모트 플라즈마이다.
플라즈마가 사용되는 경우에, 요오드-함유 실리콘 전구체의 도즈에 질소 (N2) 또는 수소 (H2) 플라즈마의 도즈가 이어질 수도 있다. 챔버 컴포넌트들의 기판 또는 금속들 상의 칼코게나이드들과의 반응으로부터 생성될 수도 있는 대응하는 요오드-함유 및/또는 브롬-함유 금속 염들은 웨이퍼 오염을 발생시키도록 충분히 휘발성이 아닐 수도 있다. 예를 들어, 알루미늄, 게르마늄, 또는 안티몬을 포함한 요오드-함유 염들은 대응하는 염소-함유 염들보다 고 비등점들을 갖는다. 그 결과, 요오드-함유 염들은 증발 층이 아닌 패시베이션 층을 형성할 수도 있고, 그리고 염들은 기판 상의 재료들 상에 재증착할 가능성이 적다. 일부 경우들에서, 알루미늄은 챔버가 에칭되고 따라서 손상되도록 염소와 반응할 수도 있고, 그리고 알루미늄은 또한 웨이퍼 상에서 분해될 수도 있다.
도 2a를 다시 참조하면, 동작 213에서, 챔버는 에칭된 종 및 모든 잔여 부산물들을 제거하도록 선택 가능하게 퍼지된다. 동작 213은 동작 205에 대하여 상기에 기술된 조건들 중 임의의 조건들을 사용하여 퍼지될 수도 있다.
도 2a의 동작 215에서, 목표된 두께의 막이 증착되었는지가 결정된다. 만약 그렇지 않다면, 동작들 203 내지 213은 목표된 두께의 막을 증착하도록 충분한 사이클들로 반복된다. 임의의 적합한 수의 증착 사이클들이 목표된 막 두께의 실리콘 나이트라이드를 증착하도록 ALD 프로세스에 포함될 수도 있다. 예를 들어, 약 50 개의 증착 사이클들이 개시된 실시예들을 사용하여 기판 상에 막을 증착하도록 수행될 수도 있다. 일부 실시예들에서 증착된 실리콘 나이트라이드 막의 두께는 메모리 디바이스의 제조를 위한 막들의 스택에 비해 측벽 상에서 약 30 Å 보다 두꺼울 수도 있다.
개시된 실시예들은 다양한 애플리케이션들을 위해 사용될 수도 있다. 일 애플리케이션은 저 습식 에칭 레이트를 달성하도록 바이레이어 (bilayer) 막을 사용하여 칼코게나이드들을 캡슐화하는 것을 포함할 수도 있다. 다양한 증착 프로세스들에서, 또한 하층들에 대한 양호한 접착력, 수소 라디칼들의 부재, 저 습식 에칭 레이트 (WER), 타이트한 웨이퍼에 걸친 균일성, 고 종횡비 피처들을 충진하기 위한 능력뿐만 아니라 라인 별로 저 열 전도도를 갖는 진보된 메모리 아키텍처들을 달성하는 것이 목표될 수도 있다. 종래의 증착 기법들이 단일의 막 내에서 이들 특성들을 달성하지 못할 수도 있지만, 개시된 실시예들은 이러한 특성들을 갖는 막들을 증착하기에 적합할 수도 있다.
MRAM 또는 PCRAM 디바이스 내부에 생성된 줄 열 (Joule heat) 은 디바이스의 스위칭 거동을 결정할 수도 있고, 그리고 고 밀도 메모리 어레이들에 대해, 주변 온도는 순환 동안 이웃한 디바이스들의 결과적인 저항 열화를 야기할 수도 있다. 종래의 막들은 상기 사양들을 충족하고 열 크로스 토크 (cross talk) 를 제한할 가능성이 없다. 펄싱된 플라즈마 (예를 들어, PECVD (plasma-enhanced chemical vapor deposition)) 가 일부 애플리케이션들을 위해 사용될 수도 있지만 저 디바이스 수율을 발생시킬 수도 있고 그리고 훨씬 보다 진취적인 (aggressive) 피처들을 갖는 다음의 노드로 확장되지 못할 수 있다.
개시된 실시예들은 상기 기술된 특성들을 달성할 수 있는 바이레이어 막을 증착하도록 사용될 수도 있다. 치밀한, 저 WER 막은 캡슐화 층이 폴리싱과 같은 차후의 프로세싱에서 에칭되는 것을 보호할 수 있다. 바이레이어 막이 증착될 수도 있고, 여기서 제 1 층은 수소 라디칼들이 거의 또는 전혀 없는 양호한 공기 및 수분 배리어를 가진 균일한, 컨포멀한 ALD SiN 층이고, 그리고 상단 층은 저 습식 에칭 레이트 막이다. 일부 실시예들에서, 제 1 층은 도 2a에 대하여 상기에 기술된 바와 같이 요오드-함유 실리콘 전구체를 사용하여 증착된 실리콘 나이트라이드 층일 수도 있다. 다양한 실시예들에서, 제 2 또는 상단 층은 2 개의 인터페이스들을 가짐으로써 측면 전도를 감소시킬 수도 있다. 개시된 실시예들은 2 이상의 막들로부터의 특성들의 결합을 사용할 수도 있다. 하나 이상의 이들 막들은 RPCVD (repmote plasma chemical vapor deposition) 프로세스에 의해 증착될 수도 있다. 일부 실시예들에서, 하나 이상의 이들 막들은 PECVD (plasma enhanced chemical vapor deposition) 에 의해 증착될 수도 있다.
다양한 실시예들에서, 제 1 층은 보다 우수한 단차 커버리지, 적거나 없는 수소 라디칼들 또는 이온들, 그리고 하층들에 대한 양호한 접착력을 가질 수도 있다. 일부 실시예들에서, 제 1 층은 약 13:1의 종횡비를 갖는 피처들에 대해 최대 약 100 %의 단차 커버리지를 가질 수도 있다. 일부 실시예들에서, 제 1 층은 또한 비-산화 막일 수도 있다. 비-산화 막은 하부 기판의 손상을 감소시키는데 유리할 수도 있다.
제 2 층은 보다 우수한 습식 에칭 성능을 가질 수도 있다. 예를 들어, 일부 실시예들에서, 습식 에칭 레이트는 희석된 플루오르화수소산에서 분당 약 100 Å 미만 또는 분당 약 10 Å 미만일 수도 있고, 여기서 플루오르화수소산은 100:1의 비로 희석된다. 제 2 층은 실리콘 나이트라이드, 실리콘 카바이드, 실리콘 카르보나이트라이드, 실리콘 옥시카바이드, 또는 이들의 조합들을 포함할 수도 있다. 막이 밀봉될 수도 있다. 일부 실시예들에서, 제 2 층은 저 수소 탈착을 갖는다. 특정한 이론에 매이지 않고, 저 수소 탈착을 가진 제 2 층은 수소를 덜 해제할 수도 있고, 이에 따라 열적 안정성을 증가시키고 그리고 증착 동안 기판을 에칭하거나 손상시킬 가능성을 감소시킨다. 일부 실시예들에서, 제 2 층은 약 4:1의 종횡비를 가진 피처들에 대해 약 60 % 내지 약 90 %의 단차 커버리지를 갖는다.
다양한 실시예들에서, 제 2 층은 제 2 층이 피처들의 상단 또는 피처들의 상단 근방에서 컨포멀하지 않은 브레드로프 (breadloaf) 를 형성하도록 증착된다. 예를 들어, 일부 실시예들에서, 제 2 층은 측벽 두께가 피처의 하단에서보다 상단에서 보다 두껍도록 증착된다.
다양한 실시예들에서, 제 2 층은 PECVD에 의해 증착된 실리콘 카바이드 또는 실리콘 나이트라이드 막일 수도 있다. 일부 실시예들에서, 제 2 층은 펄싱된 PECVD에 의해 증착될 수도 있다. 일부 실시예들에서, 제 2 층은 펄싱되지 않은 PECVD에 의해 증착될 수도 있다. PECVD에 의해 증착될 때 제 2 층은 고 실리콘 함량을 포함할 수도 있다. 일부 실시예들에서, 제 1 및 제 2 층들이 동일한 챔버 또는 모듈 내에서 증착된다. 일부 실시예들에서, 제 1 및 제 2 층들은 공기 브레이크 (break) 없이 증착된다.
도 2b는 옥사이드 층 (241) 을 포함한 기판 (290) 의 예를 도시한다. 기판 (290) 은 2 개의 메모리 스택들을 포함한다. 일 스택은 텅스텐 층 (243a), 탄소 층 (245a), 칼코게나이드 층 (247a), 제 2 탄소 층 (255a), 제 2 칼코게나이드 층 (257a), 제 3 탄소 층 (265a), 및 나이트라이드 층 (249a) 을 포함한다. 제 2 스택은 텅스텐 층 (243b), 탄소 층 (245b), 칼코게나이드 층 (247b), 제 2 탄소 층 (255b), 제 2 칼코게나이드 층 (257b), 제 3 탄소 층 (265b), 및 나이트라이드 층 (249b) 을 포함한다. 스택들 양자는 나이트라이드 스페이서들 (289) 을 포함하고 그리고 실리콘-함유 막 (299) 은 막이 피처의 상단에서 브레드로핑하도록 기판 위에 증착된다. 실리콘-함유 막 (299) 은 실리콘 나이트라이드 막, 또는 실리콘 카바이드 막, 또는 실리콘 탄소-함유 막, 예컨대, SiCN 또는 SiOC 막일 수도 있다.
도시된 바와 같이, 실리콘-함유 막 (299) 이 또한 상단에 컨포멀하지 않은 브레드로프를 형성하도록 생성될 수도 있고, 이는 스택들 사이에 보이드 (240) 그리고 따라서 스택들 사이에 부가된 열적 배리어를 남긴다. 본 명세서에 기술된 바와 같이 브레드로핑은 약 30 % 내지 약 90 %, 또는 약 50 % 내지 약 90 %의 단차 커버리지를 갖는 컨포멀하지 않은 커버리지를 포함할 수도 있다. 실리콘-함유 막 (299) 은 측벽 두께가 피처의 하단에서보다 상단에서 보다 두껍도록 증착될 수도 있다. 일부 실시예들에서, 증착된 막은 스택들의 상단 또는 스택들의 상단 근방에서의 증착 두께가 측벽들 및/또는 스택들 사이의 기판 상의 증착 두께보다 적어도 약 10 % 내지 약 50 % 보다 두껍도록 컨포멀하지 않게 증착될 수도 있다. 브레드로핑은 막이 스택들의 상단 또는 스택들의 상단 근방에서 보다 두껍게 증착되도록 스택들의 상단 또는 스택들의 상단 근방에서 보다 많은 증착을 발생시키지만, 스택들 사이의 (측벽들 상 그리고 스택들 사이의 갭의 하단 상의) 증착 두께는 보다 얇다. 이 컨포멀하지 않은 증착의 결과로서, 스택들의 상단 또는 스택들의 상단 근방의 보다 두꺼운 증착이 피처 내로의 추가의 증착을 방지하기 때문에 스택들 사이에 보이드가 형성되어서, 측벽들 사이에 보이드를 형성한다. 컨포멀하지 않은 상단이 무거운 (top-heavy) 증착이 보통 회피되지만, 이 경우에, 이러한 증착 기법들이 이로울 수도 있다. 도 2b는 브레드로프를 형성하도록 라인들 사이에서, 피처들의 상단 또는 피처들의 상단 근방에 보다 많은 증착이 있어서 2 개의 라인들 사이에 보이드 (240) 를 형성할 수도 있는 예시적인 기판을 도시한다.
상기에 기술된 바와 같이, 막들이 저온에서 증착될 수도 있다. RPCVD (remote plasma chemical vapor deposition) 장치를 사용하여 SiC 및 SiOC와 같은 실리콘-함유 탄소-함유 막들의 증착에 대한 추가의 기술들이, 2013년 5월 31일 출원되고 발명의 명칭이 "METHOD TO OBTAIN SIC CLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES"이고 2016년 1월 12일 미국 특허 제 9,234,276 호로서 공표된 (issued), 미국 특허 출원 일련번호 제 13/907,699 호 (대리인 문서 제 LAMRP046 호); 2012년 6월 21일 출원되고 발명의 명칭이 "REMOTE PLASMA BASED DEPOSITION OF SiOC CLASS OF FILMS"인 미국 특허 출원 일련번호 제 13/494,836 호 (대리인 문서 제 NOVLP466 호); 2015년 2월 6일 출원되고 발명의 명칭이 "CONFORMAL DEPOSITION OF SILICON CARBIDE FILMS"인 미국 특허 출원 일련번호 제 14/616,435 호 (대리인 문서 제 LAMRP175 호); 및 2015년 5월 14일 출원되고 발명의 명칭이 "MINIMIZING RADICAL RECOMBINATION USING ALD SILICON OXIDE SURFACE COATING WITH INTERMITTENT RESTORATION PLASMA"인 미국 특허 출원 일련번호 제 14/712,167 호 (대리인 문서 제 LAMRP169 호) 에 더 기술되고, 출원 모두는 전체가 참조로서 본 명세서에 인용된다.
도 3은 개시된 실시예들에 따른 예시적인 펄스들의 타이밍 시퀀스도이다. 도 3은 캐리어 가스 또는 퍼지 가스 플로우, 요오도실란 및/또는 브로모실란 전구체 플로우, 및 제 2 반응물질 플로우와 같은, 다양한 프로세스 파라미터들에 대한 예시적인 ALD 프로세스 300의 페이즈들을 도시한다. 선들은 플로우가 턴 온되고 턴 오프될 때를 나타낸다. 도 3에 제공된 예는 플라즈마를 턴 오프하고 턴 온하는 것을 포함하지 않지만, 다양한 실시예들에서, 플라즈마가 제 2 반응물질 가스를 사용하여 플라즈마를 생성하도록 사용될 수도 있다는 것을 주의하라. 예시적인 프로세스 파라미터들은 이로 제한되지 않지만, 불활성 및 반응물질 종에 대한 플로우 레이트들, 기판 온도, 및 프로세스 챔버 압력을 포함한다.
2 개의 증착 사이클들 310A 및 310B가 도시된다. 증착 사이클 각각은 다양한 페이즈들을 포함한다. 예를 들어, 증착 사이클 310A는 요오드-함유 실리콘 전구체 (도 3에서 요오도실란으로서 나타냄) 및/또는 브롬-함유 실리콘 전구체 (도 3에서 브로모실란들로서 나타냄) 노출 페이즈 357A, 퍼지 페이즈 359A, 제 2 반응물질 (예를 들어, 질소 반응물질) 노출 페이즈 361A, 및 퍼지 페이즈 363A를 포함한다. 유사하게, 증착 사이클 310B는 환원제 페이즈 353B, 퍼지 페이즈 355B, 요오드-함유 및/또는 브롬-함유 실리콘 전구체 노출 페이즈 357B, 또 다른 퍼지 페이즈 359B, 질소 반응물질 노출 페이즈 361B, 및 퍼지 페이즈 363B를 포함한다. 도시된 바와 같이, 예시적인 프로세스 300에서, 캐리어 가스가 증착 사이클들 310A 및 310B 내내 흐른다. 다양한 실시예들에서, 캐리어 가스는 퍼지 가스로서 사용된다. 일부 실시예들에서, 캐리어 가스는 퍼지 가스와 상이할 수도 있다. 일부 실시예들에서, 캐리어 가스는 퍼지 페이즈들 (예를 들어, 355A, 359A, 363A, 355B, 359B, 및 363B) 동안에만 흐른다. 캐리어 가스는 도 2a에 대하여 상기에 기술된 것들 중 임의의 것일 수도 있다.
요오드-함유 실리콘 전구체 노출 페이즈 357A에서, 요오드-함유 및/또는 브롬-함유 실리콘 전구체 플로우 및 캐리어 가스 플로우는 턴 온되지만 환원제 플로우 및 질소 반응물질 플로우는 턴 오프된다. 이것은 도 2a의 동작 203에 대응할 수도 있다. 퍼지 페이즈 359A에서, 캐리어 가스 플로우는 턴 온되지만, 요오드-함유 및/또는 브롬-함유 실리콘 전구체 플로우, 환원제 플로우, 및 질소 반응물질 플로우는 턴 오프된다. 이것은 도 2a의 동작 205에 대응할 수도 있다. 질소 반응물질 노출 페이즈 361A에서, 캐리어 가스 플로우 및 질소 반응물질 플로우는 턴 온되지만 요오드-함유 및/또는 브롬-함유 실리콘 전구체 플로우 및 환원제 플로우는 턴 오프된다. 일부 실시예들에서, 선택된 질소 반응물질 및 프로세스 조건들에 따라, 플라즈마가 이 페이즈 동안 턴 온될 수도 있다는 것을 주의하라. 이 페이즈는 도 2a의 동작 211에 대응할 수도 있다. 도 3을 다시 참조하면, 퍼지 페이즈 363A에서, 캐리어 가스 플로우는 턴 온되지만, 요오드-함유 및/또는 브롬-함유 실리콘 전구체 플로우, 환원제 플로우, 및 질소 반응물질 플로우는 턴 오프된다. 도 3에 도시된 바와 같이, 이들 페이즈들은 이어서 막이 충분한 두께로 증착되지 않았다는 도 2a의 동작 215의 결정시 증착 사이클 310B로 반복될 수도 있다는 것을 주의하라. 따라서, 증착 사이클 310B는 이어서 요오드-함유 및/또는 브롬-함유 실리콘 전구체 노출 페이즈 357B, 뒤이어, 퍼지 페이즈 359B, 질소 반응물질 노출 페이즈 361B, 및 차후의 퍼지 페이즈 363B를 포함할 수도 있다. 도 3에 도시된 바와 같이 이들 페이즈들은 캐리어 가스, 요오드-함유 및/또는 브롬-함유 실리콘 전구체 가스, 및 질소 반응물질 가스 플로우에 대해 증착 사이클 310A와 동일한 대응하는 플로우들의 패턴을 가질 수도 있다.
장치
도 4는 저압 분위기를 유지하기 위한 프로세스 챔버 바디 (402) 를 갖는 ALD (atomic layer deposition) 프로세스 스테이션 (400) 의 실시예의 개략도를 도시한다. 복수의 ALD 프로세스 스테이션들 (400) 은 공동 저압 프로세스 툴 분위기에 포함될 수도 있다. 예를 들어, 도 5는 멀티스테이션 프로세싱 툴 (500) 의 실시예를 도시한다. 일부 실시예들에서, 이하에 상세히 기술될 것들을 포함하는, ALD 프로세스 스테이션 (400) 의 하나 이상의 하드웨어 파라미터들은 하나 이상의 컴퓨터 제어기들 (450) 에 의해 프로그램적으로 조정될 수도 있다.
ALD 프로세스 스테이션 (400) 은 프로세스 가스들을 분배 샤워헤드 (406) 로 전달하기 위한 반응물질 전달 시스템 (401a) 과 유체적으로 연통한다. 반응물질 전달 시스템 (401a) 은 샤워헤드 (406) 로의 전달을 위한, 프로세스 가스들, 예컨대, 요오드-함유 및/또는 브롬-함유 실리콘 전구체 가스, 또는 제 2 반응물질 가스 (예를 들어, 암모니아 또는 하이드라진) 를 블렌딩 그리고/또는 컨디셔닝하기 위한 혼합 용기 (404) 를 포함한다. 하나 이상의 혼합 용기 유입 밸브들 (420) 은 혼합 용기 (404) 로의 프로세스 가스들의 도입을 제어할 수도 있다. 질소 플라즈마 또는 수소 플라즈마가 또한 샤워헤드 (406) 로 전달될 수도 있거나 ALD 프로세스 스테이션 (400) 내에서 생성될 수도 있다.
예로서, 도 4의 실시예는 혼합 용기 (404) 로 공급될 액체 반응물질을 기화하기 위한 기화 지점 (403) 을 포함한다. 일부 실시예들에서, 기화 지점 (403) 은 가열된 기화기일 수도 있다. 이러한 기화기들로부터 생성된 포화된 반응물질 증기는 다운스트림 전달 파이프에서 응결될 수도 있다. 양립할 수 없는 가스들의 응결된 반응물질로의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막고 (clog), 밸브 동작을 지연시키고, 기판들을 오염시키는 등을 할 수도 있다. 이들 문제들을 해결하기 위한 일부 방법들은 잔여 반응물질을 제거하기 위해 전달 파이프를 퍼지 및/또는 배기하는 것을 수반한다. 그러나, 전달 파이프를 퍼지하는 것은 프로세스 스테이션 쓰루풋을 저하시키는, 프로세스 스테이션 사이클 시간을 증가시킬 수도 있다. 따라서, 일부 실시예들에서, 기화 지점 (403) 의 전달 파이핑 다운스트림에서 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (404) 가 또한 열 추적될 수도 있다. 비제한적인 일 예에서, 기화 지점 (403) 의 파이핑 다운스트림은 혼합 용기 (404) 에서 대략 100 ℃ 내지 대략 150 ℃로 연장하는 증가하는 온도 프로파일을 갖는다.
일부 실시예들에서, 액체 전구체 또는 액체 반응물질은 액체 주입기에서 기화될 수도 있다. 예를 들어, 액체 주입기는 액체 반응물질의 펄스들을 혼합 용기의 업스트림에서 캐리어 가스 스트림으로 주입할 수도 있다. 일 실시예에서, 액체 주입기는 보다 높은 압력으로부터 보다 낮은 압력으로 액체를 플래시함으로써 반응물질을 기화시킬 수도 있다. 또 다른 예에서, 액체 주입기는 가열된 전달 파이프에서 나중에 기화되는 분산된 마이크로드롭릿들로 액체를 원자화할 수도 있다. 보다 작은 드롭릿들이 보다 큰 드롭릿들보다 보다 고속으로 기화될 수 있어서, 액체 주입과 기화 완료 간의 지연을 감소시킨다. 보다 고속의 기화는 기화 지점 (403) 으로부터 파이핑 다운스트림의 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (404) 에 바로 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 분배 샤워헤드 (406) 에 바로 장착될 수도 있다.
일부 실시예들에서, 기화 지점 (403) 의 업스트림에, 액체 유량 제어기 (LFC) 가 기화 및 프로세스 스테이션 (400) 으로의 전달을 위해 액체의 질량 유량을 제어하기 위해 제공될 수도 있다. 예를 들어, 액체 유량 제어기 (LFC) 는 LFC의 다운스트림에 위치된 열적 질량 유량 미터 (MFM) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화시키기 위해 1 초 이상 걸릴 수도 있다. 이는 액체 반응물질을 도징하기 위한 시간을 연장할 수도 있다. 따라서, 일부 실시예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 전환될 수도 있다. 일부 실시예들에서, LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 동적 전환이 수행될 수도 있다.
샤워헤드 (406) 는 기판 (412) 을 향하여 프로세스 가스들을 분배한다. 도 4에 도시된 실시예에서, 기판 (412) 은 분배 샤워헤드 (406) 아래에 위치되고, 페데스탈 (408) 상에 놓인 것으로 도시된다. 분배 샤워헤드 (406) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (412) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
일부 실시예들에서, 페데스탈 (408) 은, 기판 (412) 을 기판 (412) 과 분배 샤워헤드 (406) 사이의 볼륨에 노출시키기 위해 상승되거나 하강될 수도 있다. 일부 실시예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (450) 에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다.
또 다른 시나리오에서, 페데스탈 (408) 의 높이를 조정하는 것은 플라즈마 밀도로 하여금 플라즈마가 점화되는 실시예들에서 프로세스의 플라즈마 활성화 사이클들 동안 가변되게 할 수도 있다. 프로세스 페이즈의 종료 시, 페데스탈 (408) 은 또 다른 기판 이송 페이즈 동안 페데스탈 (408) 로부터 기판 (412) 의 제거를 허용하도록 하강될 수도 있다.
일부 실시예들에서, 페데스탈 (408) 은 히터 (410) 를 통해 온도 제어될 수도 있다. 일부 실시예들에서, 페데스탈 (408) 은 개시된 실시예들에 기술된 바와 같이 실리콘 나이트라이드 막들의 증착 동안, 적어도 약 250 ℃, 또는 일부 실시예들에서, 약 300 ℃ 미만, 예컨대, 약 250 ℃의 온도로 가열될 수도 있다. 일부 실시예들에서, 페데스탈은 약 50 ℃ 내지 약 300 ℃의 온도, 예컨대, 약 200 ℃ 내지 약 275 ℃의 온도로 설정된다. 일부 실시예들에서, 페데스탈은 약 50 ℃ 내지 약 300 ℃의 온도로 설정된다. 일부 실시예들에서, 페데스탈은 약 200 ℃ 내지 약 275 ℃의 온도로 설정된다.
또한, 일부 실시예들에서, 프로세스 스테이션 (400) 에 대한 압력 제어가 버터플라이 밸브 (418) 에 의해 제공될 수도 있다. 도 4의 실시예에 도시된 바와 같이, 버터플라이 밸브 (418) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다. 그러나, 일부 실시예들에서, 프로세스 스테이션 (400) 의 압력 제어는 또한 프로세스 스테이션 (400) 에 도입된 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 조정될 수도 있다.
일부 실시예들에서, 분배 샤워헤드 (406) 의 위치는 기판 (412) 과 분배 샤워헤드 (406) 사이의 볼륨을 가변시키기 위해 페데스탈 (408) 에 대해 조정될 수도 있다. 또한, 페데스탈 (408) 및/또는 분배 샤워헤드 (406) 의 수직 위치는 본 개시의 범위 내에 있는 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 페데스탈 (408) 은 기판 (412) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시예들에서, 하나 이상의 이들 예시적인 조정들은 하나 이상의 적합한 컴퓨터 제어기들 (450) 에 의해 프로그램적으로 수행될 수도 있다는 것이 이해될 것이다.
상기 논의된 바와 같이 플라즈마가 사용될 수도 있는 일부 실시예들에서, 분배 샤워헤드 (406) 및 페데스탈 (408) 은 플라즈마에 전력을 공급하기 위해 RF 전력 공급부 (414) 및 매칭 네트워크 (416) 와 전기적으로 통신한다. 일부 실시예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (414) 및 매칭 네트워크 (416) 는 목표된 조성의 라디컬 종을 갖는 플라즈마를 형성하기 위해 임의의 적합한 전력으로 동작될 수도 있다. 적합한 전력들의 예들은 상기에 포함되었다. 유사하게, RF 전력 공급부 (414) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시예들에서, RF 전력 공급부 (414) 는 서로 독립적으로 고 주파수 및 저 주파수 RF 전력 소스들을 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 0 ㎑ 내지 500 ㎑의 주파수를 포함할 수도 있다. 예시적인 고 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓, 또는 약 13.56 ㎒ 초과, 또는 27 ㎒ 초과, 또는 40 ㎒ 초과, 또는 60 ㎒초과의 주파수들을 포함할 수도 있다. 표면 반응들을 위한 플라즈마 에너지를 제공하도록 임의의 적합한 파라미터들이 불연속적으로 또는 연속적으로 조절될 수도 있다는 것이 이해될 것이다.
일부 실시예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인-시츄 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (optical emission spectroscopy sensors) 에 의해 측정될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인-시츄 플라즈마 모니터들로부터의 측정치들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적인 제어를 제공하기 위해 피드백 루프에서 사용될 수도 있다. 일부 실시예들에서, 플라즈마 및 다른 프로세스 특성들을 모니터링하기 위해 다른 모니터들이 사용될 수도 있다는 것이 이해될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들을 포함할 수도 있다.
일부 실시예들에서, 제어기 (450) 에 대한 인스트럭션들은 IOC (input/output control) 시퀀싱 인스트럭션들을 통해 제공될 수도 있다. 일 예에서, 프로세스 페이즈를 위해 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 페이즈를 위한 모든 인스트럭션들이 이 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들은 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 불활성 및/또는 반응물질 가스 (예를 들어, 요오드-함유 및/또는 브롬-함유 실리콘 전구체와 같은 제 1 전구체) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 캐리어 가스 (예컨대 아르곤) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2, 후속하는 레시피 페이즈는 불활성 및/또는 반응물질 가스의 플로우 레이트를 조절하거나 중지시키기 위한 인스트럭션들, 및 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피 페이즈는 암모니아와 같은 제 2 반응물질 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 제 3 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 4, 후속하는 레시피 페이즈는 불활성 및/또는 반응물질 가스의 플로우 레이트를 조절하거나 중지시키기 위한 인스트럭션들, 및 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 및 제 4 레시피 페이즈에 대한 시간 지연 인스트력션들을 포함할 수도 있다. 이들 레시피 페이즈들은 더 세분화될 수도 있고/있거나 개시된 실시예들의 범위 내의 임의의 적합한 방식으로 반복될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 제어기 (450) 는 도 5의 시스템 제어기 (550) 에 대해 이하에 기술된 특징들 중 임의의 특징들을 포함할 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들은 멀티스테이션 프로세싱 툴에 포함될 수도 있다. 도 5는 인바운드 로드록 (502) 및 아웃바운드 로드록 (504) 중 하나 또는 양자가 리모트 플라즈마 소스를 포함할 수도 있는, 인바운드 로드록 (502) 및 아웃바운드 로드록 (504) 을 갖는, 멀티스테이션 프로세싱 툴 (500) 의 실시예의 개략도를 도시한다. 대기압에서 로봇 (506) 은, 카세트로부터 포드 (508) 를 통해 인바운드 로드록 (502) 으로 로딩된 웨이퍼들을 대기 포트 (510) 를 통해 이동시키도록 구성된다. 웨이퍼는 인바운드 로드록 (502) 내의 페데스탈 (512) 상에 로봇 (506) 에 의해 배치되고, 대기 포트 (510) 는 폐쇄되고, 로드록은 펌프 다운된다 (pump down). 인바운드 로드록 (502) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (514) 내로 도입되기 전에 로드록 내에서 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드록 (502) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (514) 로의 챔버 이송 포트 (516) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위해 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 5에 도시된 실시예는 로드록들을 포함하지만, 일부 실시예들에서, 웨이퍼의 프로세스 스테이션으로의 직접적인 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (514) 는 도 5에 도시된 실시예들에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 518로 도시됨), 및 가스선 유입부들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각이 상이한 목적 또는 다수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 ALD와 PEALD 프로세스 모드 사이에서 전환가능할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (514) 는 ALD 및 PEALD 프로세스 스테이션들의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (514) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 갖는 반면, 다른 실시예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 5는 또한 프로세싱 챔버 (514) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 처리 시스템 (590) 의 실시예를 도시한다. 일부 실시예들에서, 웨이퍼 처리 시스템 (590) 은 다양한 프로세스 스테이션들 사이 및/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 처리 시스템이 채택될 수도 있다는 것이 이해될 것이다. 비제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 처리 로봇들을 포함한다. 도 5는 또한 프로세스 툴 (500) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (550) 의 실시예를 도시한다. 시스템 제어기 (550) 는 하나 이상의 메모리 디바이스들 (556), 하나 이상의 대용량 저장 디바이스들 (554), 및 하나 이상의 프로세서들 (552) 을 포함할 수도 있다. 프로세서 (552) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (550) 는 프로세스 툴 (500) 의 모든 액티비티들을 제어한다. 시스템 제어기 (550) 는 대용량 저장 디바이스 (554) 에 저장되고, 메모리 디바이스 (556) 로 로딩되고, 프로세서 (552) 상에서 실행되는 시스템 제어 소프트웨어 (558) 를 실행한다. 대안적으로, 제어 로직은 제어기 (550) 내에서 하드코딩될 수도 있다. ASIC, PLD (예를 들어, FPGA (field-progra㎜able gate array)), 등이 이 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 유사한 하드코딩된 로직이 그 자리에 사용될 수도 있다. 시스템 제어 소프트웨어 (558) 는 타이밍, 가스들의 혼합, 가스 플로우 레이트들, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 위치, 및 프로세스 툴 (500) 에서 수행된 특정한 프로세스의 다른 파라미터들을 포함할 수도 있다. 시스템 제어 소프트웨어 (558) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 구성요소 서브루틴들 또는 제어 객체들이 다양한 프로세스 툴 프로세스들을 수행하기 위해 사용된 프로세스 툴 구성요소들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어 (558) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (558) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (550) 와 연관된 대용량 저장 디바이스 (554) 및/또는 메모리 디바이스 (556) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (518) 상에 기판을 로딩하고 기판과 프로세스 툴 (500) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 프로세스 스테이션 내의 압력을 안정화시키기 위해 증착 전에 가스 조성 (예를 들어, 요오드-함유 실리콘 전구체 가스들, 질소-함유 가스들, 본 명세서에 기술된 바와 같은 캐리어 가스들 및 퍼지 가스들) 및 플로우 레이트들을 제어하기 위한 코드 및 선택 가능하게 하나 이상의 프로세스 스테이션들로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션으로의 가스 플로우, 등을 조절함으로써 프로세스 스테이션 내의 압력을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하기 위해 사용된 히팅 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따른, 하나 이상의 프로세스 스테이션들 내의 프로세스 전극들에 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시예들에 따른 반응 챔버 내에서 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (550) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (550) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 압력, 온도 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (550) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (500) 의 아날로그 출력 연결부 및/또는 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들, (압력계들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouple), 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다.
시스템 제어기 (550) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따른 막 스택들의 인-시츄 증착을 동작시키기 위한 파라미터들을 제어할 수도 있다.
시스템 제어기 (550) 는, 통상적으로 장치가 개시된 실시예들에 따른 방법을 수행하도록 인스트럭션들을 실행하도록 구성된 하나 이상의 프로세서들 및 하나 이상의 메모리 디바이스들을 포함할 것이다. 개시된 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신 판독가능 매체는 시스템 제어기 (550) 에 커플링될 수도 있다.
일부 구현예들에서, 시스템 제어기 (550) 는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 시스템 제어기 (550) 는, 시스템의 프로세싱 조건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 시스템 제어기 (550) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (550) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (550) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (550) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (550) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 시스템 제어기 (550) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 시스템 제어기 (550) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (550) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에 개시된 방법들을 수행하기 위한 적절한 장치는 이는 각각 전체가 본 명세서에 참조로서 인용된, 명칭이 2011년 4월 11일 출원된 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"인 미국 특허 출원 제 13/084,399 호 (현재 미국 특허 제 8,728,956 호), 및 2011년 4월 11일 출원된 명칭이 "SILICON NITRIDE FILMS AND METHODS"인 미국 특허 출원 제 13/084,305 호에서 더 논의되고 기술된다.
본 명세서에 기술된 장치/프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위한 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공동 제조 설비 내에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로, 단계들 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 이하의 단계들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 단계; (2) 고온 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 그 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다.
실험
실험 1
실험은 12-인치 웨이퍼 상의 ALD에 의한 디요오도실란을 사용한 100 Å의 SiN을 증착하는 것으로부터 표면 오염량을 평가하도록 실시되었다. 표 2에 도시된 금속들은 막이 증착된 후 오염 레벨들에 대해 평가되었다. 디클로로실란 금속들은 알루미늄에 대해 100×1010 atoms/㎠ 초과, 구리에 대해 5×1010 atoms/㎠ 초과, 그리고 철에 대해 5×1010 atoms/㎠ 초과의 표면 농도들을 발생시킨다. 표 2에 도시된 바와 같이, 실리콘 나이트라이드 막이 디요오도실란에 의해 증착되었을 때 웨이퍼 상의 금속 오염은 디클로로실란에 의해 증착된 막들의 금속 오염보다 훨씬 보다 낮았다.
표면 농도
방법 검출 제한들 표면 농도
(× 10 10 atoms/㎠)
알루미늄 (Al) 0.1 <0.1
칼슘 (Ca) 0.1 1.9
크롬 (Cr) 0.03 <0.03
구리 (Cu) 0.01 0.083
(Fe) 0.05 0.073
마그네슘 (Mg) 0.05 0.45
니켈 (Ni) 0.03 1.8
칼륨 (K) 0.1 <0.1
나트륨 (Na) 0.1 <0.1
티타늄 (Ti) 0.03 0.053
아연 (Zn) 0.03 0.090
실험 2
FTIR (Fourier transform infrared spectroscopy) 스펙트럼이 디요오도실란에 의해 증착된 실리콘 나이트라이드 재료 및 디클로로실란에 의해 증착된 실리콘 나이트라이드 재료에 대해 생성되었다. 요오도실란에 의해 증착된 실리콘 나이트라이드 재료는 N-H 결합만을 나타냈고 불안정한 Si-H 결합들의 생성을 나타내지 않았다.
실험 3
실험은 테트라요오도실란을 사용한 실리콘 나이트라이드의 증착 및 테트라브로모실란을 사용한 실리콘 나이트라이드의 증착에 대해 실시되었다. 테트라요오도실란을 사용한 실리콘 나이트라이드의 증착은 0.55 Å/cycle의 증착 레이트로 테트라요오도실란과 암모니아의 교번하는 펄스들을 사용하여 ALD에 의해 수행되었다. 결과로 발생한 막은 95 % 초과의 단차 커버리지를 가졌다.
테트라브로모실란을 사용한 실리콘 나이트라이드의 증착은 0.37 Å/cycle의 증착 레이트로 테트라브로모실란과 암모니아의 교번하는 펄스들을 사용하여 ALD에 의해 수행되었다. 결과로 발생한 막은 95 % 초과의 단차 커버리지를 가졌다. 14:1 종횡비를 가진 피처 내로의 증착이 고 컨포멀성 및 균일성을 나타낸다는 것을 주의하라 (도 6a 참조).
FTIR 스펙트럼이 테트라브로모실란에 의해 증착된 실리콘 나이트라이드 재료에 대해 생성되었다. FTIR 스펙트럼들이 도 6b에 도시되고, 이는 다음과 같이 피크들 및 파수 위치들 (601, 603, 605, 607, 및 609) 을 식별한다: 601은 Si-N-Si 결합들 (885 cm-1) 을 나타내고, 603은 Si2N-H 결합들 (1180 cm-1) 을 나타내고, 605는 N-H2 결합들을 나타내고, 607은 Si-H 결합들을 나타내고, 그리고 609는 Si2N-H 결합들 (3350 cm-1) 을 나타낸다. 테트라브로모실란에 의해 증착된 실리콘 나이트라이드 재료는 보다 적은 Si-H 결합 및 고 Si-N-Si 결합을 나타낸다.
테트라요오도실란 및 테트라브로모실란에 의해 증착된 막들 양자에 대해 측정된 밀도는 2.2 g/cc이었다. 막들 양자는 고 N-H 함량을 갖는다. 막들이 100:1 희석된 플루오르화수소산에서 고 습식 에칭 레이트를 나타내지만, 이러한 결과들은 브로모실란들이 실리콘 전구체로서 실리콘 나이트라이드를 증착할 가능성이 큰 집단임을 암시한다.
실험 4
실험은 NH3 플라즈마에 테트라브로모실란에 의해 증착된 실리콘 나이트라이드 막들을 노출시키는 것을 수반하여 실시되었다. 배리어 층이 없는 GST (게르마늄, 안티몬, 텔루륨) 기판은 60 초 동안 NH3 플라즈마에 노출되었다. 기판의 결과로 발생한 조성은 도 7a에 도시된다. 기판은 1:0.7:1.5의 Ge:Sb:Te 비를 가졌다.
배리어 층이 테트라브로모실란과 NH3의 교번하는 펄스들을 수반한 열적 ALD에 의해 GST 기판 상에 증착되었다. 기판의 결과로 발생한 조성은 도 7b에 도시된다. 기판은 1:0.9:2.0의 Ge:Sb:Te 비를 가졌다.
테트라브로모실란과 NH3의 교번하는 펄스들을 수반한 열적 ALD의 107 개의 사이클들에 의해 증착된 배리어 층을 포함한 GST 기판이 60 초 동안 NH3 플라즈마에 노출되었다. 기판의 결과로 발생한 조성은 도 7c에 도시된다. 기판은 1:0.9:2.2의 Ge:Sb:Te 비를 가졌다. 도 7a에 대하여 상기에 기술된 기판과 비교할 때, 테트라브로모실란을 사용하여 증착된 실리콘 나이트라이드 막은 GST 기판이 NH3 플라즈마에 의해 손상되는 것을 방지하였다.
실험 5
실험은 암모니아 플라즈마에 테트라브로모실란에 의해 증착된 상이한 두께들의 실리콘 나이트라이드 막들을 노출시키는 것을 수반하여 실시되었다. 실리콘 나이트라이드는 22 Å 막 (0.37 Å/cycle의 증착 레이트를 사용하여 계산된 두께) 을 형성하도록 테트라브로모실란과 NH3의 교번하는 펄스들을 수반한 열적 ALD의 60 개의 사이클들에 의해 제 1 GST 기판 상에 증착되었다. 실리콘 나이트라이드를 포함한 기판은 NH3 플라즈마에 60 초 노출되었다. 기판의 결과로 발생한 조성은 도 8a에 도시된다. Ge:Sb:Te 비는 1:0.9:2.0였다. 일부 표면 게르마늄 및 대량 텔루륨의 손실이 관찰되었다.
실리콘 나이트라이드는 31 Å 막 (0.37 Å/cycle의 증착 레이트를 사용하여 계산된 두께) 을 형성하도록 테트라브로모실란과 NH3의 교번하는 펄스들을 수반한 열적 ALD의 83 개의 사이클들에 의해 제 2 GST 기판 상에 증착되었다. 실리콘 나이트라이드를 포함한 기판은 NH3 플라즈마에 60 초 노출되었다. 기판의 결과로 발생한 조성은 도 8b에 도시된다. Ge:Sb:Te 비는 1:0.9:1.9였다. 일부 표면 게르마늄 및 대량 텔루륨의 손실이 관찰되었다.
실리콘 나이트라이드는 40 Å 막 (0.37 Å/cycle의 증착 레이트를 사용하여 계산된 두께) 을 형성하도록 테트라브로모실란과 NH3의 교번하는 펄스들을 수반한 열적 ALD의 107 개의 사이클들에 의해 제 3 GST 기판 상에 증착되었다. 실리콘 나이트라이드를 포함한 기판은 NH3 플라즈마에 60 초 노출되었다. 기판의 결과로 발생한 조성은 도 8c에 도시된다. Ge:Sb:Te 비는 1:0.9:2.2였다. 전혀 또는 거의 손실이 관찰되지 않았다. 전구체로서 테트라브로모실란을 사용하여 적어도 40 Å의 두께로 증착된 실리콘 나이트라이드 막은 GST 기판을 NH3 플라즈마로부터 충분히 보호할 수 있다는 것으로 결론지을 수 있다.
결론
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 실시예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (19)

  1. 칼코게나이드 재료를 캡슐화하는 방법에 있어서,
    상기 방법은,
    (a) 상기 칼코게나이드 재료의 하나 이상의 노출된 층들을 포함한 기판을 챔버에 제공하는 단계;
    (b) 염소-프리 (free) 브롬-함유 실리콘 전구체로 하여금 상기 기판의 표면 상에 흡착하게 하여 상기 염소-프리 브롬-함유 실리콘 전구체의 흡착된 층을 형성하는 조건들 하에서, 상기 염소-프리 브롬-함유 실리콘 전구체에 상기 기판을 노출시키는 단계; 및
    (c) 상기 칼코게나이드 재료 상에 실리콘 나이트라이드 막을 형성하도록 제 2 반응물질에 상기 기판을 노출시키는 단계를 포함하는, 칼코게나이드 재료를 캡슐화하는 방법.
  2. 제 1 항에 있어서,
    상기 염소-프리 브롬-함유 실리콘 전구체는 SixBryIz (여기서 x=1, y는 1 내지 4의 정수, 그리고 y+z=4) 의 화학식을 가진 화합물들; 및 SixBryIz (여기서 x=2, y는 1 내지 6의 정수, 그리고 y+z=6) 의 화학식을 가진 화합물들로 구성된 그룹으로부터 선택되는, 칼코게나이드 재료를 캡슐화하는 방법.
  3. 제 2 항에 있어서,
    상기 염소-프리 브롬-함유 실리콘 전구체는 테트라브로모실란 (SiBr4), SiBr3I, SiBr2I2, SiBrI3, 헥사브로모디실란 (Si2Br6), Si2Br5I, Si2Br4I2, Si2Br3I3, Si2Br2I4, Si2BrI5, 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 칼코게나이드 재료를 캡슐화하는 방법.
  4. 칼코게나이드 재료를 캡슐화하는 방법에 있어서,
    상기 방법은,
    (a) 상기 칼코게나이드 재료의 하나 이상의 노출된 층들을 포함한 기판을 챔버에 제공하는 단계;
    (b) 디요오도실란으로 하여금 상기 기판의 표면 상에 흡착하게 하여 상기 디요오도실란의 흡착된 층을 형성하는 조건들 하에서 상기 디요오도실란에 상기 기판을 노출시키는 단계; 및
    (c) 상기 칼코게나이드 재료 상에 실리콘 나이트라이드 막을 형성하도록 제 2 반응물질에 상기 기판을 노출시키는 단계를 포함하는, 칼코게나이드 재료를 캡슐화하는 방법.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 실리콘 나이트라이드는 적어도 약 40 Å의 두께로 증착되는, 칼코게나이드 재료를 캡슐화하는 방법.
  6. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 제 2 반응물질은 암모니아 및 하이드라진들로 구성된 그룹으로부터 선택되고, 그리고 상기 실리콘 나이트라이드는 약 300 ℃ 미만의 온도로 증착되는, 칼코게나이드 재료를 캡슐화하는 방법.
  7. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    반응성 종을 생성하도록 상기 제 2 반응물질에 상기 기판을 노출시킬 때 플라즈마를 점화하는 단계를 더 포함하는, 칼코게나이드 재료를 캡슐화하는 방법.
  8. 제 7 항에 있어서,
    상기 제 2 반응물질은 질소 및 수소로 구성된 그룹으로부터 선택되는, 칼코게나이드 재료를 캡슐화하는 방법.
  9. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 제 2 반응물질은 알루미늄, 철, 구리, 안티몬, 셀레늄, 텔루륨, 게르마늄, 및 비소로 구성된 그룹으로부터 선택된 재료와 반응될 때 휘발성 종을 형성하는, 칼코게나이드 재료를 캡슐화하는 방법.
  10. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 칼코게나이드 재료는 황, 셀레늄, 텔루륨, 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 칼코게나이드 재료를 캡슐화하는 방법.
  11. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 증착된 실리콘 나이트라이드 막은 적어도 약 95 %의 단차 커버리지를 갖는, 칼코게나이드 재료를 캡슐화하는 방법.
  12. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 실리콘 나이트라이드 막은 약 30 Å 초과의 두께로 증착되는, 칼코게나이드 재료를 캡슐화하는 방법.
  13. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 챔버는: (i) 상기 단계 (b) 를 수행한 후 그리고 상기 단계 (c) 를 수행하기 전; 및 (ii) 상기 단계 (c) 를 수행한 후 중 적어도 하나 동안 퍼지되는, 칼코게나이드 재료를 캡슐화하는 방법.
  14. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 단계 (b) 및 상기 단계 (c) 는 순차적으로 반복되는, 칼코게나이드 재료를 캡슐화하는 방법.
  15. 제 13 항에 있어서,
    상기 챔버는 아르곤, 헬륨, 질소, 및 수소로 구성된 그룹으로부터 선택된 퍼지 가스를 흘림으로써 퍼지되는, 칼코게나이드 재료를 캡슐화하는 방법.
  16. 칼코게나이드 재료를 포함한 메모리 스택; 및
    상기 메모리 스택 위에 증착되고 그리고 상기 칼코게나이드 재료를 캡슐화하는 실리콘 나이트라이드 층으로서, 상기 실리콘 나이트라이드 층은 ALD (atomic layer deposition) 를 사용하여 브롬-함유 실리콘 전구체의 노출과 제 2 반응물질의 노출을 교번함으로써 적어도 40 Å의 두께로 증착되고, 상기 실리콘 나이트라이드 층은 약 30 % 내지 약 90 %의 단차 커버리지를 갖는, 상기 실리콘 나이트라이드 층을 포함하는, 메모리 디바이스,
  17. 칼코게나이드 재료를 캡슐화하는 방법에 있어서,
    상기 방법은,
    (a) 상기 칼코게나이드 재료의 하나 이상의 노출된 층들을 포함한 기판을 챔버에 제공하는 단계;
    (b) 염소-프리 요오드-함유 실리콘 전구체로 하여금 상기 기판의 표면 상에 흡착하게 하여 상기 염소-프리 요오드-함유 실리콘 전구체의 흡착된 층을 형성하는 조건들 하에서 상기 염소-프리 요오드-함유 실리콘 전구체에 상기 기판을 노출시키는 단계;
    (c) 상기 칼코게나이드 재료 상에 실리콘 나이트라이드 막을 형성하도록 제 2 반응물질에 상기 기판을 노출시키는 단계; 및
    (d) 반응성 종을 생성하도록 상기 제 2 반응물질에 상기 기판을 노출시킬 때 플라즈마를 점화하는 단계를 포함하는, 칼코게나이드 재료를 캡슐화하는 방법.
  18. 칼코게나이드 재료를 캡슐화하는 방법에 있어서,
    상기 방법은,
    (a) 상기 칼코게나이드 재료의 하나 이상의 노출된 층들을 포함한 기판을 챔버에 제공하는 단계;
    (b) 헥사요오도실란으로 하여금 상기 기판의 표면 상에 흡착하게 하여 상기 헥사요오도실란의 흡착된 층을 형성하는 조건들 하에서 상기 헥사요오도실란에 상기 기판을 노출시키는 단계; 및
    (c) 상기 칼코게나이드 재료 상에 실리콘 나이트라이드 막을 형성하도록 제 2 반응물질에 상기 기판을 노출시키는 단계를 포함하는, 칼코게나이드 재료를 캡슐화하는 방법.
  19. 칼코게나이드 재료를 포함한 메모리 스택;
    상기 메모리 스택 위에 증착되고 그리고 상기 칼코게나이드 재료를 캡슐화하는 실리콘 나이트라이드 층으로서, 상기 실리콘 나이트라이드 층은 원자층 증착을 사용하여 디요오도실란의 노출과 제 2 반응물질의 노출을 교번함으로써 증착되고, 상기 실리콘 나이트라이드 층은 약 30 % 내지 약 90 %의 단차 커버리지를 갖는, 상기 실리콘 나이트라이드 층; 및
    약 250 ℃에서 RPCVD (remote plasma chemical vapor deposition) 또는 PECVD (plasma enhanced chemical vapor deposition) 에 의해 상기 실리콘 나이트라이드 층 직상에 증착된 실리콘-함유 층으로서, 상기 실리콘-함유 층은 희석된 플루오르화수소산을 사용하여 약 100 Å/min 미만의 습식 에칭 레이트를 갖고, 상기 플루오르화수소산은 100:1의 비로 희석되는, 상기 실리콘-함유 층을 포함하는, 메모리 디바이스.
KR1020160122046A 2015-09-24 2016-09-23 칼코게나이드 재료를 캡슐화하기 위한 방법 KR102637938B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201562232243P 2015-09-24 2015-09-24
US62/232,243 2015-09-24
US14/935,317 2015-11-06
US14/935,317 US9601693B1 (en) 2015-09-24 2015-11-06 Method for encapsulating a chalcogenide material
US15/272,222 US9865815B2 (en) 2015-09-24 2016-09-21 Bromine containing silicon precursors for encapsulation layers
US15/272,222 2016-09-21

Publications (2)

Publication Number Publication Date
KR20170039567A true KR20170039567A (ko) 2017-04-11
KR102637938B1 KR102637938B1 (ko) 2024-02-16

Family

ID=58337232

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160122046A KR102637938B1 (ko) 2015-09-24 2016-09-23 칼코게나이드 재료를 캡슐화하기 위한 방법

Country Status (4)

Country Link
US (3) US9601693B1 (ko)
JP (1) JP6918460B2 (ko)
KR (1) KR102637938B1 (ko)
TW (1) TWI776792B (ko)

Families Citing this family (284)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10157736B2 (en) 2016-05-06 2018-12-18 Lam Research Corporation Methods of encapsulation
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9929006B2 (en) * 2016-07-20 2018-03-27 Micron Technology, Inc. Silicon chalcogenate precursors, methods of forming the silicon chalcogenate precursors, and related methods of forming silicon nitride and semiconductor structures
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US9824884B1 (en) * 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
FR3058162B1 (fr) * 2016-11-02 2021-01-01 Commissariat Energie Atomique Procede de depot de films minces de chalcogenure
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10199270B2 (en) * 2017-05-25 2019-02-05 Globalfoundries Inc. Multi-directional self-aligned multiple patterning
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102396119B1 (ko) * 2017-09-15 2022-05-11 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
JP7113670B2 (ja) * 2018-06-08 2022-08-05 東京エレクトロン株式会社 Ald成膜方法およびald成膜装置
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11600530B2 (en) * 2018-07-31 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102620168B1 (ko) 2018-08-22 2024-01-02 삼성전자주식회사 가변 저항 메모리 장치 및 그 제조 방법
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102607181B1 (ko) 2018-10-05 2023-11-29 삼성전자주식회사 가변 저항 메모리 소자 및 이의 제조 방법
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US20200381623A1 (en) * 2019-05-31 2020-12-03 Applied Materials, Inc. Methods of forming silicon nitride encapsulation layers
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202111825A (zh) 2019-07-29 2021-03-16 美商應用材料股份有限公司 原子層沉積之多層封裝堆疊
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
KR20210069164A (ko) 2019-12-02 2021-06-11 삼성전자주식회사 가변 저항 메모리 소자 및 이의 제조 방법
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11417840B2 (en) * 2019-12-31 2022-08-16 Micron Technology, Inc. Protective sealant for chalcogenide material and methods for forming the same
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11424118B2 (en) * 2020-01-23 2022-08-23 Micron Technology, Inc. Electronic devices comprising silicon carbide materials
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11800824B2 (en) 2021-03-24 2023-10-24 Applied Materials, Inc. Low temperature silicon nitride/silicon oxynitride stack film with tunable dielectric constant
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005163084A (ja) * 2003-12-01 2005-06-23 Mitsui Chemicals Inc シリコン薄膜の製膜方法
JP2010232214A (ja) * 2009-03-25 2010-10-14 Toshiba Corp 不揮発性記憶装置および不揮発性記憶装置の製造方法
KR20130085900A (ko) * 2012-01-20 2013-07-30 노벨러스 시스템즈, 인코포레이티드 무-염소 등각 질화 규소 필름 증착 방법
JP2014038968A (ja) * 2012-08-17 2014-02-27 Ps4 Luxco S A R L 半導体装置の製造方法
WO2014030393A1 (ja) * 2012-08-20 2014-02-27 日本電気株式会社 抵抗変化素子、および抵抗変化素子の製造方法
US20140273528A1 (en) * 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES

Family Cites Families (220)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR93097E (fr) 1965-10-11 1969-02-07 Ibm Procédé de dépot de films isolants et dispositifs électriques utilisant de tels films.
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4575921A (en) 1983-11-04 1986-03-18 General Motors Corporation Silicon nitride formation and use in self-aligned semiconductor device manufacturing method
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
EP0313683A1 (en) 1987-10-30 1989-05-03 International Business Machines Corporation Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
US5091332A (en) 1990-11-19 1992-02-25 Intel Corporation Semiconductor field oxidation process
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5731235A (en) 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US5891805A (en) 1996-12-13 1999-04-06 Intel Corporation Method of forming contacts
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6153519A (en) 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US5976990A (en) 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
US6197701B1 (en) 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6380056B1 (en) 1998-10-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6228779B1 (en) 1998-11-06 2001-05-08 Novellus Systems, Inc. Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
KR100340716B1 (ko) 1999-10-29 2002-06-20 윤종용 실리콘 질화막 형성방법
EP1234322A2 (en) 1999-11-02 2002-08-28 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
KR100338125B1 (ko) 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
CN1216415C (zh) 2000-04-25 2005-08-24 东京毅力科创株式会社 沉积金属薄膜的方法和包括超临界干燥/清洁组件的金属沉积组合工具
KR100366621B1 (ko) 2000-06-28 2003-01-09 삼성전자 주식회사 반도체 소자의 도전성 콘택체를 형성하는 방법
US6548368B1 (en) 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP3696119B2 (ja) 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6709928B1 (en) 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
JP4116283B2 (ja) * 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
CN100567564C (zh) 2002-12-20 2009-12-09 应用材料有限公司 形成高质量的低温氮化硅层的方法和设备
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US7163860B1 (en) 2003-05-06 2007-01-16 Spansion Llc Method of formation of gate stack spacer and charge storage materials having reduced hydrogen content in charge trapping dielectric flash memory device
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
JP4410497B2 (ja) * 2003-06-17 2010-02-03 東京エレクトロン株式会社 成膜方法
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
DE10335099B4 (de) 2003-07-31 2006-06-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050170104A1 (en) 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7550067B2 (en) 2004-06-25 2009-06-23 Guardian Industries Corp. Coated article with ion treated underlayer and corresponding method
US7585396B2 (en) 2004-06-25 2009-09-08 Guardian Industries Corp. Coated article with ion treated overcoat layer and corresponding method
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7271464B2 (en) 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
JP4074281B2 (ja) 2004-09-14 2008-04-09 株式会社東芝 磁気ランダムアクセスメモリ
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070054450A1 (en) 2005-09-07 2007-03-08 Magic Technologies, Inc. Structure and fabrication of an MRAM cell
US20070080381A1 (en) 2005-10-12 2007-04-12 Magic Technologies, Inc. Robust protective layer for MTJ devices
US7465669B2 (en) 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US7301210B2 (en) 2006-01-12 2007-11-27 International Business Machines Corporation Method and structure to process thick and thin fins and variable fin to fin spacing
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7491630B2 (en) 2006-03-15 2009-02-17 Freescale Semiconductor, Inc. Undoped gate poly integration for improved gate patterning and cobalt silicide extendibility
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
CN101517713B (zh) 2006-09-19 2011-02-09 东京毅力科创株式会社 等离子体清洁方法和等离子体cvd方法
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
US20080119057A1 (en) 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7488659B2 (en) 2007-03-28 2009-02-10 International Business Machines Corporation Structure and methods for stress concentrating spacer
US20080242032A1 (en) 2007-03-29 2008-10-02 Texas Instruments Incorporated Carbon-Doped Epitaxial SiGe
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US7910497B2 (en) 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
EP2193541A1 (en) 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
JP2010103303A (ja) 2008-10-23 2010-05-06 Toshiba Corp 磁気抵抗素子及びその製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
WO2010151856A2 (en) 2009-06-26 2010-12-29 Cornell University Chemical vapor deposition process for aluminum silicon nitride
KR20110002208A (ko) 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8105901B2 (en) 2009-07-27 2012-01-31 International Business Machines Corporation Method for double pattern density
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8354331B2 (en) 2009-12-01 2013-01-15 International Business Machines Corporation Multiplying pattern density by single sidewall imaging transfer
US8021949B2 (en) 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
US20110151142A1 (en) 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
US8679914B2 (en) 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
KR20120062385A (ko) 2010-12-06 2012-06-14 에스케이하이닉스 주식회사 반도체 메모리 소자의 형성방법
KR101225601B1 (ko) 2010-12-16 2013-01-24 한국과학기술원 대면적 나노스케일 패턴형성방법
JP5682290B2 (ja) 2010-12-20 2015-03-11 東京エレクトロン株式会社 炭素含有薄膜のスリミング方法及び酸化装置
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP2012204408A (ja) 2011-03-23 2012-10-22 Toshiba Corp 半導体装置の製造方法
US8883649B2 (en) 2011-03-23 2014-11-11 International Business Machines Corporation Sidewall image transfer process
KR101819721B1 (ko) 2011-04-07 2018-02-28 피코순 오와이 플라즈마 소오스를 갖는 원자층 퇴적
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US8298954B1 (en) 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
KR101975071B1 (ko) 2011-09-23 2019-05-03 노벨러스 시스템즈, 인코포레이티드 플라즈마 활성화된 컨포멀 유전체 막 증착
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
JP5882776B2 (ja) 2012-02-14 2016-03-09 信越化学工業株式会社 レジスト下層膜形成用組成物、及びパターン形成方法
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
JPWO2013137115A1 (ja) 2012-03-15 2015-08-03 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8936977B2 (en) 2012-05-29 2015-01-20 Globalfoundries Singapore Pte. Ltd. Late in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8703578B2 (en) 2012-05-29 2014-04-22 Globalfoundries Singapore Pte. Ltd. Middle in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US9023737B2 (en) 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
KR102052936B1 (ko) 2012-11-13 2019-12-06 삼성전자 주식회사 반도체 소자 제조 방법
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9446965B2 (en) * 2013-02-19 2016-09-20 Nanotech Industrial Solutions, Inc. Applications for inorganic fullerene-like particles
US8623770B1 (en) 2013-02-21 2014-01-07 HGST Netherlands B.V. Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US20140273530A1 (en) 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
US10084016B2 (en) 2013-11-21 2018-09-25 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
TWI480415B (zh) 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
US11164753B2 (en) 2014-01-13 2021-11-02 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition
US9806129B2 (en) * 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US20160049307A1 (en) 2014-08-15 2016-02-18 Yijian Chen Patterning method for IC fabrication using 2-D layout decomposition and synthesis techniques
US9318334B2 (en) 2014-08-27 2016-04-19 United Microelectronics Corp. Method for fabricating semiconductor device
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9875888B2 (en) 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9449971B2 (en) 2014-12-01 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming FinFETs
EP3035379B1 (en) 2014-12-15 2020-07-01 IMEC vzw Method for blocking a trench portion
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9443731B1 (en) 2015-02-20 2016-09-13 Tokyo Electron Limited Material processing to achieve sub-10nm patterning
US9530646B2 (en) 2015-02-24 2016-12-27 United Microelectronics Corp. Method of forming a semiconductor structure
US9472506B2 (en) 2015-02-25 2016-10-18 International Business Machines Corporation Registration mark formation during sidewall image transfer process
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
CN106298519A (zh) 2015-05-15 2017-01-04 联华电子股份有限公司 形成半导体结构的方法
US9653571B2 (en) 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US9508560B1 (en) 2015-06-18 2016-11-29 International Business Machines Corporation SiARC removal with plasma etch and fluorinated wet chemical solution combination
US9530663B1 (en) 2015-06-23 2016-12-27 Nanya Technology Corp. Method for forming a pattern
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
CN108369899B (zh) 2015-11-20 2023-11-17 东京毅力科创株式会社 形成用于亚分辨率基板图案化的蚀刻掩模的方法
US9576817B1 (en) 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer
US9508604B1 (en) 2016-04-29 2016-11-29 Globalfoundries Inc. Methods of forming punch through stop regions on FinFET devices on CMOS-based IC products using doped spacers
US10157736B2 (en) 2016-05-06 2018-12-18 Lam Research Corporation Methods of encapsulation
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005163084A (ja) * 2003-12-01 2005-06-23 Mitsui Chemicals Inc シリコン薄膜の製膜方法
JP2010232214A (ja) * 2009-03-25 2010-10-14 Toshiba Corp 不揮発性記憶装置および不揮発性記憶装置の製造方法
KR20130085900A (ko) * 2012-01-20 2013-07-30 노벨러스 시스템즈, 인코포레이티드 무-염소 등각 질화 규소 필름 증착 방법
JP2014038968A (ja) * 2012-08-17 2014-02-27 Ps4 Luxco S A R L 半導体装置の製造方法
WO2014030393A1 (ja) * 2012-08-20 2014-02-27 日本電気株式会社 抵抗変化素子、および抵抗変化素子の製造方法
US20140273528A1 (en) * 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
JP2014179607A (ja) * 2013-03-14 2014-09-25 Asm Ip Holding B V 低温でのSiNの蒸着用Si前駆体

Also Published As

Publication number Publication date
US9865815B2 (en) 2018-01-09
JP6918460B2 (ja) 2021-08-11
KR102637938B1 (ko) 2024-02-16
US10141505B2 (en) 2018-11-27
TWI776792B (zh) 2022-09-11
US20180114903A1 (en) 2018-04-26
JP2017092455A (ja) 2017-05-25
US20170092856A1 (en) 2017-03-30
TW201723216A (zh) 2017-07-01
US9601693B1 (en) 2017-03-21
US20170092857A1 (en) 2017-03-30

Similar Documents

Publication Publication Date Title
KR102637938B1 (ko) 칼코게나이드 재료를 캡슐화하기 위한 방법
KR102453443B1 (ko) 하부 기판의 손상 없이 SiN 막의 습식 에칭 레이트를 감소시키는 방법
US10998187B2 (en) Selective deposition with atomic layer etch reset
US9911595B1 (en) Selective growth of silicon nitride
KR102446502B1 (ko) 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법
KR102542125B1 (ko) 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
KR102602830B1 (ko) Ald 및 ale에 의해 컨포멀한 막들의 증착
CN110959186B (zh) 在互连金属化中沉积钌层
US11832533B2 (en) Conformal damage-free encapsulation of chalcogenide materials
US9478411B2 (en) Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US20210017643A1 (en) Chamfer-less via integration scheme
TW202317798A (zh) 鉬的沉積
CN115769343A (zh) 非金属掺入介电表面的钼中

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant