KR102453443B1 - 하부 기판의 손상 없이 SiN 막의 습식 에칭 레이트를 감소시키는 방법 - Google Patents

하부 기판의 손상 없이 SiN 막의 습식 에칭 레이트를 감소시키는 방법 Download PDF

Info

Publication number
KR102453443B1
KR102453443B1 KR1020197016749A KR20197016749A KR102453443B1 KR 102453443 B1 KR102453443 B1 KR 102453443B1 KR 1020197016749 A KR1020197016749 A KR 1020197016749A KR 20197016749 A KR20197016749 A KR 20197016749A KR 102453443 B1 KR102453443 B1 KR 102453443B1
Authority
KR
South Korea
Prior art keywords
silicon nitride
nitride film
substrate
temperature
conformal
Prior art date
Application number
KR1020197016749A
Other languages
English (en)
Other versions
KR20190070365A (ko
Inventor
앤드류 존 맥케로우
데니스 엠. 하우스만
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20190070365A publication Critical patent/KR20190070365A/ko
Application granted granted Critical
Publication of KR102453443B1 publication Critical patent/KR102453443B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

원자 층 증착을 이용하여 컨포멀하고, 낮은 수소 함량을 갖는 낮은 습식 에칭 레이트 실리콘 질화물 막을 형성하기 위한 방법들 및 장치들이 본 명세서에 기술된다. 방법들은 원자 층 증착에 의해 브롬-함유 실리콘 전구체 및/또는 요오드 함유 실리콘 전구체 및 질소를 이용하여 제 1 온도에서 실리콘 질화물 막을 증착하는 단계 및 약 100 ℃ 미만의 온도에서 플라즈마를 이용하여 실리콘 질화물 막을 처리하는 단계를 수반한다. 방법들 및 장치들은 메모리 애플리케이션들을 위해 칼코게나이드 재료들 위에 캡슐화 층들로서 컨포멀한, 조밀한, 낮은 습식 에칭 레이트 실리콘 질화물 막들을 형성하는데 적합하다.

Description

하부 기판의 손상 없이 SiN 막의 습식 에칭 레이트를 감소시키는 방법
관련 출원에 대한 교차 참조
본 출원은 2016년 11월 11일자로 출원되고 발명의 명칭이 “METHOD FOR REDUCING THE WET ETCH RATE OF A SIN FILM WITHOUT DAMAGING THE UNDERLYING SUBSTRATE”인 미국 특허출원 제 15/349,753 호의 우선권을 주장하며, 그리고 이 미국 특허출원의 전체 내용들은 모든 목적을 위해서 본 명세서에서 참조로서 인용된다.
반도체 디바이스 제작은 실리콘 질화물 막들의 증착을 수반할 수도 있다. 실리콘 질화물 박막들은 독특한 물리적 성질, 화학적 성질 및 기계적 성질을 가지며, 따라서 다양한 용도들에 사용된다. 예를 들어, 실리콘 질화물 막들은 확산 장벽들, 게이트 절연체들, 측벽 스페이서들, 캡슐화 층들, 트렌지스터의 변형된 막들 등에서 사용될 수도 있다. 실리콘 질화물 막들을 증착하는 종래의 방법들은 증착이 수행되는 프로세스 챔버의 컴포넌트들을 손상시킬 수도 있고 또는 기판 재료들을 손상시킬 수도 있다.
기판 프로세싱 방법들이 본 명세서에서 제공된다. 일 양태는 기판 프로세싱 방법을 수반하며, 상기 방법은 (a) 기판을 챔버로 제공하는 단계; (b) (i) 염소-프리 (chlorine-free) 실리콘 전구체로 하여금 상기 기판의 표면 상에 흡착되게 하는 조건들 하에서 상기 기판을 상기 염소-프리 실리콘 전구체에 노출시키고, 이로써 상기 염소-프리 실리콘 전구체의 흡착층을 형성하는, 상기 노출시키는 단계; 및 (ii) 플라즈마-프리 (plasma-free) 열 반응을 이용하여 상기 기판 위에 실리콘 질화물 막을 형성하기 위해 상기 염소-프리 실리콘 전구체의 상기 흡착층을 질소 함유 반응 물질에 노출시키는 단계에 의해 제 1 온도에서 상기 기판 위에 컨포멀한 (conformal) 실리콘 질화물 막을 증착하는 단계; 및 (c) 처리된 실리콘 질화물 막을 형성하기 위해 상기 실리콘 질화물 막을 프로세스 가스에 노출시키고, 100 ℃ 미만의 제 2 온도에서 플라즈마를 점화함으로써 상기 실리콘 질화물 막을 처리하는 단계를 포함한다.
다양한 실시예들에서, 상기 제 1 온도는 100 ℃와 250 ℃ 사이다. 다양한 실시예들에서, 상기 제 2 온도는 25 ℃와 100 ℃ 사이다. 일부 실시예들에서, 상기 제 2 온도는 50 ℃와 100 ℃ 사이다.
다양한 실시예들에서, 100 : 1 불화수소 산에서 상기 처리된 실리콘 질화물 막의 습식 에칭 레이트 (wet etch rate) 는 약 30 Å/분 미만이다.
일부 실시예들에서, 상기 실리콘 질화물 막을 처리하는 단계는 100 : 1 불화수소 산에서 상기 실리콘 질화물 막의 습식 에칭 레이트를 적어도 90 % 감소시킨다.
다양한 실시예들에서, 프로세스 가스는 질소 및/또는 헬륨이다.
이 방법은 상기 컨포멀한 실리콘 질화물 막을 증착하기 위해 증착 사이클들 내에서 상기 단계 (i) 및 상기 단계 (ii) 를 반복하는 단계를 더 포함할 수 있다. 다양한 실시예들에서, 상기 단계 (c) 는 매 증착 사이클 후에 수행된다. 일부 실시예들에서, 상기 단계 (c) 는 상기 단계 (b) 의 상기 단계 (i) 및 상기 단계 (ii) 를 반복하는 매 n 증착 사이클들마다 수행되고, 상기 n은 2보다 큰 정수다.
다양한 실시예들에서, 상기 처리된 실리콘 질화물 막은 원자 함량에 있어 5 % 미만의 수소를 가진다. 다양한 실시예들에서, 상기 컨포멀한 실리콘 질화물 막은 약 50 Å 미만의 두께로 증착된다.
실리콘 질화물 막은 게르마늄, 안티몬 (antimony) 및 텔루륨 (tellurium) 을 포함하는 메모리 스택 위에 증착될 수도 있다. 일부 실시예들에서, 상기 실리콘 질화물 막은 칼코게나이드 재료 (chalcogenide material) 의 하나 이상의 노출된 층들 위에 증착된다.
다양한 실시예들에서, 상기 처리된 실리콘 질화물 막은 적어도 약 2.4 g/cc의 밀도를 가진다. 일부 실시예들에서, 상기 실리콘 질화물 막을 처리하는 단계는, FTIR에 의해 측정될 때 상기 실리콘 질화물 막의 N-H 함량을 감소시킨다.
일부 실시예들에서, 상기 실리콘 질화물 막은 약 1 초 내지 약 10 초의 지속 기간 동안 상기 플라즈마에 노출된다. 다양한 실시예들에서, 상기 염소-프리 실리콘 전구체는 요오드-함유 실리콘 전구체들, 브롬-함유 실리콘 전구체들 및 요오드-및-브롬-함유 실리콘 전구체들 중 하나 이상일 수도 있다.
다양한 실시예들에서, 상기 염소-프리 실리콘 전구체는 SixBryIz (x=1, y는 1 내지 4의 정수, y+z=4) 의 화학식을 가지는 하나 이상의 화합물들; 및 SixBryIz (x=2, y는 1 내지 6의 정수, y+z=6) 의 화학식을 가지는 하나 이상의 화합물들이다.
염소-프리 실리콘 전구체는 테트라브로모실란 (SiBr4), SiBr3I, SiBr2I2, SiBrI3, 헥사브로모디실란 (Si2Br6), Si2Br5I, Si2Br4I2, Si2Br3I3, Si2Br2I4, Si2BrI5 및 이들의 조합들 중 어느 하나일 수도 있다.
또 다른 양태는 기판 프로세싱 방법을 수반하며, 이 방법은 (a) 기판을 챔버로 제공하는 단계; (b) 플라즈마-프리 열 반응을 이용하여 상기 기판 위에 실리콘 질화물 막을 형성하기 위해 질소-함유 반응 물질 및 염소-프리 실리콘 전구체의 교번하는 펄스들 (alternating pulses) 에 상기 기판을 노출시킴으로써 제 1 온도에서 상기 기판 위에 컨포멀한 실리콘 질화물 막을 증착하는 단계; 및 (c) 처리된 실리콘 질화물 막을 형성하기 위해 상기 실리콘 질화물 막을 프로세스 가스에 노출시키고, 100 ℃ 미만의 제 2 온도에서 플라즈마를 점화함으로써 상기 실리콘 질화물 막을 처리하는 단계를 포함한다.
또 다른 일 양태는 기판 패터닝 장치를 포함하며, 이 장치는 하나 이상의 프로세스 챔버들; 상기 하나 이상의 프로세스 챔버들 및 연관된 플로우 제어 하드웨어로의 하나 이상의 가스 유입구들; LFRF (Low Frequency Radio Frequency) 생성기; HFRF (High Frequency Radio Frequency) 생성기; 및 적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하며, 상기 적어도 하나의 프로세서 및 상기 메모리는 서로 통신 가능하게 연결되고, 상기 적어도 하나의 프로세서는 상기 플로우-제어 하드웨어, 상기 LFRF 생성기 및 상기 HFRF 생성기와 적어도 동작 가능하게 연결되고, 그리고 상기 메모리는, 염소-프리 실리콘 전구체로 하여금 기판의 표면 상에 흡착되게 하는 조건들 하에서 상기 염소-프리 실리콘 전구체를 도입하게 하는 단계로서, 이로써 상기 염소-프리 실리콘 전구체의 흡착층을 형성하게 하는, 상기 도입하게 하는 단계; 및 플라즈마-프리 열 반응을 이용하여 상기 기판 위에 실리콘 질화물 막을 형성하기 위해 질소-함유 반응 물질의 도입을 야기하는 단계에 의해 제1 온도에서 상기 기판 위에 컨포멀한 실리콘 질화물 막의 증착을 야기하게, 적어도 상기 플로우-제어 하드웨어, 상기 HFRF 생성기 및 상기 LFRF 생성기를 제어하도록 상기 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행 가능 인스트럭션들; 및 처리된 실리콘 질화물 막을 형성하기 위해 실리콘 질화물 막을 프로세스 가스에 노출시키고, 100 ℃ 미만의 제 2 온도에서 플라즈마를 점화함으로써 상기 실리콘 질화물 막을 처리하게, 적어도 상기 플로우-제어 하드웨어, 상기 HFRF 생성기 및 상기 LFRF 생성기를 제어하도록 상기 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장한다.
이하, 이들 및 다른 양태들은 도면들을 참조하여 더 기술된다.
도 1은 예시적인 기판의 개략도이다.
도 2는 개시되는 실시예들에 따른 방법을 위한 동작들을 도시하는 프로세스 흐름도이다.
도 3은 특정한 개시되는 실시예들에 따른 방법에서 사이클들의 일예를 도시하는 타이밍 순서도이다.
도 4는 개시되는 실시예들을 수행하기 위한 예시적인 프로세스 챔버의 개략도이다.
도 5는 개시되는 실시예들을 수행하기 위한 예시적인 프로세스 툴의 개략도이다.
도 6은 특정한 개시되는 실시예들을 이용하여 증착된 실리콘 질화물 막에 대한 실험 결과들의 푸리에 트랜스폼 적외선 분광 스펙트럼을 도시하는 플롯 (plot) 이다.
다음의 기술에서, 제공된 실시예들의 완전한 이해를 제공하기 위해서 복수의 특정 세부 사항들이 제시된다. 개시된 실시예들은 이러한 특정 세부 사항들 전부 또는 일부 없이도 실시될 수도 있다. 다른 예들에서, 잘 알려진 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 하기 위해서 세부적으로는 기술되지 않았다. 개시된 실시예들이 특정 실시예들과 관련하여 기술될 것이지만, 이러한 실시예들은 개시된 실시예들을 한정하도록 의도되지 않았다고 이해되어야 할 것이다.
반도체 제작 공정은 실리콘 질화물 재료의 증착을 종종 수반한다. 일예에서, 실리콘 질화물은 확산 장벽들, 게이트 절연체들, 측벽 스페이서들 및 캡슐화 층들로서 반도체 디바이스 제작에 사용될 수도 있다. 컨포멀한 실리콘 질화물 층들은 또한 다른 용도에 사용될 수도 있다. 예를 들어, 실리콘 질화물은 메모리 구조물들의 제작 동안에 사용될 수도 있다. 실리콘 질화물은 메모리 디바이스들 위에 캡슐화 층으로서 사용된다. 종래의 메모리 구조물들은 비트 저장을 위해 사용된 금속 산화물 재료들을 포함한다. 그러나, 더 작은 디바이스의 크기들을 수용하고, 효율성을 향상시키기 위해 향상된 메모리 구조들이 개발됨에 따라 새로운 문제들이 발생한다. 비트 저장을 위해 자기 저항 랜덤 액세스 메모리 및 PCRAM (phase change random-access memor) 과 같은 향상된 메모리 아키텍쳐들은 (금속 산화물들이 아닌) 새로운 재료들에 의존한다. PCRAM의 경우 예를 들어, 금속 칼코게나이드 (chalcogenide) 의 상이 비트 상태를 결정한다. 일부 예시적인 칼코게나이드는 S (sulfur), SE (selenium) 및 TE (tellurium) 을 포함한다. 이 새로운 재료들은 공기 및 습도에 민감하여 캡슐화 층들을 요구할 수도 있다. Ge (germanium), Sb (antimony) 등과 같은 금속 이온과 적절하게 결합될 때, 이 칼코게나이드들은 상 변화 층을 형성한다. 상 변화 층의 일 예는 게르마늄, 안티몬 및 텔루륨을 포함한다 (그리고 이는 본 명세서에서 사용될 때 “GST 층”로 지칭될 수도 있다). 손상된 경우, 상 변화 층은 또한 빛에 민감할 수도 있다. 상 변화 층의 손상을 막기 위해서, 컨포멀한 실리콘 질화물 메모리 캡슐화 층이 상 변화 층 위에 증착된다. 실리콘 질화물 캡슐화 층은 컨포멀한 증착, 낮은 습식 에칭 레이트 및 적거나 없는 수분 함량의 다양한 특성을 갖는 것이 바람직하다. 하부 재료의 손상을 감소시키기 위해 메모리 디바이스 위에 증착 및 처리 작업들은 저온에서 수행된다.
그러나, 자성 디바이스들을 위한 캡슐화 층들로서 이러한 실리콘 질화물 층들을 증착하기 위한 많은 종래의 증착 방법들은 PECVD (plasma enhanced chemical vapor deposition) 에서 컨포멀하지 않은 막들을 증착하거나, 염소-함유 플라즈마 또는 수소-함유 플라즈마들과 같은 칼코게나이드 재료를 에칭하는 화학 물질들을 사용한다. 예를 들어, 금속은 아래와 같이 부식을 겪을 수도 있다.
Figure 112019059540726-pct00001
수학식 1
또 다른 예에서, 금속은 산화 또는 질화를 겪을 수 있다. 산화 반응의 일 예는 아래와 같을 수도 있다.
Figure 112019059540726-pct00002
수학식 2
염소-함유 실리콘 전구체의 이용은 또한 종종 약 500 ℃ 보다 고온과 같은 초 고온들에서 증착을 수반한다. 하부 층들이 열로부터 손상을 받을 수도 있기 때문에, 이와 같은 고온들에서의 증착 프로세스들은 보통 메모리 디바이스에는 적합하지 않다. 예를 들어, 열에 의한 GST 층의 손상을 피하기 위해 GST 층 위에 막들을 증착하기 위해 가장 고온은 250 ℃이다. 따라서, 실리콘 질화물의 형성을 위한 반응에 대한 촉매 작용을 위해 염소-함유 전구체들을 이용하는 증착은 관습적으로 플라즈마를 점화하는 것을 수반하였다. 예를 들어, 일부 종래의 증착은 디클로로 실란 (dichlorosilane) (또는 또 다른 염소-함유 전구체) 및 NH3 (ammonia) 의 교번하는 도즈 (alternating doses) 를 수반하나, 이들 동작들 모두 칼코게나이드 재료를 에칭할 수 있는 종들 (species) 을 생성하는 경향이 있다. 플라즈마 향상된 원자 층 증착에 의해 실리콘 질화물을 형성하기 위해 디클로로실란 층의 흡착 후 플라즈마 점화는 수소 라디칼들 및 NH2 라디칼들뿐만 아니라 염화수소의 형성을 발생시키고, 이는 나중에 챔버의 금속 칼코게나이드들 및 금속 컴포넌트들을 공격할 수 있다. 일 예가 도 1에 제공된다.
도 1은 산화 층 (101) 을 포함하는 기판 (100) 을 도시한다. 기판 (100) 은 또한 텅스텐 층 (103), 탄소 층 (105), 칼코게나이드 층 (107), 제 2 탄소 층 (115), 제 2 칼코게나이드 층 (117), 제 3 탄소 층 (125) 및 질화물 층 (109) 을 포함한다.
도 1에 도시된 바와 같이, 염소-함유 실리콘 전구체가 기판 위에 캡슐화 층을 증착하는데 사용된다면, 염소가 알루미늄, 게르마늄, 안티몬, 또는 철 또는 구리를 포함하는 다른 챔버 재료 금속들과 반응할 수도 있도록 플라즈마가 제 2 반응 물질로 점화될 때 생성되는 염소의 라디칼들 및/또는 수소의 라디칼들은 염화수소를 형성하도록 반응할 수도 있다. 이들 재료들 (예를 들어, AlCl3, GeCl4 또는 SbCl3) 은 휘발성 금속 염들을 생성하는 증발 층을 생성할 수도 있다. 이들 재료들은 낮은 끓는 점, 예를 들어, AlCl3의 끓는 점은 120 ℃, GeCl4 의 끓는 점은 87 ℃, 그리고 SbCl3 의 끓는 점은 200 ℃이다. 이들 휘발성 금속 염들은 이로써 기판의 다른 층들에 재증착될 수도 있고, 결함들 및 성능 문제들을 야기한다. 따라서, 염소 전구체들은 휘발성 금속 염화물들 (Al, Fe, 및 Cu) 을 생성하는 챔버 에칭 때문에 막들 내 금속 오염의 일반적인 문제들을 겪는다.
유사하게, 암모니아와 같은 질소-함유 반응 물질로부터 생성된 플라즈마는 자유 수소 이온들, 라디칼들 및 칼코게나이드를 또한 에칭할 수도 있는 다른 플라즈마 종들을 형성할 수도 있다. 예를 들어, H2Te 및 H2Se를 각각 형성하도록 수소 플라즈마는 텔루륨 또는 셀레늄과 반응할 수도 있고, 이로써 스택으로부터 재료를 제거하고 성능 문제들 및 결함들을 발생시킨다. 이들 재료들은 낮은 끓는 점, 예를 들어, H2Te은 -2 ℃의 끓는 점, 그리고 H2Se는 -41 ℃의 끓는 점을 가진다. 수소 플라즈마에 대한 노출로부터 이러한 물질의 생성은 이로써 스택을 에칭할 수도 있다. 따라서, 종래의 염소-프리 프로세스들 및 수소-프리 프로세스들 (예를 들어, N2를 사용하는) 은 컨포멀한 막을 생성하지 못하고, 장벽들로서 효과적이지 못하다.
염소-프리 실리콘 전구체는 메모리 디바이스들에 대해 열 ALD (atomic layer deposition) 프로세스를 사용하는 컨포멀한 실리콘 질화물 막의 증착을 위해 이용될 수도 있다. ALD에 의한 실리콘 질화물 증착에서 이러한 전구체를 사용하는 것에 관한 논의는 2015년 11월 6일자로 출원되고 발명의 명칭이 "METHOD FOR ENCAPSULATING A CHALCOGENIDE MATERIAL"인 미국 특허출원 제 14/935,317 호 및 2016년 9월 21일자로 출원되고 발명의 명칭이 "BROMINE CONTAINING SILICON PRECURSORS FOR ENCAPSULATION LAYERS"인 미국 특허출원 제 15/272,222 호에서 더 기술되고, 그리고 이 미국 특허출원의 전체 내용들은 본 명세서에서 참조로서 인용된다.
이들 전구체들은 하부 기판의 손상 없이 실리콘 질화물을 증착하는데 사용되지만, 발생되는 실리콘 질화물 막은 여전히 후속 프로세싱에 영향을 미치는 높은 습식 에칭 레이트를 가진다. 기판이 후속 프로세싱에서 다양한 화학 물질에 노출될 때 하부 디바이스를 충분히 캡슐화하기 위한 낮은 습식 에칭 레이트 실리콘 물질을 가지는 것이 바람직하다. 예를 들어, 막은 화학적 기계적 평탄화를 수행하기 위한 화학 물질에 노출될 수 있다. 그러나, 실리콘 질화물 막의 습식 에칭 레이트를 낮추기 위한 기법들은 부족하다. 예를 들어, 하나의 기법은 플라즈마 처리 및 증착이 약 250 ℃의 동일한 온도에서 수행되도록 실리콘 질화물의 증착 후에 습식 에칭 레이트를 감소시키도록 질소를 사용하여 실리콘 질화물을 플라즈마-처리하는 것이다. 온도는 막 내 수소 함량을 감소시키기 위해 기판이 허용할 수 있는 최고 온도 (예를 들어, 250 ℃) 로 최대화된다. 그러나, 질소 플라즈마가 표면 위에 GeN (germanium nitride) 을 형성하기 위해 게르마늄과 반응하기 때문에 이러한 처리는 PCRAM 디바이스 상에 있을 수도 있는 GST 층과 같은 하부 재료를 손상시킨다. 게르마늄 질화물 형성은 재료 위에 크러스트 (crust) 를 발생시키고, 이로써 디바이스 성능에 영향을 미친다.
형성된 실리콘 질화물 막이 하부 기판의 손상 없이 습식 에칭 레이트를 감소시키도록 메모리 디바이스 위에 컨포멀한 실리콘 질화물을 형성하기 위한 방법들 및 장치들이 본 명세서에 제공된다. 방법들은 특히 PCRAM 디바이스, 특히 상 변화 층이 GST 층인 디바이스들의 캡슐화에 적용 가능하다. 방법들은 염소-프리 실리콘 전구체를 사용하여 실리콘 질화물 층을 형성하는 단계 및 약 100 ℃ 미만과 같은 저온들에서 수소-프리 플라즈마를 사용하여 실리콘 질화물 막을 처리하는 단계를 포함한다. 저온들에서 습식 에칭 레이트가 상승하는 것이 보통 예상되기 때문에 (따라서, 최대 온도에서 증착 및 임의의 처리를 수행하는 종래 기술들이 손상 없이 하부 기판에 의해 허용됨), 습식 에칭 레이트 감소에서의 개선은 놀랍고 반-직관적이다. 대신 개시된 실시예들은 습식 에칭 레이트 성능을 향상시키기 위해 저온들을 이용하는 것을 수반한다.
도 2는 특정한 개시된 실시예들에 따라 수행되는 동작들의 프로세스 흐름도를 제공한다. 동작 (201) 에서, 기판은 프로세스 챔버로 제공된다. 기판은 그 위에 증착되는 유전체, 전도성 재료 또는 비-전도성 재료와 같은 재료의 하나 이상의 층들을 가지는 웨이퍼들을 포함하는 실리콘 웨이퍼 예를 들어, 200-mm 웨이퍼, 300-mm 웨이퍼, 450-mm 웨이퍼일 수도 있다. 하부-층들의 비-제한적인 예들은 유전체 층들 및 도전 층들, 예를 들어, 실리콘 산화물들, 실리콘 질화물들, 실리콘 카바이드들, 금속 산화물들, 금속 질화물들, 금속 카바이드들 및 금속 층들을 포함한다. 일부 실시예들에서, 기판은 도 1에서 도시된 것과 같은 스택을 포함한다. 일부 실시예에서, 기판은 스택들 각각이 도 1에서 도시된 층들과 같은 층들을 포함하는, 2 이상의 스택들을 포함한다. 스택들 사이의 종횡비가 약 3 : 1 내지 약 10 : 1, 예컨대 약 5 : 1일 수도 있도록 스택들 사이의 공간은 좁을 수 있다.
도 2의 동작들 (203 내지 213) 동안, 불활성 가스가 흐를 수도 있다. 다양한 실시예들에서, 불활성 가스는 캐리어 가스로서 이용된다. 예시적인 캐리어 가스들은 아르곤, 헬륨 및 네온을 포함한다. 일부 실시예들에서, 수소-함유 캐리어 가스가 이용될 수도 있다. 일부 실시예들에서, 캐리어 가스는 일부 동작들에서 퍼지 가스 (purge gas) 로서 이용된다. 일부 실시예들에서, 캐리어 가스는 전환된다. 불활성 가스는 프로세스 챔버의 압력 제어 및/또는 온도 제어, 액체 반응 물질의 증발, 반응 물질의 보다 신속한 전달을 보조하도록, 그리고/또는 프로세스 챔버 및/또는 프로세서 챔버 배관으로부터 프로세스 가스들의 제거를 위한 스윕 가스 (sweep gas) 로서 제공될 수도 있다. 다양한 개시된 실시예들은 약 0.1 Torr과 약 20 Torr 사이의 압력에서 수행될 수도 있다. 동작들 (203 내지 213) 은 약 300 ℃ 미만, 약 50 ℃와 약 300 ℃ 사이와 같은, 예를 들어 약 250 ℃의 기판 온도에서 수행될 수도 있다. 이러한 실시예들에서, 페데스탈은 기판 온도를 제어하기 위해 약 300 ℃ 미만의 온도로 설정될 수도 있다. 예를 들어, MRAM 및 PCRAM 애플리케이션들의 경우, 기판 상의 재료들은 고온들에 민감할 수도 있다. 일부 실시예들에서, 실리콘 질화물은 약 200 ℃와 약 275 ℃ 사이의 온도에서 증착된다.
동작 (203) 에서, 요오드-함유 실리콘 전구체 및/또는 브롬-함유 실리콘 전구체가 기판 표면 상에 흡착되도록 기판은 요오드-함유 실리콘 전구체 및/또는 브롬-함유 실리콘 전구체에 노출된다. 다양한 실시예들에서 요오드-함유 실리콘 전구체들 및/또는 브롬-함유 실리콘 전구체들은 브롬 원자들 및/또는 요오드 원자들로 완전히 치환될 수 있다. 즉, 요오드-함유 실리콘 전구체들 및/또는 브롬-함유 실리콘 전구체들은 수소 원자를 갖지 않을 수도 있다. 개시된 실시예들은 ALD에 의한 실리콘 질화물의 증착을 위해 통상적으로 사용되지 않는 전구체들을 수반한다. 요오드-함유 실리콘 전구체 및/또는 브롬-함유 실리콘 전구체를 사용하는 것은 염소-프리 증착을 허용한다. 요오드-함유 실리콘 전구체를 사용하는 것은 염소-프리 증착을 허용한다. 예시적인 요오드-함유 실리콘 전구체들은 DIS (diiodosilane), 테트라요오드실란 (tetraiodosilane), 헥사요오드실란 (hexaiododisilane) 및 다른 것들을 포함한다. 다양한 실시예들에서, 브롬-함유 실리콘 전구체는 완전히 할로겐화된다. 브롬-함유 실리콘 전구체들은 SixBryIz (x=1 이면, y는 1 내지 4의 정수, y+z=4) 의 화학식 또는 SixBryIz (x=2 이면, y는 1 내지 6의 정수, y+z=6) 의 화학식을 가질 수도 있다. 예시적인 브롬-함유 실리콘 전구체들은 테트라브로모실란 (SiBr4), SiBr3I, SiBr2I2, SiBrI3, 헥사브로모디실란 (Si2Br6), Si2Br5I, Si2Br4I2, Si2Br3I3, Si2Br2I4, Si2BrI5 및 이들의 조합들을 포함한다.
동작 (203) 은 ALD 사이클의 일부일 수도 있다. 전술한 바와 같이, 일반적으로 ALD 사이클은 표면 증착 반응을 한번 수행하기 위해 사용되는 동작들의 최소 세트이다. 일부 실시예들에서, 한 사이클의 결과는 기판 표면 상에 적어도 부분적인 실리콘 질화물 막 층의 생성이다. 사이클은 반응 물질 또는 부산물 중 하나를 스위핑, 및/또는 증착된 부분적인 막의 처리와 같은 특정한 부수적인 동작들을 포함할 수 있다. 일반적으로, 한 사이클은 동작들의 고유한 시퀀스의 일 예를 포함한다. 전술한 바와 같이, 일반적으로 한 사이클은 표면 증착 반응을 한번 수행하기 위해 사용되는 동작들의 최소 세트이다. 한 사이클의 결과는 기판 표면 상에 적어도 부분적인 막 층 예를 들어, 부분적인 실리콘 질화물 막 층의 생성이다.
동작 (203) 동안, 흡착층을 형성하기 위해 실리콘-함유 전구체가 기판 표면 상에 흡착되도록 기판은 실리콘-함유 전구체에 노출된다. 일부 실시예들에서, 활성 사이트들이 요오드-함유 실리콘 전구체 및/또는 브롬-함유 실리콘 전구체에 의해 점유되면, 추가적인 요오드-함유 실리콘 전구체 및/또는 브롬-함유 실리콘 전구체가 기판 표면 상에 거의 흡착되지 않거나 흡착되지 않도록 요오드-함유 실리콘 전구체 및/또는 브롬-함유 실리콘 전구체는 자기-제한 방식으로 기판 표면 상에 흡착된다. 예를 들어, 요오드-함유 실리콘 전구체 및/또는 브롬-함유 실리콘 전구체는 약 60 %의 기판 표면 상에 흡착될 수 있다. 다양한 실시예들에서, 요오드-함유 실리콘 전구체 및/또는 브롬-함유 실리콘 전구체가 챔버로 흐르면, 요오드-함유 실리콘 전구체 및/또는 브롬-함유 실리콘 전구체는 기판의 표면 상의 활성 사이트 상에 흡착하여 표면 상에 요오드-함유 실리콘 전구체 및/또는 브롬-함유 실리콘 전구체의 박층을 형성한다. 다양한 실시예들에서, 이 층은 단층보다 작을 수도 있고, 약 0.2 Å와 약 0.4 Å 사이의 두께를 가질 수도 있다. 본 명세서에 제공되는 방법들은 약 300 ℃ 미만, 예컨대 약 250 ℃의 온도에서 수행될 수 있다. 일부 실시예에들에서, 개시되는 실시예들은 약 50 ℃와 약 300 ℃ 사이, 예컨대 약 200 ℃와 약 275 ℃ 사이의 온도에서 수행된다. 일부 실시예들에서, 실리콘 질화물은 약 50 ℃와 약 300 ℃ 사이의 온도에서 증착된다. 일부 실시예들에서, 실리콘 질화물은 약 200 ℃와 약 275 ℃ 사이의 온도에서 증착된다.
동작 (205) 에서, 기판의 표면 상에 흡착되지 않은 가스 상 (gas phase) 의 초과 요오드-함유 실리콘 전구체를 제거하기 위해 프로세스 챔버는 선택 가능하게 퍼지 (purge) 된다. 챔버를 퍼지하는 것은 다른 동작들에서 사용되는 캐리어 가스 또는 다른 가스일 수도 있는, 퍼지 가스 또는 스윕 가스를 흘리는 것을 수반할 수도 있다. 일부 실시예에서, 퍼지하는 것은 챔버를 배기하는 것을 포함할 수도 있다. 예시적인 퍼지 가스들은 아르곤, 질소, 수소 및 헬륨을 포함한다. 일부 실시예에서, 동작 (205) 은 프로세스 챔버를 배기하기 위해 하나 이상의 배기 서브 단계들 (evacuation subphases) 을 포함할 수도 있다. 대안적으로, 동작 (205) 은 일부 실시예들에서 생략될 수도 있다는 것이 이해될 수 있다. 동작 (205) 은 약 0 초들과 약 60 초들 사이 예를 들어 약 0.01 초들과 같은 임의의 적합한 지속 기간을 가질 수도 있다. 일부 실시예에서, 하나 이상의 퍼지 가스들의 플로우 레이트를 증가시키는 것은 동작 (205) 의 지속 기간을 감소시킬 수 있다. 예를 들어, 퍼지 가스 플로우 레이트는 동작 (205) 의 지속 기간을 수정하기 위해 프로세스 챔버 및/또는 프로세스 챔버 배관의 다양한 반응 물질 열역학 특성들 및/또는 기하학적 특성들에 따라 조정될 수도 있다. 비-제한적 예에서, 퍼지 단계 (purge phase) 의 지속 기간은 퍼지 가스 플로우 레이트를 변경함으로써 조정될 수도 있다. 이는 증착 사이클 시간을 감소시킬 수도 있고, 이는 기판 처리량을 향상시킬 수도 있다. 퍼지 이후에, 요오드-함유 실리콘 전구체들 및/또는 브롬-함유 실리콘 전구체들은 기판 표면 상에 흡착된 채로 남는다.
동작 (211) 에서, 요오드-함유 실리콘 전구체 및/또는 브롬-함유 실리콘 전구체의 흡착층과 반응하기 위해 기판은 제 2 반응 물질에 노출된다. 용어 “제 2 반응 물질”은 플라즈마가 ALD 사이클에서 점화될 때 챔버로 도입되는 하나 이상의 가스들을 기술하는 것으로 사용될 수도 있다는 것을 주의한다. 다양한 실시예들에서, 제 2 반응 물질은 질소-함유 반응 물질이다. 일부 실시예들에서, 동작 (203) 은 동작 (211) 의 수행 전에 수행될 수도 있다. 일부 실시예들에서, 동작 (211) 은 동작 (203) 의 수행 전에 수행될 수도 있다. 일부 실시예들에서, 동작 (211) 은 임의의 실리콘 질화물의 증착 전에 수행될 수도 있다.
일부 실시예들에서, 반응은 열적 (thermal) 일 수 있다. NH3 (ammonia) 또는 히드라진 (hydrazines) (예를 들어, H4N2) 을 이용하는 열적 ALD를 수반하는 방법들은 증착 동안 수소 라디칼들의 존재를 감소시키고 오염을 감소시키고, 이로써 챔버에서의 칼코게나이드 및/또는 금속들의 에칭 및/또는 기판 상의 칼코게나이드 및/또는 금속의 에칭을 감소시킨다. 열적 처리를 위해, 증착은 적어도 약 250 ℃, 예컨대 약 300 ℃의 온도에서 수행될 수 있다. 일부 실시예들에서, 개시된 실시예들은 약 50 ℃와 약 300 ℃ 사이, 예컨대 약 200 ℃와 약 275 ℃ 사이의 온도에서 수행된다. 일부 실시예들에서, 실리콘 질화물은 약 50 ℃와 약 300 ℃ 사이의 온도에서 증착된다. 일부 실시예들에서, 실리콘 질화물은 약 200 ℃와 약 275 ℃ 사이의 온도에서 증착된다.
일부 실시예들에서, 플라즈마는 동작 (211) 에서 선택 가능하게 점화될 수도 있다. 플라즈마 에너지는 질소-함유 가스와 같은 제 2 반응 물질을 제 1 전구체의 흡착층과 반응하는 이온들, 라디칼들 및 다른 활성화된 종들로 활성화시키기 위해 제공될 수도 있다. 플라즈마를 수반하는 개시된 실시예들에서, 플라즈마는 약 1 % 미만의 수소 라디칼들을 포함할 수도 있고, 이로써 증착 동안 칼코게나이드 또는 금속 재료의 에칭을 감소시킨다. 다양한 실시예들에서, 챔버에서 기판 표면 바로 위에 플라즈마가 형성되도록 플라즈마는 인-시츄 (in-situ) 플라즈마이다. 인-시츄 플라즈마는 약 0.2122 W/cm2 와 약 2.122 W/cm2 사이의 기판 면적 당 전력에서 점화될 수 있다. 예를 들어, 전력은 4개의 300 mm 웨이퍼들을 프로세싱하는 챔버를 위해 약 150 W에서 약 6000 W까지 또는 약 600 W에서 약 6000W까지 또는 약 800 W에서 약 4000W까지의 범위일 수도 있다. 예를 들어, ALD 프로세스들을 위한 플라즈마들은 두 개의 용량적으로 커플링된 플레이트들 (capacitively coupled plates) 을 사용하여 RF (radio frequency) 필드 (field) 를 가스에 인가 (applying) 함으로써 생성될 수도 있다. RF 필드에 의한 플레이트들 사이의 가스의 이온화는 플라즈마를 점화하여 플라즈마 방전 영역에서 자유 전자들을 생성한다. 이들 전자들은 RF 필드에 의해 가속화되고, 가스 상 반응 물질 분자들 (gas phase reactant molecules) 과 충돌할 수도 있다. 이들 전자들과 반응 물질 분자들의 충돌은 증착 프로세스에 참여하는 라디칼 종들을 형성할 수도 있다. RF 필드는 임의의 적합한 전극들을 통하여 커플링될 수도 있다는 것이 이해될 수 있다. 다양한 실시예들에서, 적어도 약 13.56 ㎒ 또는 적어도 약 27 ㎒ 또는 적어도 약 40 ㎒ 또는 적어도 약 60 ㎒의 주파수를 갖는 고 주파수 플라즈마가 사용된다. 일부 실시예들에서, 마이크로파-기반 플라즈마 (microwave-based plasma) 가 사용될 수도 있다. 전극들의 비-제한적인 예들은 프로세스 가스 분배 샤워헤드들 (process gas distribution showerheads) 및 기판 지지 페데스탈들 (substrate support pedestals) 을 포함한다. ALD 프로세스들을 위한 플라즈마들은 가스에 대한 RF 필드의 용량적인 커플링보다는 하나 이상의 적합한 방법들에 의해 형성될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 제 2 반응 물질이 챔버의 업스트림의 리모트 플라즈마 생성기 (remote plasma generator) 에서 점화되도록 플라즈마는 리모트 플라즈마이고, 이어서 기판이 하우징되는 챔버로 전달된다.
플라즈마가 사용되는 경우, 요오드-함유 실리콘 전구체의 도즈 (dose) 에 이어 N2 (nitrogen) 플라즈마 또는 H2 (hydrogen) 플라즈마의 도즈가 이어질 수도 있다. 챔버 컴포넌트들의 금속들 또는 기판 상에 칼코게나이드들과 반응하여 생성될 수도 있는, 대응하는 요오드-함유 금속 염들 및/또는 브롬-함유 금속 염들은 웨이퍼 오염을 발생시키도록 충분히 휘발성이 아닐 수 있다. 예를 들어, 알루미늄, 게르마늄 또는 안티몬을 포함하는 요오드-함유 염들은 대응하는 염소-함유 염들보다 높은 끓는 점들을 가진다. 따라서, 요오드-함유 염들은 증발층이 아닌 패시베이션 층 (passivation layer) 을 형성할 수도 있고, 염들은 기판 상의 재료들 상에 재증착할 가능성이 보다 적다. 일부 케이스들에서, 챔버가 에칭되어 손상되도록 알루미늄은 염소와 반응할 수 있고, 알루미늄은 또한 웨이퍼 상에서 분해될 수도 있다.
도 2를 다시 참조하면, 동작 (213) 에서, 에칭된 종들 및 임의의 남은 부산물들을 제거하기 위해 챔버는 선택 가능하게 퍼지된다. 동작 (213) 은 동작 (205) 에 관해 상기 기술된 조건들의 임의의 것을 이용하여 퍼지될 수 있다.
동작 (213) 의 수행 후, 원하는 막의 두께가 증착되었는지 여부가 판단된다. 그렇지 않다면, 동작 (203 내지 213) 은 원하는 막의 두께가 증착되도록 충분한 사이클들로 반복된다. 증착 사이클들의 임의의 적합한 수는 실리콘 질화물의 원하는 막 두께를 증착하기 위해 ALD 프로세스에서 포함될 수도 있다. 예를 들어, 약 50 증착 사이클들이 개시된 실시예들을 사용하여 기판 상에 막을 증착하기 위해 수행될 수 있다. 일부 실시예들에서, 증착된 실리콘 질화물 막의 두께는 메모리 디바이스의 제작을 위해 막들의 스택 위의 측벽에서 약 30 Å 보다 클 수도 있다.
동작 (299) 에서, 기판은 약 100 ℃ 미만의 기판 온도에서 플라즈마 처리에 노출된다. 프로세스 가스가 흐르고, 플라즈마가 점화된다. 프로세스 가스는 질소 및/또는 헬륨일 수도 있다. 다양한 실시예들에서, 동작 (299) 은 기판을 홀딩하는 페데스탈이 설정되는 온도를 조정함으로써 기판 온도를 변화시키는 것을 수반한다. 일부 실시예들에서, 플라즈마 처리는 약 25 ℃와 약 100 ℃ 사이 또는 약 50 ℃와 약 100 ℃ 사이의 기판 온도에서 수행된다. 기판은 매 n 사이클들의 동작들 (203 내지 213) 의 수행 후 처리 플라즈마에 노출되며, n은 1 이상의 임의의 정수이다. 일부 실시예들에서, 처리는 형성된 실리콘 질화물 막에 대해 동작들 (203 내지 213) 의 모든 사이클들 후에 수행된다.
증착된 실리콘 질화물 막을 약 250 ℃와 같은 보다 고온에서 질소 플라즈마 및/또는 헬륨 플라즈마로 처리하는 것은 디바이스 성능에 영향을 미치는 게르마늄 질화물 크러스트의 형성 및 GST 층과 같은 하층들의 질화를 발생시킨다. 증착 및 처리 온도들은 실리콘 질화물 막의 수소 함량을 최소화하고 습식 에칭 레이트를 감소시키기 위해 일반적으로 가능한 높게 선택되지만, 특정한 개시된 실시예들과 관련하여 본 명세서에 기술된 바와 같이 약 100 ℃ 미만의 저온에서 수행되는 처리는 놀라울 정도로 낮은 습식 에칭 레이트 실리콘 질화물 막들을 양산한다. 본 명세서에 기술된 바와 같이 보다 저온들에서 처리들은 습식 에칭 레이트에 실질적으로 영향을 미칠 것으로 예상되지 않는다. 그러나, 처리가 100 ℃ 미만의 온도에서 수행될 때 처리된 실리콘 질화물 막의 습식 에칭 레이트는 놀라울 정도로 실질적으로 감소된다. 실리콘 질화물 막들을 처리하는 것은 습식 에칭 레이트를 적어도 90 %까지 감소시킨다. 개시되는 실시예들은 100 : 1 묽은 불화수소 산에서 약 30 Å/minute 미만의 습식 에칭 레이트를 가지는 처리된 질화물 막들을 발생시킨다. 추가적으로, 플라즈마 처리는 게르마늄 질화물 크러스트의 형성을 제거하고, 실리콘 질화물 막의 증착을 위해 사용되는 실리콘 전구체들 또는 반응 물질들로부터 혼입될 수도 있는 막의 수소 함량을 감소시킨다. 처리되지 않은 막들은 원자의 함량으로 약 20 % 이상의 수소 함량을 가질 수도 있다. 반대로, 본 명세서에서 기술된 바와 같이 특정한 개시된 실시예들을 사용하여 처리된 막들은 원자의 함량으로 약 5 % 미만의 수소 함량을 가진다. 처리되지 않은 막들은 또한 약 2.0 g/cc와 약 2.2 g/cc 사이와 같은 보다 낮은 밀도를 가질 수도 있다. 다양한 실시예들에서, 특정한 개시된 실시예들에 따라 처리된 막은 적어도 약 2.4 g/cc의 밀도를 가질 수도 있다.
동작 (299) 은 기판을 홀딩하는 페데스탈의 온도를 약 100 ℃ 미만의 온도로 설정하는 단계, 질소 가스 또는 헬륨 가스를 챔버에 도입하는 단계 및 플라즈마를 점화하는 단계를 수반한다. 다양한 실시예들에서, 수소-함유 플라즈마는 GST 층과 같은 하부 재료를 에칭하기 때문에, 플라즈마는 수소를 함유하지 않는다. 플라즈마가 챔버에서 기판 표면 바로 위에 형성되도록 일부 실시예들에서 플라즈마는 인-시츄 플라즈마이다. 인-시츄 플라즈마는 약 0.10 W/cm2와 약 2.0 W/cm2 사이의 기판 면적 당 전력으로 점화될 수도 있다. 예를 들어, 전력은 4개의 300 mm 웨이퍼들을 프로세싱하는 챔버에서 약 75 W부터 약 1500 W까지; 또는 약 300 W에서 약 6000 W까지의 범위일 수도 있다. 예를 들어, ALD 프로세스들을 위한 플라즈마들은 2개의 용량적으로 커플링된 플레이트들을 이용하여 가스에 무선 주파수 (RF) 필드를 인가함으로써 생성될 수도 있다. RF 필드에 의한 플레이트들 사이의 가스의 이온화는 플라즈마를 점화하여 플라즈마 방전 영역에서 자유 전자들을 생성한다. 이들 자유전자들은 RF 필드에 의해 가속화되고 가스 상 분자들과 충돌할 수도 있다. 이들 전자들과 분자들의 충돌은 처리 프로세스에 참여하는 라디칼 종들을 형성할 수도 있다. RF 필드는 임의의 적합한 전극들을 통하여 커플링될 수도 있다는 것이 이해될 것이다. 다양한 실시예들에서, 적어도 약 13.56 ㎒ 또는 적어도 약 27 ㎒ 또는 적어도 약 40 ㎒ 또는 적어도 약 60 ㎒의 주파수를 갖는 고 주파수 플라즈마가 사용된다. 일부 실시예들에서, 마이크로파-기반 플라즈마가 사용될 수 있다. 전극들의 비-제한적인 실시예들은 프로세스 가스 분배 샤워헤드들 및 기판 지지 페데스탈들을 포함한다. ALD 프로세스를 위한 플라즈마들은 가스에 대한 RF 필드의 용량적인 커플링보다는 하나 이상의 적합한 방법들에 의해 형성될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 질소 또는 헬륨이 챔버의 업스트림의 리모트 플라즈마 생성기에서 점화되도록 플라즈마는 리모트 플라즈마이고, 이어서 기판이 하우징되는 챔버로 전달된다.
실리콘 질화물 막은 약 1 초와 약 10 초들 사이의 지속 기간 동안 처리된다. 일부 실시예들에서, 동작들 (203 내지 299) 을 사이클들로 수행한 후에 실리콘 질화물 막은 약 100 Å의 두께로 증착된다.
도 3은 2번의 증착 사이클들 후에 저온 처리 단계 (a low temperature treatment phase) 를 수행하기 위한 타이밍 개략도를 제공한다. 2번의 사이클들이 도시되지만, 실리콘 질화물을 증착하기 위해 임의의 수의 사이클들이 수행될 수 있다는 것을 주의한다. 또한, 하나의 처리 단계가 2 사이클의 증착으로 도시되지만, 처리는 매 사이클 후 또는 매 2 사이클들 후 또는 매 3 사이클들 후 또는 매 n 사이클들 후에 수행될 수도 있고, n은 1 이상의 정수인 것이 이해될 것이다.
도 3은 2번의 증착 사이클들을 포함하는 프로세스 (300) - 증착 사이클 (310A) 및 증착 사이클 (310B) 과 2번의 증착 사이클에 뒤 따르는 저온 처리 단계 (390) 를 도시한다. 도 3은 또한 캐리어 가스 플로우 (carrier gas flow), 요오드실란/브로모실란 전구체 가스 플로우 (iodosilane/bromosilane precursor gas flow), 질소 가스 플로우, 온도 및 플라즈마를 도시한다. 전구체는 요오드실란, 브로모실란 또는 이들의 조합을 포함할 수도 있다는 것이 이해될 것이다. 도 3에 도시된 실시예는 저온 처리 단계 (390) 를 위한 질소 플라즈마를 사용하는 단계를 수반하지만, 일부 실시예들에서, 플라즈마를 점화시키기 위해 헬륨과 같은 또 다른 비-수소-함유 가스 (non-hydrogen-containing gas) 가 사용될 수 있다는 것이 이해될 것이다.
증착 사이클 (310A) 은 요오드실란/브로모실란 전구체 노출 단계 (357A), 퍼지 단계 (359A), 질소 반응 물질 노출 단계 (361A) 및 퍼지 단계 (363A) 를 포함한다. 이들 동작들은 1개의 ALD 사이클을 구성할 수 있다. 요오드실란/브로모실란 전구체 노출 단계 (375A) 동안, 캐리어 가스가 흐를 수도 있다. 일부 실시예들에서, 캐리어 가스는 전구체와 함께 흐를 수도 있고, 이어서 전환될 수 있다. 요오드실란/브로모실란 전구체 노출 단계 (375A) 는 도 2의 동작 (203) 에 대응할 수도 있다. 요오드실란/브로모실란 전구체 노출 단계 (375A) 동안, 요오드실란/브로모실란 전구체 가스 플로우가 턴 온되고 (turned on), 질소 플로우가 턴 오프되고 (turned off), 온도는 약 250 ℃ 또는 기판의 손상 없이 실리콘 질화물 막을 형성하기 위해 가능한 가장 고온일 수도 있는 “하이 (high)”으로 설정된다. 퍼지 단계 (359A) 에서, 요오드실란/브로모실란 전구체 플로우는 턴 오프되고, 질소 플로우는 오프로 유지되고 (remains off), 온도는 약 250 ℃로 유지되고, 기판에 흡착하지 않은 전구체들을 제거하기 위해 캐리어 가스는 챔버로 흐를 수 있다. 이들 단계는 도 2의 동작 (205) 에 대응할 수도 있다. 질소 반응 물질 노출 단계 (361A) 에서, 캐리어 가스는 계속해서 흐를 수도 있고 그리고/또는 챔버로 질소를 도입하는데 사용될 수도 있다. 요오드실란/브로모실란 전구체 플로우는 오프로 유지되고, 질소 가스 플로우는 턴 온되고, 온도는 약 250 ℃로 유지된다. 열 증착 프로세스를 허용하도록 증착 사이클 동안 플라즈마는 오프로 유지되는 것을 주의한다. 질소 반응 물질 노출 단계 (361A) 는 도 2의 동작 (211) 에 대응할 수 있다. 퍼지 단계 (363A) 에서, 캐리어 가스는 질소 반응 물질과 요오드실란/브로모실란 전구체의 반응으로부터 부산물을 제거하기 위해 흐르고, 요오드실란/브로모실란 전구체 플로우는 오프로 유지되고, 질소 가스 플로우는 턴 오프되고, 온도는 약 250 ℃로 유지된다. 이 단계는 도 2의 동작 (213) 에 대응할 수도 있다.
증착 사이클 (310A) 에 이어서, 증착 사이클 (310B) 을 위해 ALD 사이클은 반복되고, 이는 도 2의 선택 가능하게 반복하는 동작 (203 내지 213) 에 대응할 수도 있다. 도 3에 도시된 바와 같이, 증착 사이클 (310B) 은 요오드실란/브로모실란 전구체 노출 단계 (357B), 퍼지 단계 (359B), 질소 반응 물질 노출 단계 (361B) 및 퍼지 단계 (363B) 를 포함하도록 ALD 사이클이 반복되는 것처럼, 증착 사이클 (310A) 의 단계들을 미러링하는 단계를 포함한다. 증착 사이클 (310B) 에 이어서, 캐리어 가스가 흐를 수도 있고, 그리고/또는 질소를 도입하기 위해 사용될 수도 있고 전환될 수도 있도록 저온 처리 단계 (390) 가 수행되고, 요오드실란/브로모실란 전구체 플로우가 오프로 유지되고, 질소 플로우가 턴 온되고, 온도가 약 100 ℃ 미만의 온도로 감소된다. 여기서, 저온 처리 단계 (390) 는 도 2의 동작 (299) 에 대응할 수 있다. 도 3에 도시되지 않지만, 낮은 수소 함량 및 낮은 습식 에칭 레이트를 가지는 실리콘 질화물 막의 원하는 두께가 달성될 때까지 증착 사이클 (310A), 증착 사이클 (310B) 및 저온 후-처리 단계 (390) 는 후속 사이클들에서 반복될 수도 있다.
장치
도 4는 저압 분위기 (environment) 를 유지하기 위한 프로세스 챔버 바디 (402) 를 가지는 원자 층 증착 (ALD) 프로세스 스테이션 (400) 의 일 실시예의 개략도를 도시한다. 복수의 ALD 프로세스 스테이션들 (400) 은 공통 저압 프로세스 툴 분위기에 포함될 수도 있다. 예를 들어, 도 5는 멀티-스테이션 프로세싱 툴 (500) 의 일실시예를 도시한다. 일부 실시예에서, 이하에 상세히 논의된 것들을 포함하는 ALD 프로세스 스테이션 (400) 의 하나 이상의 하드웨어 파라미터들은, 하나 이상의 컴퓨터 제어기들 (450) 에 의해 프로그램적으로 조정될 수도 있다.
ALD 프로세스 스테이션 (400) 은 분배 샤워헤드 (406) 에 프로세스 가스들을 전달하기 위한 반응 물질 전달 시스템 (401a) 과 유체적으로 연통한다. 반응 물질 전달 시스템 (401a) 은 샤워헤드 (406) 로의 전달을 위해 요오드-함유 실리콘 전구체 가스 및/또는 브롬-함유 실리콘 전구체 가스 또는 제 2 반응 물질 가스 (예를 들어, 암모니아 또는 히드라진) 와 같은 프로세스 가스들을 블렌딩 (blending) 및/또는 컨디셔닝 (conditioning) 하기 위한 혼합 용기 (404) 를 포함한다. 하나 이상의 혼합 용기 유입구 밸브들 (420) 은 혼합 용기 (404) 로의 프로세스 가스들의 도입을 제어할 수도 있다. 질소 플라즈마 또는 수소 플라즈마는 또한 샤워헤드 (406) 로 전달될 수도 있거나, ALD 프로세스 스테이션 (400) 에서 생성될 수도 있다.
일 예로서, 도 4의 실시예는 혼합 용기 (404) 로 공급되는 액체 반응 물질을 기화시키기 위한 기화 지점 (vaporization point) (403) 을 포함한다. 일부 실시예들에서, 기화 지점 (403) 은 가열된 기화기일 수도 있다. 이러한 기화기들로부터 생성된 포화된 반응 물질 증기는 다운스트림 전달 파이프에서 응결될 수도 있다. 양립 가능하지 않은 가스들의 응결된 반응 물질로의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막고, 밸브 동작을 지연시키고, 기판을 오염시키는 등을 할 수도 있다. 이들 문제점들을 해결하기 위한 일부 접근법들은 남은 반응 물질을 제거하기 위해 전달 파이프를 퍼징하는 것 (purging) 및/또는 배기하는 것을 포함한다. 그러나, 전달 파이프를 퍼지하는 것은 프로세스 스테이션의 처리량을 저하시키는, 프로세스 스테이션의 사이클 시간을 상승시킬 수도 있다. 따라서, 일부 실시예들에서, 기화 지점 (403) 의 전달 파이프 다운스트림은 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (404) 는 또한 열 추적될 수도 있다. 일 비-제한적인 예에서, 기화 지점 (403) 의 파이프 다운스트림은 혼합 용기 (404) 에서 대략 100 ℃ 에서 대략 150 ℃ 까지 연장하는 상승하는 온도 프로파일을 가진다.
일부 실시예들에서, 액체 전구체 또는 액체 반응 물질은 액체 주입기에서 기화될 수도 있다. 예를 들어, 액체 주입기는 혼합 용기의 캐리어 가스 스트림 업스트림으로 액체 반응 물질의 펄스들을 주입할 수도 있다. 일 예에서, 액체 주입기는 고압에서 저압까지 액체를 플래싱 (flashing) 함으로써 반응 물질을 기화시킬 수도 있다. 또 다른 예에서, 액체 주입기는 가열된 전달 파이프 내에서 나중에 기화되는 분산된 마이크로 액적들 (microdroplets) 로 액체를 원자화할 수도 있다. 보다 작은 액적들은 보다 큰 액적들보다 더 빠르게 기화될 수도 있고, 액체 주입 및 완전한 기화 사이의 지연을 감소시킨다. 보다 빠른 기화는 기화 지점 (403) 으로부터 다운스트림의 파이프 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (404) 에 바로 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워 헤드 (406) 에 바로 장착될 수도 있다.
일부 실시예들에서, 기화 지점 (403) 의 LFC (liquid flow controller) 업스트림은 프로세스 스테이션 (400) 으로 기화 및 전달을 위해 액체의 대량 플로우 (mass flow) 를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치하는 열적 (thermal) MFM (mass flow meter) 를 포함할 수도 있다. 이어서, LFC의 플런저 밸브 (plunger valve) 는 MFM과 전기적인 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공되는 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화시키기 위해 1 초 이상 걸릴 수도 있다. 이는 액체 반응 물질을 도징하기 위한 시간을 연장할 수도 있다. 따라서, 일부 실시예에서, LFC는 피드백 제어 모드 (feedback control mode) 와 직접 제어 모드 (direct control mode) 사이에서 동적으로 스위칭될 수도 있다. 일부 실시예들에서, 이는 LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 수행될 수도 있다.
샤워헤드 (406) 는 기판 (412) 을 향하여 프로세스 가스들을 분배한다. 도 4에 도시된 실시예에서, 기판 (412) 은 샤워헤드 (406) 밑에 위치되고, 페데스탈 (408) 상에 놓인 것으로 도시된다. 샤워헤드 (406) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (412) 에 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
일부 실시예들에서, 페데스탈 (408) 은 기판 (412) 과 샤워헤드 (406) 사이의 체적 (volume) 에 기판 (412) 을 노출하기 위해 상승 또는 하강될 수도 있다. 일부 실시예에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (450) 에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다.
또 다른 시나리오에서, 페데스탈 (408) 의 높이를 조정하는 것은 플라즈마 밀도로 하여금 플라즈마가 점화되는 실시예들의 프로세스에 포함된 플라즈마 활성화 사이클들 동안 가변하게 할 수도 있다. 프로세스 페이즈의 종료 시, 페데스탈 (408) 은 또 다른 기판 이송 페이즈 동안 페데스탈 (408) 로부터 기판 (412) 의 제거를 허용하도록 하강될 수도 있다.
일부 실시예들에서, 페데스탈 (408) 은 가열기 (410) 를 통하여 온도 제어될 수도 있다. 일부 실시예들에서, 페데스탈 (408) 은 적어도 약 250 ℃의 온도로 가열될 수도 있거나, 일부 실시예들에서, 개시된 실시예들에서 기술된 바와 같이 실리콘 질화물 막들의 증착 동안 약 250 ℃와 같은 약 300 ℃ 미만의 온도로 가열될 수도 있다. 일부 실시예들에서, 페데스탈은 약 50 ℃와 약 300 ℃ 사이, 예컨대, 약 200 ℃와 약 275 ℃ 사이의 온도로 설정된다. 일부 실시예들에서, 페데스탈은 약 50 ℃와 약 300 ℃ 사이의 온도로 설정된다. 일부 실시예들에서, 페데스탈은 약 200 ℃와 약 275 ℃ 사이의 온도로 설정된다.
또한, 일부 실시예들에서, 프로세스 스테이션 (400) 을 위한 압력 제어는 버터플라이 밸브 (butterfly valve) (418) 에 의해 제공될 수도 있다. 도 4의 실시예에서 도시된 바와 같이, 버터플라이 밸브 (418) 는 다운스트림의 진공 펌프 (미도시) 에 의해 제공되는 진공을 쓰로틀링한다 (throttles). 그러나, 일부 실시예들에서, 프로세스 스테이션 (400) 의 압력 제어는 또한 프로세스 스테이션 (400) 으로 도입되는 하나 이상의 가스들의 플로우 레이트를 변경시킴으로써 조정될 수도 있다.
일부 실시예들에서, 샤워헤드 (406) 의 위치는 기판 (412) 과 샤워헤드 (406) 의 사이의 체적 (volume) 을 변경하기 위해 페데스탈 (408) 에 상대적으로 조정될 수도 있다. 또한, 페데스탈 (408) 및/또는 샤워헤드 (406) 의 수직적 위치는 본 개시의 범위 이내에서 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 페데스탈 (408) 은 기판 (412) 의 배향을 회전시키기 위해 회전 축을 포함할 수도 있다. 일부 실시예들에서, 하나 이상의 이들 예시적인 조정들은 하나 이상의 적합한 컴퓨터 제어기들 (450) 에 의해 프로그램적으로 수행될 수도 있다는 것이 이해될 것이다.
플라즈마가 상술된 바와 같이 사용될 수도 있는 일부 실시예들에서, 샤워헤드 (406) 및 페데스탈 (408) 은 플라즈마에 전력공급을 하기 위해 RF (radio frequency) 전력 공급부 (414) 및 매칭 네트워크 (416) 와 전기적으로 통신한다. 일부 실시예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (414) 및 매칭 네트워크 (416) 는 목표된 조성의 라디컬 종들을 갖는 플라즈마를 형성하도록, 임의의 적합한 전력으로 동작될 수도 있다. 적합한 전력들의 예들은 상기에 포함되었다. 유사하게, RF 전력 공급부 (414) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시예들에서, RF 전력 공급부 (414) 는 서로 독립적으로 고 주파수 및 저 주파수 RF 전력 소스들을 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은 0 ㎑와 500 ㎑ 사이의 주파수들을 포함할 수도 있지만, 이에 한정되는 것은 아니다. 예를 들어, 고 주파수 RF 주파수들은 1.8 ㎒와 2.45 ㎓ 사이 또는 약 13.56 ㎒ 이상 또는 27 ㎒ 이상 또는 40 ㎒ 이상 또는 60 ㎒ 이상의 주파수들을 포함할 수도 있지만 이에 한정되는 것은 아니다. 표면 반응들을 위한 플라즈마 에너지를 제공하도록 임의의 적합한 파라미터들이 이산적으로 또는 연속적으로 조절될 수도 있다는 것이 이해될 것이다.
일부 실시예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인 시츄 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (optical emission spectroscopy) 센서들에 의해 측정될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인 시츄 플라즈마 모니터들로부터의 측정치들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적인 제어를 제공하기 위해 피드백 루프에서 사용될 수도 있다. 일부 실시예들에서, 플라즈마 및 다른 프로세스 특성들을 모니터링하기 위해 다른 모니터들이 사용될 수도 있다는 것이 이해될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들을 포함할 수도 있다.
일부 실시예들에서, 제어기 (450) 에 대한 인스트럭션들은 IOC (input/output control) 시퀀싱 인스트럭션들 (sequencing instructions) 을 통하여 제공될 수도 있다. 일 예에서, 프로세스 페이즈를 위한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 페이즈를 위한 모든 인스트럭션들이 이 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시예들에서, 하나 이상의 리액터 (reactor) 파라미터들의 설정을 위한 인스트럭션들은 레시피 페이즈에 포함될 수 있다. 예를 들어, 제 1 레시피 페이즈는 불활성 가스 및/또는 반응 물질 가스 (예를 들어, 요오드-함유 실리콘 전구체 및/또는 브롬-함유 실리콘 전구체와 같은 제 1 전구체) 를 설정하기 위한 인스트럭션들, 캐리어 가스 (예를 들어, 아르곤) 의 플로우 레이트를 설정하기 위한 인스트럭션들 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들 (time delay instructions) 을 포함할 수도 있다. 제 2 후속적 레시피 페이즈는 불활성 가스 및/또는 반응 물질 가스의 플로우 레이트를 변경 또는 정지하기 위한 인스트럭션들, 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 변경하기 위한 인스트럭션들 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 후속적 레시피 페이즈는 암모니아와 같은 제 2 반응 물질 가스의 플로우 레이트를 변경하기 위한 인스트럭션들, 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 변경하기 위한 인스트럭션들, 및 제 3 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 4 후속 레시피 페이즈는 불활성 가스 및/또는 반응 물질 가스의 플로우 레이트를 변경 또는 정지하기 위한 인스트럭션들, 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 변경하기 위한 인스트럭션들 및 제 4 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 5 후속 레시피 페이즈는 불활성 가스 및/또는 반응 물질 가스의 플로우 레이트를 변경 또는 정지하기 위한 인스트럭션들, 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 변경하기 위한 인스트럭션들, 제 5 레시피 페이즈에 대한 시간 지연 인스트럭션들, 프로세스 가스의 플로우 레이트를 설정하고, 약 100 ℃ 미만의 온도로 페데스탈 온도를 감소시키며, 플라즈마를 점화시키기 위한 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈는 개시된 실시예들의 범위 이내에서의 임의의 적합한 방법에서 추가로 재분할 및/또는 반복될 수도 있다는 것이 이해될 것이다. 일부 실시예에서, 제어기 (450) 는 도 5의 시스템 제어기 (550) 에 대해 아래 기술되는 임의의 특징들을 포함할 수도 있다.
상술된 바와 같이, 하나 이상의 프로세스 스테이션들이 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 5는 인바운드 로드 록 (502) 및 아웃바운드 로드 록 (504) 을 갖는 멀티-스테이션 프로세싱 툴 (500) 의 실시예의 개략도를 도시하고, 인바운드 로드 록 및 아웃바운드 로드 록 중 하나 또는 모두는 리모트 플라즈마 소스를 포함할 수도 있다. 대기압에서, 로봇 (506) 은 대기 포트 (510) 를 통해 인바운드 로드 록 (502) 으로 로딩된 카세트로부터 포드 (508) 를 통해 웨이퍼들을 이동시키도록 구성된다. 웨이퍼는 로봇 (506) 에 의해 인바운드 로드 록 (502) 내의 페데스탈 (512) 상에 위치되고, 대기 포트 (510) 는 닫히고, 로드 록은 펌프다운된다. 인바운드 로드 록 (502) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (514) 내로 도입되기 전에 로드 록 내의 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해, 인바운드 로드 록 (502) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (514) 로 챔버 이송 포트 (516) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위해 리액터 내의 도시된 제 1 스테이션의 페데스탈 상의 리액터 내에 웨이퍼를 위치시킨다. 도 5에 도시된 실시예는 로드 록들을 포함하지만, 일부 실시예들에서 프로세스 스테이션에 웨이퍼의 직접 진입이 제공될 수도 있다는 것이 이해될 것이다.
도시된 프로세싱 챔버 (514) 는 도 5에 도시된 실시예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 518로 도시됨), 및 가스 라인 유입구들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각이 상이한 목적 또는 복수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 ALD 프로세스 모드와 플라즈마-강화 ALD 프로세스 모드 사이에서 스위칭될 수도 있다. 추가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (514) 는 ALD 프로세스 스테이션과 플라즈마-강화 ALD 프로세스 스테이션들의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (514) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 5는 프로세싱 챔버 (514) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (590) 의 실시예를 도시한다. 일부 실시예들에서, 웨이퍼 핸들링 시스템 (590) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 이해될 것이다. 비-제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 5는 또한 프로세스 툴 (500) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (550) 의 실시예를 도시한다. 시스템 제어기 (550) 는 하나 이상의 메모리 디바이스들 (556), 하나 이상의 대용량 저장 디바이스들 (554), 및 하나 이상의 프로세서들 (552) 을 포함할 수도 있다. 프로세서들 (552) 은 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (550) 는 프로세스 툴 (500) 의 모든 액티비티들을 제어한다. 시스템 제어기 (550) 는 대용량 저장 디바이스 (554) 에 저장되고 메모리 디바이스 (556) 내로 로딩되어 프로세서 (552) 상에서 실행되는 시스템 제어 소프트웨어 (558) 를 실행한다. 대안적으로, 제어 로직 (control logic) 이 제어기 (550) 에서 하드 코딩될 수도 있다. 이들 목적들을 위해 응용 주문형 직접 회로들 (Applications Specific Integrated Circuits), PLD (Programmable Logic Devices) (예를 들어, FPGAs; field-programmable gate arrays) 등이 이용될 수도 있다. 아래 논의에서, “소프트웨어” 또는 “코드”가 사용되는 곳이라면, 이를 대신해서 기능적으로 비슷한 (functionally comparable) 하드 코딩된 로직이 사용될 수도 있다. 시스템 제어 소프트웨어 (558) 는 타이밍, 가스들의 혼합물, 가스 플로우 레이트, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타겟 전력 레벨들, RF 전력 레벨들, 기판, 페데스탈, 척 및/또는 서셉터 (susceptor) 위치, 및 프로세스 툴 (500) 에 의해서 수행되는 특정한 프로세스의 다른 파라미터들을 포함할 수도 있다. 시스템 제어 소프트웨어 (558) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 프로세스들을 실행하는데 이용되는 프로세스 툴 컴포넌트들의 동작을 제어하도록 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (558) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (558) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (550) 와 연관된 대용량 저장 디바이스 (554) 및/또는 메모리 디바이스 (556) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (518) 상에 기판을 로딩하고 기판과 프로세스 툴 (500) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 (예를 들어, 본 명세서에서 기술된 요오드-함유 실리콘 전구체 가스들 및 질소-함유 가스들, 캐리어 가스 및 퍼지 가스들) 및 플로우 레이트들을 제어하기 위한 코드 및 선택가능하게 프로세스 스테이션 내 압력을 안정화시키기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션 내로의 가스 플로우, 등을 조절함으로써, 프로세스 스테이션 내 압력을 제어하기 위한 코드를 포함할 수도 있다.
가열기 제어 프로그램은 기판을 가열하기 위해 사용된 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따라 하나 이상의 프로세스 스테이션들에서 프로세스 전극들에 인가되는 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시예들에 따라 반응 챔버에서 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (550) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (550) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, 플라즈마 조건들 (예를 들어, RF 바이어스 전력 레벨들) 등을 포함할 수도 있다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들이 다양한 프로세스 툴 센서들로부터 시스템 제어기 (550) 의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (500) 의 아날로그 출력 접속부 및 디지털 출력 접속부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들, 압력 센서들 (예컨대 마노미터들 (manometers)), 서모 커플들, 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다.
시스템 제어기 (550) 는 상기-기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따른 막 스택들의 인-시츄 증착을 동작시키기 위한 파라미터들을 제어할 수도 있다.
장치들이 개시된 실시예들에 따른 방법을 수행하기 위해 시스템 제어기 (550) 는 전형적으로 인스트럭션들을 실행하기 위해 구성되는 하나 이상의 프로세서들 및 하나 이상의 메모리 디바이스들을 포함한다. 개시된 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독 가능한 매체는 시스템 제어기 (550) 에 커플링될 수도 있다.
일부 실시예들에서, 시스템 제어기 (550) 는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 시스템 제어기 (550) 는, 시스템의 프로세싱 조건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 시스템 제어기 (550) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (550) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (550) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 대안적으로 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (550) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (550) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 시스템 제어기 (550) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 시스템 제어기 (550) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (550) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에 개시된 방법들을 수행하기 위한 적합한 장치는 2011년 4월 11일자로 출원되고 발명의 명칭이 “PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”인 미국 특허출원 제 13/084,339 호 (지금 미국 특허 제 8,728,956 호); 및 2011년 4월 11일자로 출원되고 발명의 명칭이 “SILICON NITRIDE FILMS AND METHODS”인 미국 특허출원 제 13/084,305 호에서 더 논의되고 기술되며, 그리고 이 미국 특허출원 각각의 전체 내용은 본 명세서에서 인용된다.
본 명세서에 기술된 장치/프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위한 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들이 공통 제조 설비에서 함께 사용되거나 실행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 동작 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 다음 동작들: (1) 스핀-온 또는 스프레이-온 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트의 도포하는 단계; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광 또는 UV 광 또는 x-레이 광에 포토레지스트를 노출하는 단계; (4) 레지스트를 선택적으로 제거하여 습식 벤치와 같은 툴을 사용하여 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마-보조 에칭 툴을 사용하여 아래에 놓인 막 또는 워크피스로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계 중 일부 또는 전부를 포함한다.
실험
열적 원자 층 증착에 의해 증착된 실리콘 질화물 재료의 습식 에칭 레이트를 측정하기 위해 수행된 실험. 20 Å 실리콘 질화물 막이 0.37 Å/cycle의 증착 레이트로 반복되는 증착 사이클들을 사용하여 제 1 기판 위에 증착되었고, 증착 사이클 각각은 디실란 도즈 (disilane dose), 퍼지, 질소 플라즈마 도즈, 퍼지를 포함한다. 굴절률 (refractive index) 은 1.75로 측정되었다. 이 실리콘 질화물 막의 습식 에칭 레이트는 100 : 1 묽은 불화수소 산에서 약 1000 Å/min 이다.
20 Å 실리콘 질화물 막이 0.1 Å/cycle의 증착 레이트로 반복되는 증착 사이클들을 사용하여 제 2 기판에 증착되었고, 증착 사이클들 각각은 SiBr4 도즈, 퍼지, 질소 플라즈마 도즈, 퍼지, 250 ℃에서의 N-2/He 처리를 포함한다. 굴절률은 1.95로 측정되었다. 실리콘 질화물 막의 습식 에칭 레이트는 100 : 1 묽은 불화수소 산에서 약 15 Å/min 이다.
FTIR 스펙트럼이 실리콘 질화물 막에 대해 획득되었다. FTIR 스펙트럼은 도 6에 도시된다. 피크 (601) 는 Si-N-Si 결합들 (bonds) 에 대한 흡광도 피크 (absorbance peak) 를 나타내며, 피크 (603) 는 Si2N-H 결합들에 대한 흡광도 피크를 나타내고, 피크 (605) 는 N-H2 결합들에 대한 흡광도 피크를 나타내며, 피크 (607) 는 Si-H 결합들에 대한 흡광도 피크를 나타내고, Si2N-H 결합들에 대한 흡광도 피크를 나타낸다. 도 6에 도시된 바와 같이, 처리된 실리콘 질화물 막은 낮은 N-H 함량을 가지며, 막내 수소 함량은 매우 낮다.
결론
전술한 실시예가 이해의 명확성의 목적을 위해 어느 정도 세부적으로 기술되었지만, 특정한 변경들 및 수정들이 첨부된 청구항들의 범위 이내에서 수행될 수도 있음은 분명하다. 본 실시예들의 프로세스들, 시스템들 및 장치들을 수행하는 것의 다양한 대안적인 방법들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 제한적인 것이 아니라 예시적인 것으로 고려되어야 하며, 실시예들은 본 명세서에 주어진 세부사항들로 한정되어서는 안 된다.

Claims (33)

  1. 기판 프로세싱 방법에 있어서,
    기판을 챔버로 제공하는 단계;
    100 ℃와 250 ℃ 사이의 제 1 온도에서 증착 사이클을 이용하여 상기 기판 위에 컨포멀한 (conformal) 실리콘 질화물 막을 증착하는 단계로서, 증착 사이클 각각은
    (i) 염소-프리 (chlorine-free) 실리콘 전구체로 하여금 상기 기판의 표면 상에 흡착되게 하는 조건들 하에서 상기 기판을 상기 염소-프리 실리콘 전구체에 노출시키고, 이로써 상기 염소-프리 실리콘 전구체의 흡착층을 형성하는, 상기 노출시키는 단계; 및
    (ii) 플라즈마-프리 (plasma-free) 열 반응을 이용하여 상기 기판 위에 상기 컨포멀한 실리콘 질화물 막을 형성하기 위해 상기 염소-프리 실리콘 전구체의 상기 흡착층을 질소-함유 반응 물질에 노출시키는 단계를 포함하는, 상기 컨포멀한 실리콘 질화물 막을 증착하는 단계; 및
    처리된 컨포멀한 실리콘 질화물 막을 형성하기 위해 상기 컨포멀한 실리콘 질화물 막을 처리 가스에 노출시키고, 100 ℃ 미만의 제 2 온도에서 플라즈마를 점화함으로써 상기 컨포멀한 실리콘 질화물 막을 처리하는 단계를 포함하며,
    상기 컨포멀한 실리콘 질화물 막은 칼코게나이드 재료 (chalcogenide material) 의 하나 이상의 노출된 층들 위에 증착되고, 그리고
    상기 처리된 컨포멀한 실리콘 질화물 막은 100 : 1 불화수소 산에서 30 Å/분 미만의 습식 에칭 레이트 (wet etch rate) 를 갖는, 기판 프로세싱 방법.
  2. 제 1 항에 있어서,
    상기 제 2 온도는 25 ℃와 100 ℃ 사이인, 기판 프로세싱 방법.
  3. 제 1 항에 있어서,
    상기 제 2 온도는 50 ℃와 100 ℃ 사이인, 기판 프로세싱 방법.
  4. 제 1 항에 있어서,
    상기 컨포멀한 실리콘 질화물 막을 처리하는 단계는,
    100 : 1 불화수소 산에서 상기 처리된 컨포멀한 실리콘 질화물 막의 상기 습식 에칭 레이트를 적어도 90 % 감소시키는, 기판 프로세싱 방법.
  5. 제 1 항에 있어서,
    상기 처리 가스는 질소 및 헬륨으로 구성되는 그룹으로부터 선택되는, 기판 프로세싱 방법.
  6. 제 1 항에 있어서,
    상기 컨포멀한 실리콘 질화물 막을 증착하기 위해 상기 증착 사이클들을 반복하는 단계를 더 포함하는, 기판 프로세싱 방법.
  7. 제 6 항에 있어서,
    상기 컨포멀한 실리콘 질화물 막을 처리하는 단계는,
    매 증착 사이클 후에 수행되는, 기판 프로세싱 방법.
  8. 제 6 항에 있어서,
    상기 컨포멀한 실리콘 질화물 막을 처리하는 단계는,
    상기 컨포멀한 실리콘 질화물 막을 증착하는 단계의 상기 단계 (i) 및 상기 단계 (ii) 를 반복하는 매 n 증착 사이클들마다 수행되고, 상기 n은 2보다 큰 정수인, 기판 프로세싱 방법.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 처리된 컨포멀한 실리콘 질화물 막은 원자 함량에 있어 5 % 미만의 수소를 가지는, 기판 프로세싱 방법.
  10. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 컨포멀한 실리콘 질화물 막은 50 Å 미만의 두께로 증착되는, 기판 프로세싱 방법.
  11. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 컨포멀한 실리콘 질화물 막은 게르마늄, 안티몬 (antimony) 및 텔루륨 (tellurium) 을 포함하는 메모리 스택 위에 증착되는, 기판 프로세싱 방법.
  12. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 처리된 컨포멀한 실리콘 질화물 막은 적어도 2.4 g/cc의 밀도를 가지는, 기판 프로세싱 방법.
  13. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 컨포멀한 실리콘 질화물 막을 처리하는 단계는,
    상기 처리 전의 상기 컨포멀한 실리콘 질화물 막과 비교하여 FTIR에 의해 측정될 때 상기 컨포멀한 실리콘 질화물 막의 N-H 함량을 감소시키는, 기판 프로세싱 방법.
  14. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 컨포멀한 실리콘 질화물 막을 처리하는 단계는 1 초 내지 10 초의 지속기간 동안 수행되는, 기판 프로세싱 방법.
  15. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 염소-프리 실리콘 전구체는 요오드-함유 실리콘 전구체들, 브롬-함유 실리콘 전구체들 및 요오드-및-브롬-함유 실리콘 전구체들로 구성되는 그룹으로부터 선택되는, 기판 프로세싱 방법.
  16. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 염소-프리 실리콘 전구체는 SixBryIz (x=1, y는 1 내지 4의 정수, y+z=4) 의 화학식을 가지는 화합물들; 및 SixBryIz (x=2, y는 1 내지 6의 정수, y+z=6) 의 화학식을 가지는 화합물들로 구성되는 그룹으로부터 선택되는, 기판 프로세싱 방법.
  17. 제 16 항에 있어서,
    상기 염소-프리 실리콘 전구체는 테트라브로모실란 (SiBr4), SiBr3I, SiBr2I2, SiBrI3, 헥사브로모디실란 (Si2Br6), Si2Br5I, Si2Br4I2, Si2Br3I3, Si2Br2I4, Si2BrI5 및 이들의 조합들로 구성되는 그룹으로부터 선택되는, 기판 프로세싱 방법.
  18. 제 1 항에 있어서,
    상기 염소-프리 실리콘 전구체는 테트라요오드실란 (tetraiodosilane) 을 포함하며,
    상기 질소-함유 반응 물질은 암모니아 (NH3) 인, 기판 프로세싱 방법.
  19. 기판 프로세싱 방법에 있어서,
    기판을 챔버로 제공하는 단계;
    플라즈마-프리 열 반응을 이용하여 상기 기판 위에 컨포멀한 실리콘 질화물 막을 형성하기 위해 질소-함유 반응 물질 및 염소-프리 실리콘 전구체의 교번하는 펄스들 (alternating pulses) 에 상기 기판을 노출시킴으로써 100 ℃와 250 ℃ 사이의 제 1 온도에서 상기 기판 위에 상기 컨포멀한 실리콘 질화물 막을 증착하는 단계; 및
    처리된 컨포멀한 실리콘 질화물 막을 형성하기 위해 상기 컨포멀한 실리콘 질화물 막을 처리 가스에 노출시키고, 100 ℃ 미만의 제 2 온도에서 플라즈마를 점화함으로써 상기 컨포멀한 실리콘 질화물 막을 처리하는 단계를 포함하며,
    상기 컨포멀한 실리콘 질화물 막은 게르마늄, 안티몬 (antimony) 및 텔루륨 (tellurium) 을 포함하는 메모리 스택 위에 증착되고, 그리고
    상기 처리된 컨포멀한 실리콘 질화물 막은 100 : 1 불화수소 산에서 30 Å/분 미만의 습식 에칭 레이트 (wet etch rate) 를 갖는, 기판 프로세싱 방법.
  20. 제 19 항에 있어서,
    상기 제 2 온도는 25 ℃와 100 ℃ 사이인, 기판 프로세싱 방법.
  21. 제 19 항에 있어서,
    상기 컨포멀한 실리콘 질화물 막을 처리하는 단계는,
    100:1 불화수소 산에서 상기 컨포멀한 실리콘 질화물 막의 상기 습식 에칭 레이트를 적어도 90 % 감소시키는, 기판 프로세싱 방법.
  22. 제 19 항에 있어서,
    상기 처리 가스는 질소 및 헬륨으로 구성되는 그룹으로부터 선택되는, 기판 프로세싱 방법.
  23. 제 19 항 내지 제 22 항 중 어느 한 항에 있어서,
    상기 처리된 컨포멀한 실리콘 질화물 막은 원자 함량에 있어 5 % 미만의 수소를 가지는, 기판 프로세싱 방법.
  24. 제 19 항에 있어서,
    상기 염소-프리 실리콘 전구체는 테트라요오드실란 (tetraiodosilane) 을 포함하며,
    상기 질소-함유 반응 물질은 암모니아 (NH3) 인, 기판 프로세싱 방법.
  25. 기판 패터닝 장치에 있어서,
    하나 이상의 프로세스 챔버들로서, 상기 하나 이상의 프로세스 챔버 중 적어도 하나는 기판을 홀딩하기 위한 가열된 페데스탈을 포함하는, 상기 하나 이상의 프로세스 챔버들;
    상기 하나 이상의 프로세스 챔버들 및 연관된 플로우 제어 하드웨어로의 하나 이상의 가스 유입구들;
    LFRF (Low Frequency Radio Frequency) 생성기;
    HFRF (High Frequency Radio Frequency) 생성기; 및
    적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하며,
    상기 적어도 하나의 프로세서 및 상기 메모리는 서로 통신 가능하게 연결되고,
    상기 적어도 하나의 프로세서는 상기 플로우-제어 하드웨어, 상기 LFRF 생성기 및 상기 HFRF 생성기와 적어도 동작 가능하게 연결되고, 그리고
    상기 메모리는,
    상기 페데스탈의 제 1 온도를 100 ℃와 250 ℃ 사이의 온도로 설정하게, 적어도 상기 플로우-제어 하드웨어, 상기 HFRF 생성기 및 상기 LFRF 생성기를 제어하도록 상기 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행 가능 인스트럭션들;
    염소-프리 실리콘 전구체로 하여금 기판의 표면 상에 흡착되게 하는 조건들 하에서 상기 염소-프리 실리콘 전구체를 도입하게 하는 단계로서, 이로써 상기 염소-프리 실리콘 전구체의 흡착층을 형성하게 하는, 상기 도입하게 하는 단계; 및
    플라즈마-프리 열 반응을 이용하여 상기 기판 위에 실리콘 질화물 막을 형성하기 위해 질소-함유 반응 물질의 도입을 야기하는 단계에 의해 상기 제 1 온도에서 상기 기판 위에 컨포멀한 실리콘 질화물 막의 증착을 야기하게, 적어도 상기 플로우-제어 하드웨어, 상기 HFRF 생성기 및 상기 LFRF 생성기를 제어하도록 상기 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행 가능 인스트럭션들; 및
    처리된 실리콘 질화물 막을 형성하기 위해 상기 실리콘 질화물 막을 처리 가스에 노출시키고, 100 ℃ 미만의 제 2 온도에서 플라즈마를 점화함으로써 상기 실리콘 질화물 막을 처리하게, 적어도 상기 플로우-제어 하드웨어, 상기 HFRF 생성기 및 상기 LFRF 생성기를 제어하도록 상기 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장하는, 기판 패터닝 장치.
  26. 제 25 항에 있어서,
    상기 인스트럭션들은 상기 제 2 온도를 25 ℃와 100 ℃ 사이의 온도로 설정하는 것을 포함하는, 기판 패터닝 장치.
  27. 기판 패터닝 장치에 있어서,
    하나 이상의 프로세스 챔버들로서, 상기 하나 이상의 프로세스 챔버 중 적어도 하나는 기판을 홀딩하기 위한 가열된 페데스탈을 포함하는, 상기 하나 이상의 프로세스 챔버들;
    상기 하나 이상의 프로세스 챔버들 및 연관된 플로우 제어 하드웨어로의 하나 이상의 가스 유입구들;
    LFRF (Low Frequency Radio Frequency) 생성기;
    HFRF (High Frequency Radio Frequency) 생성기; 및
    적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하며,
    상기 적어도 하나의 프로세서 및 상기 메모리는 서로 통신 가능하게 연결되고,
    상기 적어도 하나의 프로세서는 상기 플로우-제어 하드웨어, 상기 LFRF 생성기 및 상기 HFRF 생성기와 적어도 동작 가능하게 연결되고, 그리고
    상기 메모리는,
    상기 페데스탈의 제 1 온도를 100 ℃와 250 ℃ 사이의 온도로 설정하게, 적어도 상기 플로우-제어 하드웨어, 상기 HFRF 생성기 및 상기 LFRF 생성기를 제어하도록 상기 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행 가능 인스트럭션들;
    플라즈마-프리 열 반응을 이용하여 상기 기판 위에 실리콘 질화물 막을 형성하기 위해 염소-프리 실리콘 전구체 및 질소 함유 반응 물질의 교번하는 펄스에 상기 기판의 노출을 야기함으로써 상기 제 1 온도에서 상기 기판 위에 컨포멀한 실리콘 질화물 막의 증착을 야기하게, 적어도 상기 플로우-제어 하드웨어, 상기 HFRF 생성기 및 상기 LFRF 생성기를 제어하도록 상기 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행 가능 인스트럭션들; 및
    처리된 실리콘 질화물 막을 형성하기 위해 상기 실리콘 질화물 막의 처리 가스로의 노출을 야기하고, 100 ℃ 미만의 제 2 온도에서 플라즈마의 점화를 야기함으로써 상기 실리콘 질화물 막의 처리를 야기하게, 적어도 상기 플로우-제어 하드웨어, 상기 HFRF 생성기 및 상기 LFRF 생성기를 제어하도록 상기 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장하는, 기판 패터닝 장치.
  28. 제 27 항에 있어서,
    상기 인스트럭션들은 상기 제 2 온도를 25 ℃와 100 ℃ 사이의 온도로 설정하는 것을 포함하는, 기판 패터닝 장치.
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
KR1020197016749A 2016-11-11 2017-11-06 하부 기판의 손상 없이 SiN 막의 습식 에칭 레이트를 감소시키는 방법 KR102453443B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/349,753 2016-11-11
US15/349,753 US10454029B2 (en) 2016-11-11 2016-11-11 Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
PCT/US2017/060240 WO2018089314A1 (en) 2016-11-11 2017-11-06 Method for reducing the wet etch rate of a sin film without damaging the underlying substrate

Publications (2)

Publication Number Publication Date
KR20190070365A KR20190070365A (ko) 2019-06-20
KR102453443B1 true KR102453443B1 (ko) 2022-10-11

Family

ID=62108102

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197016749A KR102453443B1 (ko) 2016-11-11 2017-11-06 하부 기판의 손상 없이 SiN 막의 습식 에칭 레이트를 감소시키는 방법

Country Status (5)

Country Link
US (1) US10454029B2 (ko)
KR (1) KR102453443B1 (ko)
CN (1) CN109952632B (ko)
TW (1) TW201832285A (ko)
WO (1) WO2018089314A1 (ko)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US20170178899A1 (en) 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
US10157736B2 (en) 2016-05-06 2018-12-18 Lam Research Corporation Methods of encapsulation
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US11062897B2 (en) * 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
DE102019209546A1 (de) 2018-06-29 2020-01-02 Electronics And Telecommunications Research Institute Intelligentes Edge-Rechensystem für das Internet of Everything (IoE) für hochzuverlässigen Dienst für das Internet of Things (IoT)
US11600530B2 (en) * 2018-07-31 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
US10381219B1 (en) * 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
KR102614852B1 (ko) 2018-11-14 2023-12-19 삼성전자주식회사 메모리 장치, 메모리 셀 및 메모리 셀 프로그래밍 방법
TW202117056A (zh) * 2019-09-19 2021-05-01 美商應用材料股份有限公司 使用鹵化矽烷基醯胺之SiCO(N)原子層沉積的方法
US11417840B2 (en) 2019-12-31 2022-08-16 Micron Technology, Inc. Protective sealant for chalcogenide material and methods for forming the same
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
CN117941493A (zh) * 2021-09-07 2024-04-26 朗姆研究公司 用于加工硫族化物的技术和装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150137061A1 (en) * 2013-11-21 2015-05-21 Micron Technology, Inc. Cross-point memory and methods for fabrication of same

Family Cites Families (268)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR93097E (fr) 1965-10-11 1969-02-07 Ibm Procédé de dépot de films isolants et dispositifs électriques utilisant de tels films.
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4575921A (en) 1983-11-04 1986-03-18 General Motors Corporation Silicon nitride formation and use in self-aligned semiconductor device manufacturing method
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
EP0313683A1 (en) 1987-10-30 1989-05-03 International Business Machines Corporation Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
US5091332A (en) 1990-11-19 1992-02-25 Intel Corporation Semiconductor field oxidation process
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5528719A (en) 1993-10-26 1996-06-18 Sumitomo Metal Mining Company Limited Optical fiber guide structure and method of fabricating same
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5731235A (en) 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US5891805A (en) 1996-12-13 1999-04-06 Intel Corporation Method of forming contacts
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6153519A (en) 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US6225175B1 (en) 1997-06-20 2001-05-01 Texas Instruments Incorporated Process for defining ultra-thin geometries
US5854105A (en) 1997-11-05 1998-12-29 Vanguard International Semiconductor Corporation Method for making dynamic random access memory cells having double-crown stacked capacitors with center posts
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US5976990A (en) 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
US6197701B1 (en) 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6380056B1 (en) 1998-10-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6228779B1 (en) 1998-11-06 2001-05-08 Novellus Systems, Inc. Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
US6403416B1 (en) 1999-01-07 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for making a double-cylinder-capacitor structure for dynamic random access memory (DRAM)
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
KR100340716B1 (ko) 1999-10-29 2002-06-20 윤종용 실리콘 질화막 형성방법
WO2001033615A2 (en) 1999-11-02 2001-05-10 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
KR100338125B1 (ko) 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
AU2001255656A1 (en) 2000-04-25 2001-11-07 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
KR100366621B1 (ko) 2000-06-28 2003-01-09 삼성전자 주식회사 반도체 소자의 도전성 콘택체를 형성하는 방법
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6548368B1 (en) 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP3696119B2 (ja) 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6709928B1 (en) 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
CN101572232B (zh) 2002-12-20 2011-12-21 应用材料有限公司 形成高质量的低温氮化硅层的方法
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US7163860B1 (en) 2003-05-06 2007-01-16 Spansion Llc Method of formation of gate stack spacer and charge storage materials having reduced hydrogen content in charge trapping dielectric flash memory device
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
DE10335099B4 (de) 2003-07-31 2006-06-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050170104A1 (en) * 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7585396B2 (en) 2004-06-25 2009-09-08 Guardian Industries Corp. Coated article with ion treated overcoat layer and corresponding method
US7550067B2 (en) 2004-06-25 2009-06-23 Guardian Industries Corp. Coated article with ion treated underlayer and corresponding method
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7271464B2 (en) 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
JP4074281B2 (ja) 2004-09-14 2008-04-09 株式会社東芝 磁気ランダムアクセスメモリ
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
KR101581039B1 (ko) * 2005-02-28 2016-01-05 22엔디 센츄리 리미티드, 엘엘씨 식물의 니코틴 알칼로이드 수준의 감소
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070054450A1 (en) 2005-09-07 2007-03-08 Magic Technologies, Inc. Structure and fabrication of an MRAM cell
US20070080381A1 (en) 2005-10-12 2007-04-12 Magic Technologies, Inc. Robust protective layer for MTJ devices
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US7465669B2 (en) 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US7301210B2 (en) 2006-01-12 2007-11-27 International Business Machines Corporation Method and structure to process thick and thin fins and variable fin to fin spacing
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7491630B2 (en) 2006-03-15 2009-02-17 Freescale Semiconductor, Inc. Undoped gate poly integration for improved gate patterning and cobalt silicide extendibility
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP2007281181A (ja) 2006-04-06 2007-10-25 Elpida Memory Inc 半導体装置の製造方法
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
WO2008035678A1 (fr) 2006-09-19 2008-03-27 Tokyo Electron Limited Processus de nettoyage de plasma et procédé cvd plasma
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
US20080119057A1 (en) 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7488659B2 (en) 2007-03-28 2009-02-10 International Business Machines Corporation Structure and methods for stress concentrating spacer
US20080242032A1 (en) 2007-03-29 2008-10-02 Texas Instruments Incorporated Carbon-Doped Epitaxial SiGe
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US7910497B2 (en) 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US8440569B2 (en) 2007-12-07 2013-05-14 Cadence Design Systems, Inc. Method of eliminating a lithography operation
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
JP2010103303A (ja) 2008-10-23 2010-05-06 Toshiba Corp 磁気抵抗素子及びその製造方法
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
CN102484070B (zh) 2009-06-26 2014-12-10 康奈尔大学 用于铝-硅氮化物的化学气相沉积处理
KR20110002208A (ko) 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8105901B2 (en) 2009-07-27 2012-01-31 International Business Machines Corporation Method for double pattern density
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8354331B2 (en) 2009-12-01 2013-01-15 International Business Machines Corporation Multiplying pattern density by single sidewall imaging transfer
US8021949B2 (en) 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
WO2011087698A2 (en) 2009-12-22 2011-07-21 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
TWI498447B (zh) 2010-04-01 2015-09-01 Air Liquide 使用胺基金屬與鹵化金屬前驅物組合之含金屬氮化物之薄膜沈積
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
US8679914B2 (en) 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
KR20120062385A (ko) 2010-12-06 2012-06-14 에스케이하이닉스 주식회사 반도체 메모리 소자의 형성방법
KR101225601B1 (ko) 2010-12-16 2013-01-24 한국과학기술원 대면적 나노스케일 패턴형성방법
JP5682290B2 (ja) 2010-12-20 2015-03-11 東京エレクトロン株式会社 炭素含有薄膜のスリミング方法及び酸化装置
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP5941653B2 (ja) * 2011-02-24 2016-06-29 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及びシリコン窒化膜の成膜装置
JP2012204408A (ja) 2011-03-23 2012-10-22 Toshiba Corp 半導体装置の製造方法
US8883649B2 (en) 2011-03-23 2014-11-11 International Business Machines Corporation Sidewall image transfer process
KR102111702B1 (ko) 2011-04-07 2020-05-15 피코순 오와이 플라즈마 소오스를 갖는 원자층 퇴적
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
WO2012145337A1 (en) * 2011-04-18 2012-10-26 Advanced Technology Materials, Inc. Carbon adsorbent and process for separating high-octane components from low-octane components in a naptha raffinate stream using such carbon adsorbent
US8298954B1 (en) 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
CN103890910B (zh) 2011-09-23 2017-05-17 诺发系统公司 等离子体活化保形电介质膜沉积的方法和装置
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
US20130115778A1 (en) 2011-11-04 2013-05-09 Applied Materials, Inc. Dry Etch Processes
US9318431B2 (en) 2011-11-04 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having a MOM capacitor and method of making same
US20130189845A1 (en) 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5882776B2 (ja) 2012-02-14 2016-03-09 信越化学工業株式会社 レジスト下層膜形成用組成物、及びパターン形成方法
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
KR20140143151A (ko) 2012-03-15 2014-12-15 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8703578B2 (en) 2012-05-29 2014-04-22 Globalfoundries Singapore Pte. Ltd. Middle in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8936977B2 (en) 2012-05-29 2015-01-20 Globalfoundries Singapore Pte. Ltd. Late in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
CN103515197A (zh) 2012-06-26 2014-01-15 中芯国际集成电路制造(上海)有限公司 自对准多重图形化的掩膜层及其形成方法
CN102750104A (zh) * 2012-06-29 2012-10-24 鸿富锦精密工业(深圳)有限公司 具有触摸输入单元的电子设备
US9023737B2 (en) 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US20140023794A1 (en) * 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US8716136B1 (en) * 2012-10-19 2014-05-06 Globalfoundries Inc. Method of forming a semiconductor structure including a wet etch process for removing silicon nitride
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
KR102052936B1 (ko) 2012-11-13 2019-12-06 삼성전자 주식회사 반도체 소자 제조 방법
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9446965B2 (en) 2013-02-19 2016-09-20 Nanotech Industrial Solutions, Inc. Applications for inorganic fullerene-like particles
US8623770B1 (en) 2013-02-21 2014-01-07 HGST Netherlands B.V. Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
EP2961318A4 (en) * 2013-03-01 2016-11-30 Brainfx Inc NEUROLOGICAL EVALUATION SYSTEM AND METHOD
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273530A1 (en) 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US9721784B2 (en) 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
TW201441408A (zh) * 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
US9209274B2 (en) 2013-07-19 2015-12-08 Globalfoundries Inc. Highly conformal extension doping in advanced multi-gate devices
KR102081195B1 (ko) 2013-08-28 2020-02-25 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9159579B2 (en) 2013-10-25 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using multilayer spacer for reduced spacer footing
TWI480415B (zh) 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
US9123776B2 (en) 2013-12-04 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double spacer patterning process
US9614053B2 (en) 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
WO2015106261A1 (en) 2014-01-13 2015-07-16 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition
US9806129B2 (en) 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US9660080B2 (en) 2014-02-28 2017-05-23 Stmicroelectronics, Inc. Multi-layer strained channel FinFET
US9406522B2 (en) 2014-07-24 2016-08-02 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
US20160049307A1 (en) 2014-08-15 2016-02-18 Yijian Chen Patterning method for IC fabrication using 2-D layout decomposition and synthesis techniques
US9318334B2 (en) 2014-08-27 2016-04-19 United Microelectronics Corp. Method for fabricating semiconductor device
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9875888B2 (en) 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9449971B2 (en) 2014-12-01 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming FinFETs
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
EP3035379B1 (en) 2014-12-15 2020-07-01 IMEC vzw Method for blocking a trench portion
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9443731B1 (en) 2015-02-20 2016-09-13 Tokyo Electron Limited Material processing to achieve sub-10nm patterning
US9530646B2 (en) 2015-02-24 2016-12-27 United Microelectronics Corp. Method of forming a semiconductor structure
US9472506B2 (en) 2015-02-25 2016-10-18 International Business Machines Corporation Registration mark formation during sidewall image transfer process
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
US9406693B1 (en) 2015-04-20 2016-08-02 Sandisk Technologies Llc Selective removal of charge-trapping layer for select gate transistors and dummy memory cells in 3D stacked memory
CN106298519A (zh) 2015-05-15 2017-01-04 联华电子股份有限公司 形成半导体结构的方法
US9653571B2 (en) 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US9508560B1 (en) 2015-06-18 2016-11-29 International Business Machines Corporation SiARC removal with plasma etch and fluorinated wet chemical solution combination
US9530663B1 (en) 2015-06-23 2016-12-27 Nanya Technology Corp. Method for forming a pattern
US9748110B2 (en) 2015-09-03 2017-08-29 Tokyo Electron Limited Method and system for selective spacer etch for multi-patterning schemes
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
JP2018531506A (ja) 2015-09-24 2018-10-25 東京エレクトロン株式会社 サブ解像度基板パターニングのためのエッチングマスクを形成する方法
CN108369899B (zh) 2015-11-20 2023-11-17 东京毅力科创株式会社 形成用于亚分辨率基板图案化的蚀刻掩模的方法
US9576817B1 (en) 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer
US9508604B1 (en) 2016-04-29 2016-11-29 Globalfoundries Inc. Methods of forming punch through stop regions on FinFET devices on CMOS-based IC products using doped spacers
US10157736B2 (en) 2016-05-06 2018-12-18 Lam Research Corporation Methods of encapsulation
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150137061A1 (en) * 2013-11-21 2015-05-21 Micron Technology, Inc. Cross-point memory and methods for fabrication of same

Also Published As

Publication number Publication date
TW201832285A (zh) 2018-09-01
US10454029B2 (en) 2019-10-22
CN109952632B (zh) 2024-02-13
KR20190070365A (ko) 2019-06-20
WO2018089314A1 (en) 2018-05-17
CN109952632A (zh) 2019-06-28
US20180138405A1 (en) 2018-05-17

Similar Documents

Publication Publication Date Title
KR102453443B1 (ko) 하부 기판의 손상 없이 SiN 막의 습식 에칭 레이트를 감소시키는 방법
KR102637938B1 (ko) 칼코게나이드 재료를 캡슐화하기 위한 방법
KR102439391B1 (ko) 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들
US10998187B2 (en) Selective deposition with atomic layer etch reset
KR102572854B1 (ko) 실리콘 나이트라이드의 선택적 성장
KR102491771B1 (ko) 실리콘 옥사이드의 존재시 실리콘 표면들 상에서 실리콘 옥사이드 또는 실리콘 나이트라이드의 선택적인 성장
US9502238B2 (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
KR102446502B1 (ko) 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법
JP2018074145A (ja) 半導体パターニング用途のための高ドライエッチング速度材料
US11832533B2 (en) Conformal damage-free encapsulation of chalcogenide materials
KR20220006663A (ko) 원자 층 증착 동안 막 특성들의 인-시츄 (in-situ) 제어
US20240038539A1 (en) Selective processing with etch residue-based inhibitors

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant