TW201441408A - 包含氮化矽之膜的電漿輔助原子層沉積 - Google Patents

包含氮化矽之膜的電漿輔助原子層沉積 Download PDF

Info

Publication number
TW201441408A
TW201441408A TW103107930A TW103107930A TW201441408A TW 201441408 A TW201441408 A TW 201441408A TW 103107930 A TW103107930 A TW 103107930A TW 103107930 A TW103107930 A TW 103107930A TW 201441408 A TW201441408 A TW 201441408A
Authority
TW
Taiwan
Prior art keywords
substrate
precursor
film
sin
ruthenium
Prior art date
Application number
TW103107930A
Other languages
English (en)
Inventor
Victor Nguyen
Woong Jae Lee
Mihaela Balseanu
Li-Qun Xia
Derek R Witty
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201441408A publication Critical patent/TW201441408A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

茲提供利用PEALD製程來沉積SiN膜的方法。某些方法係關於使基板表面接觸矽前驅物,以於基板表面提供矽前驅物;驅淨過量的矽前驅物;使基板表面接觸離子化還原劑;及驅淨過量的離子化還原劑,以提供包含SiN的膜,其中基板的溫度為23℃至約550℃。

Description

包含氮化矽之膜的電漿輔助原子層沉積
本發明大體係關於沉積薄膜的方法。特別地,本發明係關於原子層沉積(ALD)製程,用以沉積SiN膜。
製造諸如積體電路等電子裝置時,目標基板(例如半導體晶圓)會經各種製程處理,例如膜形成、蝕刻、氧化、擴散、再形成、退火和天然氧化膜移除。含矽膜在許多該等製程中佔了很重要的部分。
含矽膜可用於半導體產業中的各種應用。含矽膜的實例包括磊晶矽、多晶矽(poly-Si)與無定形矽、磊晶矽鍺(SiGe)、碳化矽鍺(SiGeC)、氧化矽(SiO)、碳化矽(SiC)、氮化矽(SiN)、氮氧化矽(SiON)、碳氮化矽(SiCN)和碳氧化矽(SiCO)。當電路幾何形狀微縮成更小的特徵尺寸時,將需要能更佳地覆蓋高深寬比結構的薄膜。隨著裝置技術的進步,金屬化方案亦更為精密複雜且需有較小熱應力。因此,就含Si膜而言,最好採取低沉積溫度。
氮化矽膜有很好的抗氧化性和介電性質。故該等膜已用於許多應用,包括氧化物/氮化物/氧化物堆疊、蝕刻終止層、氧擴散阻障層和閘極絕緣層等。當裝置節點微縮成小於 45奈米(nm)時,必須要共形覆蓋,使介電膜對高深寬比結構有低圖案負載影響。
已知數種方法係利用化學氣相沉積(CVD)來形成氮化矽膜至半導體晶圓表面。在熱CVD中,矽烷氣體(例如單矽烷(SiH4)或聚矽烷)做為矽源氣體。然CVD製程往往會產生非共形膜。
出自焙爐的氮化矽膜可給予良好的共形性。然缺點包括高溫要求(≧550℃)、欠缺晶圓至晶圓均勻性,且幾乎不能就不同應用設計膜組成和性質,特別是應力。
矽烷基電漿輔助化學氣相沉積(PE-CVD)的高拉伸應力氮化膜據證可改善載子遷移率和裝置性能。然因自由基通量的方向性所致,膜的階梯覆蓋性很差。故當裝置尺寸減小時,改善效果也就減少。
原子層沉積(ALD)製程提供比CVD製程好很多的共形性和圖案負載。亦可利用ALD及使用鹵化矽烷前驅物與氨在焙爐型反應器中進行SiN膜形成。然此製程需要超過550℃的高溫,以完全轉化及消除NH4X副產物。在裝置製造中,基於熱預算和其他理由,通常期望在低溫下進行的製程。
因此,需要能提供高共形性的含SiN膜、又可解決上述任何其他當前問題的低溫沉積製程。
本發明的第一態樣係關於電漿輔助原子層沉積包含氮化矽(SiN)的膜的方法。方法包含使基板表面接觸矽前驅物,以於基板表面提供矽前驅物;驅淨過量的矽前驅物;使 基板表面接觸包含氮前驅物的離子化還原劑;及驅淨過量的離子化還原劑,以提供包含SiN的膜,其中基板的溫度為23℃至約550℃。在一或更多實施例中,基板溫度為約200℃至約400℃。
在一或更多實施例中,矽前驅物包含Si-X鍵,其中 X係鹵素。在一些實施例中,矽前驅物包含SiH4-yXy或X3-zHzSi-SiHzX3-z,其中X係選自由Cl、Br和I所組成群組的鹵化物,y係1至4,z係0至2。在一或更多實施例中,離子化還原劑包含氨、氮、氫、烷基胺、聯氨或取代聯氨。在一些實施例中,烷基胺包含二甲基胺。在一或更多實施例中,取代聯氨包含CH3N2H。在一些實施例中,矽前驅物包含六氯二矽烷。在一或更多實施例中,包含SiN的膜的厚度為約50埃至約500埃。在一些實施例中,方法進一步包含使包含SiN的膜接觸電漿處理,以提高膜的拉伸強度。在一或更多實施例中,包含SiN的膜的厚度為約10埃至約40埃。
本發明的第二態樣亦關於電漿輔助原子層沉積包含 SiN的膜的方法。方法包含使基板表面接觸包含矽與氮的前驅物,以於基板表面提供前驅物矽與氮;驅淨過量的矽前驅物;使基板表面接觸離子化還原劑;驅淨過量的離子化還原劑,以提供包含SiN的膜,其中基板的溫度為23℃至約550℃、600℃或650℃。
在一些實施例中,包含矽與氮的前驅物選自由 N(SiH3)3、(SiH3)2NH、胺基矽烷和雙二乙胺矽烷所組成的群組。在一或更多實施例中,基板溫度為約200℃至約400℃。 在一些實施例中,離子化還原劑包含氨、氮、氫、烷基胺、聯氨或取代聯氨。在一或更多實施例中,烷基胺包含二甲基胺。在一些實施例中,取代聯氨包含CH3N2H。在一或更多實施例中,包含矽與氮的前驅物包含N(SiH3)3。在一些實施例中,方法包含使包含SiN的膜接觸電漿處理,以提高膜的拉伸強度。
本發明的另一態樣係關於電漿輔助原子層沉積包含 SiN的膜的方法,方法包含:使基板表面接觸矽前驅物,以於基板表面提供矽前驅物;驅淨過量的矽前驅物;使基板表面接觸離子化還原劑,離子化還原劑包含氮前驅物;驅淨過量的離子化還原劑,以提供包含SiN的膜,其中基板的溫度為23℃至約550℃;重複(a)-(d);一旦沉積約10埃至約40埃的膜,便使包含SiN的膜接觸電漿處理。
為讓本發明的上述概要特徵更明顯易懂,可配合參考實施例說明,部分實施例乃圖示在附圖。然應注意所附圖式僅說明本發明典型實施例,故不宜視為限定本發明範圍,因為本發明可接納其他等效實施例。
第1圖係根據本發明一或更多實施例沉積的膜的穿透式電子顯微鏡(TEM)圖;第2A圖至第2B圖係根據本發明一或更多實施例沉積的膜的TEM圖;第3圖係根據本發明一或更多實施例沉積的膜的TEM圖; 第4圖圖示根據本發明一或更多實施例沉積的膜和對照膜的FTIR光譜;及第5圖圖示根據本發明一或更多實施例沉積的膜和對照膜的膜應力曲線圖。
在描述本發明數個示例性實施例前,應理解本發明不限於以下提及的構造或製程步驟細節。本發明當能以各種方式實踐或實行其他實施例。亦應理解本文所述一些化學化合物係以具特定立體化學的結構化學式說明。這些敘述僅為舉例說明,而不宜解釋成限定所揭示結構具任何特定立體化學。反之,所述結構擬涵蓋具指示化學式表示的所有化合物。
「基板」在此係指製造製程期間進行膜處理的任何基板或基板上的材料表面。例如,進行處理的基板表面包括材料,例如矽、氧化矽、應變矽、矽覆絕緣層(SOI)、碳摻雜的氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石和任何其他材料,例如金屬、金屬氮化物、金屬合金和其他導電材料,此視應用而定。基板包括半導體晶圓,但不以此為限。基板可經預處理而加以研磨、蝕刻、還原、氧化、羥化、退火及/或烘烤基板表面。除直接膜處理基板表面本身外,本發明所述任何膜處理亦可在形成於基板的底層上進行,此將進一步詳述於後,「基板表面」一詞擬包括內文所示底層。
茲發現包含SiN的高共形膜可利用電漿輔助原子層沉積(PEALD)製程沉積而得。此製程包括矽前驅物和電漿還原劑。在一或更多實施例中,在記憶體與邏輯生產流程中, 所述製程以PEALD沉積低圖案負載的共形氮化膜做為間隔物和蝕刻終止層。一或更多實施例有利於允許低溫處理(包括遠低於550℃)。此溫度特別適合高k介電質處理。所述一或更多製程的另一益處為能修改共形膜成具預定組成和性質。 可利用下述其一或組合方法,調整膜性質,包括利用電漿進行後處理及/或紫外光(UV)固化。
故本發明的一態樣係關於電漿輔助原子層沉積包含 SiN的膜的方法。方法包含使基板表面接觸矽前驅物,以於基板表面提供矽前驅物;驅淨過量的矽前驅物;使基板表面接觸包含氮前驅物的離子化還原劑;及驅淨過量的離子化還原劑,以提供包含SiN的膜,其中基板的溫度為23℃至約550℃、600℃或650℃。在一些實施例中,方法包含使基板表面接觸包含矽與氮的前驅物,以於基板表面提供包含矽與氮的前驅物;驅淨過量的矽前驅物;使基板表面接觸離子化還原劑;驅淨過量的離子化還原劑,以提供包含SiN的膜,其中基板的溫度為23℃至約550℃、600℃或650℃。在一或更多實施例中,「於基板表面提供前驅物」意指矽前驅物以一層矽前驅物的反應物種浸透基板表面。在一或更多實施例中,離子化還原劑包含氨、氮、氫、烷基胺、聯氨或取代聯氨。
「SiN」在此係指包含Si-N鍵結的沉積膜。在一些 實施例中,膜可以化學式Si3Nx表示,其中x等於約4。應理解變數x可隨選用的特定前驅物而異,包括前驅物中的矽與碳初始比率。
在ALD循環的第一部分中,基板表面將接觸矽前驅 物。在一些實施例中,接觸矽前驅物會造成矽前驅物與表面反應。在一或更多實施例中,矽前驅物係鹵化矽烷。即在一些實施例中,矽前驅物包含Si-X鍵,其中X係鹵素。在進一步實施例中,矽前驅物包含SiH4-yXy或X3-zHzSi-SiHzX3-z,其中X係選自由Cl、Br和I所組成群組的鹵化物,y係1至4,z係0至2。在一些實施例中,第一前驅物包含SiX4。在其他實施例中,第一前驅物包含X3Si-SiX3。在一或更多實施例中,X個別選自Cl、Br和I。在進一步實施例中,至少一X基為Cl。鹵化矽烷的實例包括六氯二矽烷(HCDS)、單氯矽烷和二氯矽烷(DCS),但不以此為限。在更進一步實施例中,所有X基為Cl。在第一前驅物包含X3Si-SiX3且所有X基為Cl的實施例中,化合物係Cl3Si-SiCl3,此亦稱作六氯二矽烷。故在一或更多實施例中,矽前驅物選自SiCl4、SiBr4或SiI4
在一或更多實施例中,矽前驅物亦可包含碳。此類實例包括化學式為(XyH3-ySi)zCH4-z的烷基鹵化矽烷。在一或更多實施例中,X個別選自Cl、Br和I。在進一步實施例中,至少一X基為Cl。在更進一步實施例中,所有X基為Cl。此類化合物已知有雙(三氯矽基)甲烷(BTCSM)、六氯二矽基甲烯(HCDSM)、1,1’-亞甲基雙(1,1,1-三氯矽烷)或亞甲基雙(三氯矽烷),且結構可表示如下: 其他適合的前驅物實例包括具下列結構者,但不以此為限:
在其他實施例中,第一前驅物的化學式為 (XyH3-ySi)(CH2)n(SiXyH3-y)。在進一步實施例中,n係2或3,或者在更進一步實施例中,n係2。因起始C:Si比較高,故具此化學式的化合物可用於進一步增加碳含量。在一或更多實施例中,X個別選自Cl、Br和I。在進一步實施例中,至少一X基為Cl。在更進一步實施例中,所有X基為Cl。
在又一些其他實施例中,第一前驅物包含 (XyH3-ySi)(CH2)(SiXpH2-p)(CH2)(SiXyH3-y),其中X係鹵素,y係1至3,p係0至2。在一或更多實施例中,X個別選自Cl、Br和I。在進一步實施例中,至少一X基為Cl。在更進一步實施例中,所有X基為Cl。此類前驅物實例包括(ClSiH2)(CH2)(SiH2)(CH2)(SiH2Cl)和(Cl2SiH)(CH2)(SiClH)(CH2)(SiHCl2),但不以此為限。
在一些實施例中,矽前驅物亦可包含氮。此類前驅 物實例包括胺-鹵化矽烷,此亦含有矽與氮原子。此類化合物實例包括三矽基胺(TSA)和雙-二乙胺矽烷(BDEAS),但不以此為限。其他亦含氮的矽前驅物實例包括矽氮烷系前驅物。此類化合物的化學式為: 其中R各自為氫基或C1-C6烷基。在一些實施例中,至少一 R基為甲基。在進一步實施例中,矽前驅物為矽氮烷。在其他實施例中,矽前驅物的化學式為(SiH3)2NH。應注意若R基含有碳,則所得膜亦可含有碳。
適合的處理流率取決於選用的特定前驅物。然通常 當矽前驅物為氣體時,流率將為約1sccm(每分鐘標準立方公分)至約5000sccm。在進一步實施例中,流率為約25、50、75或100sccm至約200、250、300、350、400、500或600sccm。 適合鹵化矽烷前驅物(例如二氯矽烷)的氣體流率可為約100sccm至約200sccm。通常當矽前驅物為液體時,流率將為約1sccm至約5000mgm。在進一步實施例中,流率為約10、20、30或50sccm至約100、125、150、170、200或250sccm。 適合鹵化矽烷前驅物(例如HCDS)的液體流率可為約50mgm至約100mgm。
基板表面一旦接觸矽前驅物,即可移除過量的未反應前驅物。例如,可抽走過量的矽前驅物而於所有表面上留下單層原子。據信反應係自飽和型,因為該層具有鹵素端鍵。反應的自飽和本性有助於提供絕佳的階梯覆蓋性。
一旦於基板表面提供單層原子,便可使基板表面接觸還原劑。通常,在較低表面溫度下(例如低於550℃、600℃或650℃),NH3系氣體與層間的反應將變得不太有效力。然發現由電漿離子化還原氣體因有較高能量位準而可大大提高反應效力。氣體可在腔室內或外(即遠端)離子化,然後流入腔室。示例性還原劑包括NH3、H2和N2,但不以此為限。含氮的還原劑將做為膜的氮前驅物。若矽前驅物亦含有氮, 則氫可為適合的還原劑,且目的係為設計膜中的氮原子組成。膜與該等氣體反應會移除鹵素原子,以致交聯形成Si-N-Si網狀物。接著可抽走或驅淨還原氣體。
適合的處理流率取決於選用的特定還原劑。通常, 流率為約1sccm至約25000sccm。在進一步實施例中,流率為250、500、750或1000sccm至約2000、2250、2500或2750sccm。適合一些還原劑(例如NH3)的氣體流率可為約100sccm至約200sccm。
所述一或更多製程包括驅淨。驅淨製程可保持試劑 分開。不當的試劑混合物會惡化階梯覆蓋性。停止流入一或更多試劑後,基板和腔室可經驅淨步驟處理。可以約10sccm至約10000sccm的流率,例如約50sccm至約5000sccm,在特定實例中為約1000sccm,將驅淨氣體注入處理腔室。驅淨步驟移除了處理腔室內任何的過量前驅物、副產物和其他污染。驅淨步驟可進行約0.1秒至約60秒的時間,例如約1秒至約10秒,在特定實例中為約5秒。載氣、驅淨氣體、沉積氣體或其他製程氣體可含有氮、氫、氬、氖、氦或上述物質組合物。在一實例中,載氣包含氬與氮。
前驅物及/或還原氣體可用惰性氣體稀釋。實例包括 稀有氣體和N2。在一或更多實施例中,惰性稀釋劑的流率為約1sccm至約25000sccm。在進一步實施例中,流率為約1000sccm至約5000sccm。
在沉積製程期間,腔室壓力可為約1托耳至約50托 耳。在進一步實施例中,壓力可為約1托耳至約15托耳。在 一些實施例中,壓力可為約4、5、6、7、8、9或10托耳。
可重複進行上述製程,直到達到預定膜厚為止。故 在上述後,可重新引入矽前驅物、然後驅淨、流入離子化還原劑、再進行驅淨。持續進行循環製程,直到達到目標膜厚為止。
所述一或更多製程的優點在於,沉積可在相當低的 溫度下進行。在一些實施例中,基板表面(進行沉積處)的溫度為約20℃至約500℃、600℃或650℃。在一或更多實施例中,沉積係在約50℃、100℃、200℃、250℃或300℃至約400℃、450℃或500℃的溫度下進行。在一些實施例中,基板溫度為約200℃至約400℃。
在一些製程中,使用電漿提供足夠的能量,以促進 物種變成激態,而使表面反應變得有利又可行。可以連續或脈衝方式將電漿引入製程。在一些實施例中,使用相繼脈衝供應的前驅物(或反應氣體)和電漿來處理層。在一些實施例中,可於本地(即處理區內)或遠端(即處理區外)離子化試劑。在一些實施例中,可在沉積腔室上游進行遠端離子化,使離子或其他高能或發光物種不直接接觸沉積膜。在一些PEALD製程中,電漿係在處理腔室外產生,例如利用遠端電漿產生系統。可利用任何適合的電漿產生製程或熟諳此技術者所知技術來產生電漿。例如,可以一或更多微波(MW)頻率產生器或射頻(RF)產生器產生電漿。可依據所用特定反應物種,調整電漿頻率。適合頻率包括350千赫(kHz)、13.56兆赫(MHz)和60MHz,但不以此為限。
可依特定製程採用其他電漿條件。通常,電漿功率 為約1瓦(W)至約1千瓦(kW)。在進一步實施例中,電漿功率為約50、75、100、125、150、175、200、300或400W。 每層的電漿接觸時間可為約1秒至約60秒。在進一步實施例中,電漿接觸時間為約5或10秒至約20、30或40秒。在進一步實施例中,電漿接觸時間為約10秒。
在一些實施例中,後沉積處理方法可用於設計膜性 質,特別是應力加強。「後沉積」在此意指在至少一PEALD循環後進行處理。在一些實施例中,處理製程係在某些膜厚區間及/或循環時進行,在其他實施例中,處理製程係在完成沉積後即進行。在一些實施例中,後沉積處理係在沉積SiN膜後不破真空的情況下進行。此將有助於避免共形SiN膜氧化。
在一或更多實施例中,後沉積處理包含電漿處理。 電漿處理可用於提高膜的拉伸應力。儘管不期侷限於任何特定理論,然據信因電漿會自膜移除氫,故膜的拉伸應力將提高。可在沉積特定膜厚後,進行電漿處理。例如,可於每沉積10至40埃的膜時,或更特定言之為每20埃時,進行電漿處理。
在一些實施例中,後沉積處理包含用紫外光(UV) 處理。此處理一例為UV退火/固化。利用UV處理製程,所述一或更多膜的拉伸應力可從0.5GPa提高到1.3GPa或甚至更高。可在沉積特定膜厚後,進行UV處理。例如,可於每沉積50至500埃的膜時,或更特定言之為約每100至200埃時, 進行UV固化。
故在一示例性製程中,方法包含: (a)使基板表面接觸矽前驅物,以於基板表面提供矽前驅物;(b)驅淨過量的矽前驅物;(c)使基板表面接觸離子化還原劑,離子化還原劑包含氮前驅物;(d)驅淨過量的離子化還原劑,以提供包含SiN的膜,其中基板的溫度為23℃至約550℃、600℃或650℃;(e)重複(a)-(d);(f)一旦沉積約10埃至約40埃的膜,便使包含SiN的膜接觸電漿處理,或者一旦沉積約50埃至約500埃的膜,便施以UV固化。
前驅物/試劑可相繼或實質相繼流入及/或接觸基板 表面。製程可重複進行,直到達到預定膜厚為止。「實質相繼」在此係指特定前驅物的接觸/流入大多不與另一前驅物的流入/接觸重疊,儘管有些許重疊。
由所述一或更多沉積製程形成的膜具有良好的階梯 覆蓋性和共形性。一共形性測量方法為側壁/頂部比率與底部/頂部厚度比率。完美的共形性相當於100%的比率(即兩種厚度一樣)。在一或更多實施例中,所述製程可達成的比率大於95%。另一可用的測量方法係圖案負載效應(PLE),PLE為隔離場區對密集區的厚度差,並且代表場與結構厚度間的差異。通常期望PLE值小於5%。在一或更多實施例中,所述製程可提供小於約5%、4%或3%的PLE值。
用於ALD反應的特定反應條件將依據膜前驅物、基 板表面等的性質選擇。可在大氣壓下進行沉積,但也可在減壓下進行沉積。基板溫度宜夠低,以保持基板表面的鍵結完好無損及防止氣態反應物熱分解。然基板溫度亦應夠高,以維持膜前驅物處於氣相及提供足夠的能量供表面反應。特定溫度取決於特定基板、膜前驅物和壓力。可利用此領域已知方法,評估特定基板、膜前驅物等的性質,以選擇適當溫度和壓力用於反應。
根據一或更多實施例,在形成層之前及/或之後,處 理基板。此處理可在同一腔室或在一或更多不同的處理腔室中進行。在一些實施例中,基板從第一腔室移到不同的第二腔室供進一步處理。基板可從第一腔室直接移到不同的處理腔室,或者基板可從第一腔室移到一或更多移送室,然後移到不同的預定處理腔室。因此,處理設備可包含連接移送站的多個腔室。此類設備稱作「叢集工具」或「叢集系統」等。
通常,叢集工具係包含多個腔室的模組系統,腔室 執行各種功能,包括基板中心找尋及定位、除氣、退火、沉積及/或蝕刻。根據一或更多實施例,叢集工具包括至少一第一腔室和中央移送室。中央移送室可容納機器人,用以在處理腔室與負載鎖定室間運送基板。移送室一般維持呈真空條件,且提供中間臺階供基板從某一腔室運送到另一腔室及/或位於叢集工具前端的負載鎖定室。兩種已知適於本發明的叢集工具為Centura®和Endura®,二者均取自美國加州聖克拉拉的應用材料公司。此一分階真空基板處理設備細節描述於 西元1993年2月16日授予Tepman等人、名稱為「分階真空晶圓處理設備和方法(Staged-Vacuum Wafer Processing Apparatus and Method)」的美國專利案第5,186,718號。然為進行本文所述製程的特定步驟,可改變腔室的確切配置和組合方式。其他可用處理腔室包括循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清洗、化學清洗、諸如快速熱處理(RTP)的熱處理、電漿氮化、除氣、定位、羥化和其他基板處理,但不以此為限。藉由在叢集工具的腔室中進行處理,可避免基板表面在沉積後續膜前還未氧化即遭大氣雜質污染。
根據一或更多實施例,基板持續處於真空或「負載 鎖定」條件,並且從某一腔室移到下一腔室時,不會接觸周遭空氣。故移送室處於真空且在真空壓力下「泵回」。惰性氣體可存於處理腔室或移送室。在一些實施例中,惰性氣體用作驅淨氣體,以於基板表面上形成層後,移除部分或所有反應物。根據一或更多實施例,於沉積腔室的出口處,注入驅淨氣體,以防止反應物從沉積腔室移到移送室及/或附加處理腔室。故惰性氣體流將在腔室出口處形成簾幕。
基板可在單一基板沉積腔室中處理,其中在處理另 一基板前,裝載、處理及卸載單一基板。亦可以如運送系統般的連續方式處理基板,其中多個基板個別裝載至腔室的第一部分、移動通過腔室及自腔室的第二部分卸載。腔室和相關運送系統的形狀可構成直線路徑或彎曲路徑。此外,處理腔室可為迴轉料架,其中多個基板繞著中心軸移動,並在整 個迴轉路徑經沉積、蝕刻、退火、清洗等處理。
處理期間,可加熱或冷卻基板。可以任何適合手段 達成加熱或冷卻,包括改變基板支撐件的溫度及使加熱或冷卻氣體流至基板表面,但不以此為限。在一些實施例中,基板支撐件包括加熱器/冷卻器,加熱器/冷卻器經控制以傳導改變基板溫度。在一或更多實施例中,加熱或冷卻所用氣體(反應氣體或惰性氣體),以局部改變基板溫度。在一些實施例中,加熱器/冷卻器設在鄰近基板表面的腔室內,以對流改變基板溫度。
處理期間,基板亦可固定不動或轉動。基板可持續 旋轉或按不連續階段轉動。例如,基板可在整個製程過程旋轉,或者基板可在接觸不同反應氣體或驅淨氣體之間少量旋轉。處理期間轉動基板(無論持續或分階段)有助於最小化如氣流幾何形狀的局部變異影響,從而得到更均勻的沉積或蝕刻。
在原子層沉積型腔室中,基板可在空間上或時間上 分隔處理而接觸第一與第二前驅物。時間性ALD係傳統製程,其中第一前驅物流入腔室以與表面反應。在流入第二前驅物前,驅淨腔室內的第一前驅物。在空間性ALD中,第一與第二前驅物同時流入腔室,但空間上係分開的,如此在流動之間會有區域防止前驅物混合。在空間性ALD中,基板必須相對氣體分配板移動,反之亦可。
整份說明書提及的「一實施例」、「一些實施例」、「一或更多實施例」或「一個實施例」意指該實施例描述的 特定特徵、結構、材料或特性係包含在本發明至少一實施例內。故說明書各處出現的如「在一或更多實施例中」、「在一些實施例中」、「在一實施例中」或「在一個實施例中」等用語不必然指稱本發明的同一實施例。另外,在一或更多實施例中,可以任何適合方式結合特定特徵、結構、材料或特性。
雖然本發明已以特定實施例揭示如上,然應理解該 等實施例僅為舉例說明本發明的原理和應用而已。在不脫離本發明的精神和範圍內,熟諳此技術者當可對本發明的方法和設備作各種更動與潤飾。因此本發明擬包括在後附申請專利範圍所界定範圍內的修改例與變化例和其均等物。
實例 實例1
沉積氮化矽膜。膜間隔物沉積至間隙為60nm的密集7:1區與隔離場區的多晶矽結構上。在400℃的基板溫度下,於矽晶圓基板上形成膜。在此ALD反應中,矽前驅物包含液態六氯二矽烷(HCDS),氮前驅物包含氨。
第1圖係膜的TEM圖。第2A圖至第2B圖及第3圖亦為膜的TEM圖。第2B圖係第2A圖的放大視圖。第3圖係替換視圖。第1圖及第2A圖至第2B圖亦顯示各處的膜厚測量值。變異很小,證實所得為高共形膜。測量側壁/頂部與底部/頂部厚度比率為95%,PLE僅3%。
實例2
沉積根據實例1的膜。以習知ALD製造的膜視為對 照組,因為習知ALD不具使用離子化還原劑的特徵。
如上所述,亦可利用PEALD來調整膜性質,此可由第4圖所示傅立葉轉換紅外線光譜(FTIR)證實。第4圖顯示熱ALD膜與PEALD膜的組成差異。特定言之,此亦可由SiH/NH鍵(分別在2200公分-1、3400公分-1處)的比率觀察得知。如FTIR光譜所示,ALD製程的N-H和Si-H峰高很多。
利用KLA-Tencor F-系列,測量兩種膜於剛沉積時和以UV退火製程後處理後的拉伸應力增量。第5圖圖示拉伸應力結果。如圖所示,經後沉積UV退火處理後的膜應力高得多。高應力增量表示在PEALD沉積期間,製程修改膜組成的能力,特定言之為具有1:1的NH-鍵與SiH-鍵比。在焙爐型ALD膜中,因高溫與熱反應本性使然,不可能控制H組成和分佈。

Claims (20)

  1. 一種電漿輔助原子層沉積一包含SiN的膜的方法,該方法包含以下步驟:使一基板表面接觸一矽前驅物,以於該基板表面提供一矽前驅物;驅淨過量的該矽前驅物;使該基板表面接觸一離子化還原劑,該離子化還原劑包含一氮前驅物;及驅淨過量的該離子化還原劑,以提供一包含SiN的膜,其中該基板具有23℃至約550℃的一溫度。
  2. 如請求項1所述之方法,其中該基板溫度為約200℃至約400℃。
  3. 如請求項1所述之方法,其中該矽前驅物包含一Si-X鍵,其中X係一鹵素。
  4. 如請求項3所述之方法,其中該矽前驅物包含SiH4-yXy或X3-zHzSi-SiHzX3-z,其中X係選自由Cl、Br和I所組成群組的一鹵化物,y為1至4,z為0至2。
  5. 如請求項1所述之方法,其中該離子化還原劑包含氨、氮、氫、一烷基胺、聯氨或一取代聯氨。
  6. 如請求項5所述之方法,其中該烷基胺包含二甲基胺。
  7. 如請求項5所述之方法,其中該取代聯氨包含CH3N2H。
  8. 如請求項4所述之方法,其中該矽前驅物包含六氯二矽烷。
  9. 如請求項1所述之方法,其中該包含SiN的膜具有約50埃至約500埃的一厚度。
  10. 如請求項1所述之方法,進一步包含以下步驟:使該包含SiN的膜接觸一電漿處理,以提高該膜的一拉伸強度。
  11. 如請求項9所述之方法,其中該包含SiN的膜具有約10埃至約40埃的一厚度。
  12. 一種電漿輔助原子層沉積一包含SiN的膜的方法,該方法包含以下步驟:使一基板表面接觸一包含矽與氮的前驅物,以於該基板表面提供一前驅物矽與氮;驅淨過量的該矽前驅物;使該基板表面接觸一離子化還原劑;及驅淨過量的該離子化還原劑,以提供一包含SiN的膜,其中該基板具有23℃至約550℃的一溫度。
  13. 如請求項12所述之方法,其中該包含矽與氮的前驅物選自由N(SiH3)3、(SiH3)2NH、胺基矽烷和雙二乙胺矽烷所組成的一群組。
  14. 如請求項12所述之方法,其中該基板溫度為約200℃至約400℃。
  15. 如請求項12所述之方法,其中該離子化還原劑包含氨、氮、氫、一烷基胺、聯氨或一取代聯氨。
  16. 如請求項15所述之方法,其中該烷基胺包含二甲基胺。
  17. 如請求項15所述之方法,其中該取代聯氨包含CH3N2H。
  18. 如請求項12所述之方法,其中該包含矽與氮的前驅物包含N(SiH3)3
  19. 如請求項12所述之方法,進一步包含以下步驟:使該包含SiN的膜接觸一電漿處理,以提高該膜的一拉伸強度。
  20. 一種電漿輔助原子層沉積一包含SiN的膜的方法,該方法包含以下步驟:使一基板表面接觸一矽前驅物,以於該基板表面提供一 矽前驅物;驅淨過量的該矽前驅物;使該基板表面接觸一離子化還原劑,該離子化還原劑包含一氮前驅物;驅淨過量的該離子化還原劑,以提供一包含SiN的膜,其中該基板具有23℃至約550℃的一溫度;重複(a)-(d);一旦沉積約10埃至約40埃的該膜,便使該包含SiN的膜接觸一電漿處理。
TW103107930A 2013-03-15 2014-03-07 包含氮化矽之膜的電漿輔助原子層沉積 TW201441408A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201361787129P 2013-03-15 2013-03-15

Publications (1)

Publication Number Publication Date
TW201441408A true TW201441408A (zh) 2014-11-01

Family

ID=51529024

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103107930A TW201441408A (zh) 2013-03-15 2014-03-07 包含氮化矽之膜的電漿輔助原子層沉積

Country Status (2)

Country Link
US (1) US9984868B2 (zh)
TW (1) TW201441408A (zh)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9401273B2 (en) * 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10410857B2 (en) * 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
TWI715645B (zh) * 2015-10-22 2021-01-11 美商應用材料股份有限公司 正形及縫隙填充非晶矽薄膜的沉積
US20170178899A1 (en) 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
KR102312824B1 (ko) 2016-03-17 2021-10-13 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 구조들에서의 갭충전을 위한 방법들
WO2017189581A1 (en) * 2016-04-25 2017-11-02 Applied Materials, Inc. Surface functionalization and passivation with a control layer
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10454029B2 (en) * 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10276379B2 (en) 2017-04-07 2019-04-30 Applied Materials, Inc. Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
CN110709967B (zh) 2017-07-24 2023-09-01 应用材料公司 改善在氧化硅上的超薄非晶硅膜的连续性的预处理方法
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
US10985010B2 (en) 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
EP3844318A4 (en) 2018-10-03 2022-06-01 Versum Materials US, LLC METHODS FOR MAKING FILMS CONTAINING SILICON AND NITROGEN
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US6949481B1 (en) * 2003-12-09 2005-09-27 Fasl, Llc Process for fabrication of spacer layer with reduced hydrogen content in semiconductor device
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7732342B2 (en) 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7501355B2 (en) 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US7651961B2 (en) * 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
KR101657341B1 (ko) * 2011-04-25 2016-09-13 도쿄엘렉트론가부시키가이샤 성막 방법
US8586487B2 (en) 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US8784951B2 (en) * 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US20140273526A1 (en) * 2013-03-12 2014-09-18 David Thompson Atomic Layer Deposition Of Films Comprising Si(C)N Using Hydrazine, Azide And/Or Silyl Amine Derivatives
US10573511B2 (en) * 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures

Also Published As

Publication number Publication date
US9984868B2 (en) 2018-05-29
US20140273529A1 (en) 2014-09-18

Similar Documents

Publication Publication Date Title
US9984868B2 (en) PEALD of films comprising silicon nitride
US11515149B2 (en) Deposition of flowable silicon-containing films
US20140273530A1 (en) Post-Deposition Treatment Methods For Silicon Nitride
US11011384B2 (en) Gapfill using reactive anneal
US20170114465A1 (en) Methods Of Depositing Flowable Films Comprising SiO and SiN
US11549181B2 (en) Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US8575033B2 (en) Carbosilane precursors for low temperature film deposition
US20140273524A1 (en) Plasma Doping Of Silicon-Containing Films
WO2017223323A1 (en) Flowable amorphous silicon films for gapfill applications
US10096514B2 (en) Seamless trench fill using deposition/etch techniques
JP7118511B2 (ja) シリコン間隙充填のための二段階プロセス
US9685325B2 (en) Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
US9875889B2 (en) Atomic layer deposition of films comprising Si(C)N using hydrazine, azide and/or silyl amine derivatives
US8828866B1 (en) Methods for depositing a tantalum silicon nitride film
JP2023507326A (ja) 炭素含有量が調整可能な炭窒化ケイ素間隙充填
US11107674B2 (en) Methods for depositing silicon nitride
US9093264B2 (en) Methods and apparatus for forming silicon passivation layers on germanium or III-V semiconductor devices
US20220238331A1 (en) Gapfill process using pulsed high-frequency radio-frequency (hfrf) plasma
US11367614B2 (en) Surface roughness for flowable CVD film
WO2022245641A1 (en) Flowable cvd film defect reduction