AU2001255656A1 - Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module - Google Patents

Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module

Info

Publication number
AU2001255656A1
AU2001255656A1 AU2001255656A AU5565601A AU2001255656A1 AU 2001255656 A1 AU2001255656 A1 AU 2001255656A1 AU 2001255656 A AU2001255656 A AU 2001255656A AU 5565601 A AU5565601 A AU 5565601A AU 2001255656 A1 AU2001255656 A1 AU 2001255656A1
Authority
AU
Australia
Prior art keywords
cleaning module
cluster tool
tool including
supercritical drying
metal film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
AU2001255656A
Inventor
Maximilian A. Biberger
Paul E. Schilling
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of AU2001255656A1 publication Critical patent/AU2001255656A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/021Cleaning or etching treatments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02101Cleaning only involving supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step
AU2001255656A 2000-04-25 2001-04-24 Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module Abandoned AU2001255656A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US19958000P 2000-04-25 2000-04-25
US60199580 2000-04-25
PCT/US2001/013278 WO2001082368A2 (en) 2000-04-25 2001-04-24 Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module

Publications (1)

Publication Number Publication Date
AU2001255656A1 true AU2001255656A1 (en) 2001-11-07

Family

ID=22738145

Family Applications (1)

Application Number Title Priority Date Filing Date
AU2001255656A Abandoned AU2001255656A1 (en) 2000-04-25 2001-04-24 Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module

Country Status (9)

Country Link
US (2) US6890853B2 (en)
EP (1) EP1277233A2 (en)
JP (2) JP2003534646A (en)
KR (1) KR100693691B1 (en)
CN (1) CN1216415C (en)
AU (1) AU2001255656A1 (en)
IL (2) IL152376A0 (en)
TW (1) TWI287853B (en)
WO (1) WO2001082368A2 (en)

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW539918B (en) * 1997-05-27 2003-07-01 Tokyo Electron Ltd Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US6497239B2 (en) 1999-08-05 2002-12-24 S. C. Fluids, Inc. Inverted pressure vessel with shielded closure mechanism
US6602349B2 (en) 1999-08-05 2003-08-05 S.C. Fluids, Inc. Supercritical fluid cleaning process for precision surfaces
US6748960B1 (en) * 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
AU3267201A (en) * 1999-11-02 2001-05-14 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
CN1216415C (en) * 2000-04-25 2005-08-24 东京毅力科创株式会社 Method of depositing metal film and metal deposition cluster including supercritical drying/cleaning module
US6921456B2 (en) * 2000-07-26 2005-07-26 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
JP3955724B2 (en) * 2000-10-12 2007-08-08 株式会社ルネサステクノロジ Manufacturing method of semiconductor integrated circuit device
US7557073B2 (en) * 2001-12-31 2009-07-07 Advanced Technology Materials, Inc. Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist
US7326673B2 (en) * 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
US6924086B1 (en) * 2002-02-15 2005-08-02 Tokyo Electron Limited Developing photoresist with supercritical fluid and developer
US20030168431A1 (en) * 2002-02-25 2003-09-11 Ritdisplay Corporation Etchant composition for silver alloy
EP1495366A1 (en) * 2002-04-12 2005-01-12 Supercritical Systems Inc. Method of treatment of porous dielectric films to reduce damage during cleaning
JP3949504B2 (en) * 2002-04-25 2007-07-25 英夫 吉田 Method and apparatus for activation treatment of base material surface
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US20040118812A1 (en) * 2002-08-09 2004-06-24 Watkins James J. Etch method using supercritical fluids
JP2004158534A (en) * 2002-11-05 2004-06-03 Kobe Steel Ltd Method for cleaning microscopic structure
US6722642B1 (en) 2002-11-06 2004-04-20 Tokyo Electron Limited High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
US20040112409A1 (en) * 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
JP2004225152A (en) * 2003-01-27 2004-08-12 Tokyo Electron Ltd Method for treating substrate and method for manufacturing semiconductor device
JP2004228526A (en) * 2003-01-27 2004-08-12 Tokyo Electron Ltd Method of processing substrate and method of manufacturing semiconductor device
US8241701B2 (en) * 2005-08-31 2012-08-14 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
US20040198066A1 (en) * 2003-03-21 2004-10-07 Applied Materials, Inc. Using supercritical fluids and/or dense fluids in semiconductor applications
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US7226512B2 (en) * 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
US20050158664A1 (en) * 2004-01-20 2005-07-21 Joshua Tseng Method of integrating post-etching cleaning process with deposition for semiconductor device
US7141496B2 (en) * 2004-01-22 2006-11-28 Micell Technologies, Inc. Method of treating microelectronic substrates
US20050183740A1 (en) * 2004-02-19 2005-08-25 Fulton John L. Process and apparatus for removing residues from semiconductor substrates
US20050261150A1 (en) * 2004-05-21 2005-11-24 Battelle Memorial Institute, A Part Interest Reactive fluid systems for removing deposition materials and methods for using same
US20090304914A1 (en) * 2006-08-30 2009-12-10 Lam Research Corporation Self assembled monolayer for improving adhesion between copper and barrier layer
US20060065288A1 (en) * 2004-09-30 2006-03-30 Darko Babic Supercritical fluid processing system having a coating on internal members and a method of using
US20060065189A1 (en) * 2004-09-30 2006-03-30 Darko Babic Method and system for homogenization of supercritical fluid in a high pressure processing system
US7387973B2 (en) * 2004-09-30 2008-06-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving low-K dielectrics by supercritical fluid treatments
US20060130966A1 (en) * 2004-12-20 2006-06-22 Darko Babic Method and system for flowing a supercritical fluid in a high pressure processing system
US7140393B2 (en) * 2004-12-22 2006-11-28 Tokyo Electron Limited Non-contact shuttle valve for flow diversion in high pressure systems
US7434590B2 (en) * 2004-12-22 2008-10-14 Tokyo Electron Limited Method and apparatus for clamping a substrate in a high pressure processing system
US20060134332A1 (en) * 2004-12-22 2006-06-22 Darko Babic Precompressed coating of internal members in a supercritical fluid processing system
US20060135047A1 (en) * 2004-12-22 2006-06-22 Alexei Sheydayi Method and apparatus for clamping a substrate in a high pressure processing system
US7435447B2 (en) * 2005-02-15 2008-10-14 Tokyo Electron Limited Method and system for determining flow conditions in a high pressure processing system
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US20060226117A1 (en) * 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US7524383B2 (en) * 2005-05-25 2009-04-28 Tokyo Electron Limited Method and system for passivating a processing chamber
US8747960B2 (en) * 2005-08-31 2014-06-10 Lam Research Corporation Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
US8771804B2 (en) * 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
JP4963815B2 (en) * 2005-09-07 2012-06-27 ソニー株式会社 Cleaning method and semiconductor device manufacturing method
US20080292895A1 (en) * 2005-11-29 2008-11-27 Nanon A/S Method of Producing a Gas Barrier Polymer Foil and a Gas Barrier Polymer Foil
CN101558186B (en) * 2006-08-30 2015-01-14 朗姆研究公司 Processes and integrated systems for engineering a substrate surface for metal deposition
KR101506352B1 (en) * 2006-08-30 2015-03-26 램 리써치 코포레이션 Processes and integrated systems for engineering a substrate surface for metal deposition
JP5212827B2 (en) * 2009-02-04 2013-06-19 富士電機株式会社 Manufacturing method of magnetic recording medium and magnetic recording medium manufactured by this method
DE102010005762A1 (en) * 2010-01-25 2011-07-28 Oerlikon Trading Ag, Trübbach Cleaning process for coating systems
JP5698043B2 (en) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー Semiconductor manufacturing equipment
CN102092672B (en) * 2010-12-31 2016-04-27 上海集成电路研发中心有限公司 The manufacture method of the electrical connection of microelectromechanical systems
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
CN103184424A (en) * 2011-12-30 2013-07-03 陈柏颕 Low temperature material optimization method and processing apparatus
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
KR102074527B1 (en) * 2014-01-10 2020-02-06 이형곤 Thin film cluster production system, thin film cluster, thin film, uv protector and cosmetics
CN106164328B (en) * 2014-02-12 2018-10-12 李亨坤 Film group manufacturing device, film group, film, ultraviolet isolating agent and cosmetics
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10048594B2 (en) * 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10982336B2 (en) 2016-04-01 2021-04-20 Wayne State University Method for etching a metal surface
WO2017189581A1 (en) * 2016-04-25 2017-11-02 Applied Materials, Inc. Surface functionalization and passivation with a control layer
KR102096952B1 (en) * 2016-05-26 2020-04-06 세메스 주식회사 Apparatus and method for treating substrate
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
CN109154063A (en) * 2017-04-28 2019-01-04 应用材料公司 Vacuum system and method for depositing multiple materials on substrate
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis

Family Cites Families (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2439689A (en) 1948-04-13 Method of rendering glass
US2617719A (en) 1950-12-29 1952-11-11 Stanolind Oil & Gas Co Cleaning porous media
US2993449A (en) 1959-03-09 1961-07-25 Hydratomic Engineering Corp Motor-pump
US3135211A (en) 1960-09-28 1964-06-02 Integral Motor Pump Corp Motor and pump assembly
DE1965723B2 (en) * 1969-01-06 1972-12-07 The Hobart Mfg Co , Troy, Ohio (V St A) HYDRAULIC CONTROL DEVICE FOR WASHING MACHINES
US3642020A (en) 1969-11-17 1972-02-15 Cameron Iron Works Inc Pressure operated{13 positive displacement shuttle valve
GB1392822A (en) * 1971-03-02 1975-04-30 Comitato Nazionale Per Lenergi Extraction of metals from solutions
US3890176A (en) * 1972-08-18 1975-06-17 Gen Electric Method for removing photoresist from substrate
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4029517A (en) * 1976-03-01 1977-06-14 Autosonics Inc. Vapor degreasing system having a divider wall between upper and lower vapor zone portions
US4091643A (en) * 1976-05-14 1978-05-30 Ama Universal S.P.A. Circuit for the recovery of solvent vapor evolved in the course of a cleaning cycle in dry-cleaning machines or plants, and for the de-pressurizing of such machines
US4219333A (en) 1978-07-03 1980-08-26 Harris Robert D Carbonated cleaning solution
US4349415A (en) 1979-09-28 1982-09-14 Critical Fluid Systems, Inc. Process for separating organic liquid solutes from their solvent mixtures
DE3145815C2 (en) * 1981-11-19 1984-08-09 AGA Gas GmbH, 2102 Hamburg Process for removing peelable layers of material from coated objects,
FR2536433A1 (en) * 1982-11-19 1984-05-25 Privat Michel METHOD AND APPARATUS FOR CLEANING AND DECONTAMINATING PARTICULARLY CLOTHING, ESPECIALLY CLOTHES CONTAMINATED WITH RADIOACTIVE PARTICLES
US4865061A (en) * 1983-07-22 1989-09-12 Quadrex Hps, Inc. Decontamination apparatus for chemically and/or radioactively contaminated tools and equipment
US4475993A (en) * 1983-08-15 1984-10-09 The United States Of America As Represented By The United States Department Of Energy Extraction of trace metals from fly ash
GB8332394D0 (en) 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4877530A (en) 1984-04-25 1989-10-31 Cf Systems Corporation Liquid CO2 /cosolvent extraction
US4693777A (en) * 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4960140A (en) * 1984-11-30 1990-10-02 Ishijima Industrial Co., Ltd. Washing arrangement for and method of washing lead frames
US4788043A (en) 1985-04-17 1988-11-29 Tokuyama Soda Kabushiki Kaisha Process for washing semiconductor substrate with organic solvent
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4925790A (en) 1985-08-30 1990-05-15 The Regents Of The University Of California Method of producing products by enzyme-catalyzed reactions in supercritical fluids
US4670126A (en) 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4730630A (en) * 1986-10-27 1988-03-15 White Consolidated Industries, Inc. Dishwasher with power filtered rinse
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS63157870A (en) 1986-12-19 1988-06-30 Anelva Corp Substrate treatment device
US4879004A (en) 1987-05-07 1989-11-07 Micafil Ag Process for the extraction of oil or polychlorinated biphenyl from electrical parts through the use of solvents and for distillation of the solvents
US4924892A (en) * 1987-07-28 1990-05-15 Mazda Motor Corporation Painting truck washing system
DE3725565A1 (en) * 1987-08-01 1989-02-16 Peter Weil METHOD AND SYSTEM FOR DE-PAINTING OBJECTS WITH A SUBMERSIBLE CONTAINER WITH SOLVENT
US5105556A (en) * 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4838476A (en) * 1987-11-12 1989-06-13 Fluocon Technologies Inc. Vapour phase treatment process and apparatus
US4933404A (en) 1987-11-27 1990-06-12 Battelle Memorial Institute Processes for microemulsion polymerization employing novel microemulsion systems
US5238671A (en) 1987-11-27 1993-08-24 Battelle Memorial Institute Chemical reactions in reverse micelle systems
US5266205A (en) 1988-02-04 1993-11-30 Battelle Memorial Institute Supercritical fluid reverse micelle separation
JP2663483B2 (en) * 1988-02-29 1997-10-15 勝 西川 Method of forming resist pattern
US5185296A (en) * 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
US5013366A (en) * 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5051135A (en) * 1989-01-30 1991-09-24 Kabushiki Kaisha Tiyoda Seisakusho Cleaning method using a solvent while preventing discharge of solvent vapors to the environment
JPH02209729A (en) * 1989-02-09 1990-08-21 Matsushita Electric Ind Co Ltd Manufacture of semiconductor device and apparatus for removing foreign substance
WO1990009233A1 (en) * 1989-02-16 1990-08-23 Pawliszyn Janusz B Apparatus and method for delivering supercritical fluid
US5068040A (en) * 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
US5288333A (en) * 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4923828A (en) * 1989-07-07 1990-05-08 Eastman Kodak Company Gaseous cleaning method for silicon devices
JPH0414222A (en) * 1990-05-07 1992-01-20 Hitachi Ltd Manufacture of semiconductor device and manufacturing device
JP2888253B2 (en) 1989-07-20 1999-05-10 富士通株式会社 Chemical vapor deposition and apparatus for its implementation
US4983223A (en) * 1989-10-24 1991-01-08 Chenpatents Apparatus and method for reducing solvent vapor losses
US5213619A (en) * 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5196134A (en) 1989-12-20 1993-03-23 Hughes Aircraft Company Peroxide composition for removing organic contaminants and method of using same
US5269850A (en) 1989-12-20 1993-12-14 Hughes Aircraft Company Method of removing organic flux using peroxide composition
US5370741A (en) 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
US5071485A (en) 1990-09-11 1991-12-10 Fusion Systems Corporation Method for photoresist stripping using reverse flow
US5279771A (en) * 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
JP2782560B2 (en) * 1990-12-12 1998-08-06 富士写真フイルム株式会社 Stabilizing processing solution and method for processing silver halide color photographic light-sensitive material
US5306350A (en) * 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
US5143103A (en) * 1991-01-04 1992-09-01 International Business Machines Corporation Apparatus for cleaning and drying workpieces
DE69231971T2 (en) * 1991-01-24 2002-04-04 Wako Pure Chem Ind Ltd Solutions for surface treatment of semiconductors
US5185058A (en) * 1991-01-29 1993-02-09 Micron Technology, Inc. Process for etching semiconductor devices
US5201960A (en) 1991-02-04 1993-04-13 Applied Photonics Research, Inc. Method for removing photoresist and other adherent materials from substrates
EP0514337B1 (en) 1991-05-17 1995-11-22 Ciba-Geigy Ag Process for dyeing hydrophobic textile material with disperse dyestuffs in supercritical CO2
US5730874A (en) * 1991-06-12 1998-03-24 Idaho Research Foundation, Inc. Extraction of metals using supercritical fluid and chelate forming legand
US5274129A (en) 1991-06-12 1993-12-28 Idaho Research Foundation, Inc. Hydroxamic acid crown ethers
US5225173A (en) * 1991-06-12 1993-07-06 Idaho Research Foundation, Inc. Methods and devices for the separation of radioactive rare earth metal isotopes from their alkaline earth metal precursors
US5356538A (en) * 1991-06-12 1994-10-18 Idaho Research Foundation, Inc. Supercritical fluid extraction
US5174917A (en) 1991-07-19 1992-12-29 Monsanto Company Compositions containing n-ethyl hydroxamic acid chelants
US5320742A (en) 1991-08-15 1994-06-14 Mobil Oil Corporation Gasoline upgrading process
US5431843A (en) 1991-09-04 1995-07-11 The Clorox Company Cleaning through perhydrolysis conducted in dense fluid medium
GB2259525B (en) 1991-09-11 1995-06-28 Ciba Geigy Ag Process for dyeing cellulosic textile material with disperse dyes
EP0543779A1 (en) 1991-11-20 1993-05-26 Ciba-Geigy Ag Process for optical bleaching of hydrophobic textile material with disperse optical brightness in supercritical CO2
KR930019861A (en) * 1991-12-12 1993-10-19 완다 케이. 덴슨-로우 Coating method using dense gas
AU667337B2 (en) * 1991-12-18 1996-03-21 Schering Corporation Method for removing residual additives from elastomeric articles
US5474812A (en) 1992-01-10 1995-12-12 Amann & Sohne Gmbh & Co. Method for the application of a lubricant on a sewing yarn
DE69334213T2 (en) * 1992-03-27 2009-06-18 University Of North Carolina At Chapel Hill Process for the preparation of fluoropolymers
US5313965A (en) * 1992-06-01 1994-05-24 Hughes Aircraft Company Continuous operation supercritical fluid treatment process and system
JPH0613361A (en) * 1992-06-26 1994-01-21 Tokyo Electron Ltd Processing apparatus
US5401322A (en) * 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
JPH0624801A (en) * 1992-07-10 1994-02-01 Asahi Glass Co Ltd Manufacture of nonlinear optical glass
US5352327A (en) * 1992-07-10 1994-10-04 Harris Corporation Reduced temperature suppression of volatilization of photoexcited halogen reaction products from surface of silicon wafer
US5370742A (en) 1992-07-13 1994-12-06 The Clorox Company Liquid/supercritical cleaning with decreased polymer damage
US5267455A (en) 1992-07-13 1993-12-07 The Clorox Company Liquid/supercritical carbon dioxide dry cleaning system
US5285352A (en) 1992-07-15 1994-02-08 Motorola, Inc. Pad array semiconductor device with thermal conductor and process for making the same
US5368171A (en) 1992-07-20 1994-11-29 Jackson; David P. Dense fluid microwave centrifuge
US5456759A (en) * 1992-08-10 1995-10-10 Hughes Aircraft Company Method using megasonic energy in liquefied gases
US5339844A (en) 1992-08-10 1994-08-23 Hughes Aircraft Company Low cost equipment for cleaning using liquefiable gases
US5316591A (en) * 1992-08-10 1994-05-31 Hughes Aircraft Company Cleaning by cavitation in liquefied gas
US5261965A (en) 1992-08-28 1993-11-16 Texas Instruments Incorporated Semiconductor wafer cleaning using condensed-phase processing
EP0591595A1 (en) * 1992-10-08 1994-04-13 International Business Machines Corporation Molecular recording/reproducing method and recording medium
US5355901A (en) * 1992-10-27 1994-10-18 Autoclave Engineers, Ltd. Apparatus for supercritical cleaning
US5337446A (en) * 1992-10-27 1994-08-16 Autoclave Engineers, Inc. Apparatus for applying ultrasonic energy in precision cleaning
US5294261A (en) * 1992-11-02 1994-03-15 Air Products And Chemicals, Inc. Surface cleaning using an argon or nitrogen aerosol
US5328722A (en) * 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
US5514220A (en) * 1992-12-09 1996-05-07 Wetmore; Paula M. Pressure pulse cleaning
JP3356480B2 (en) 1993-03-18 2002-12-16 株式会社日本触媒 Leakless pump
US5403665A (en) * 1993-06-18 1995-04-04 Regents Of The University Of California Method of applying a monolayer lubricant to micromachines
US5312882A (en) 1993-07-30 1994-05-17 The University Of North Carolina At Chapel Hill Heterogeneous polymerization in carbon dioxide
JP3338134B2 (en) 1993-08-02 2002-10-28 株式会社東芝 Semiconductor wafer processing method
US5364497A (en) 1993-08-04 1994-11-15 Analog Devices, Inc. Method for fabricating microstructures using temporary bridges
US5377705A (en) * 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5370740A (en) 1993-10-01 1994-12-06 Hughes Aircraft Company Chemical decomposition by sonication in liquid carbon dioxide
US5656097A (en) * 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
US5509431A (en) 1993-12-14 1996-04-23 Snap-Tite, Inc. Precision cleaning vessel
US5417768A (en) * 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
US5641887A (en) * 1994-04-01 1997-06-24 University Of Pittsburgh Extraction of metals in carbon dioxide and chelating agents therefor
US5872257A (en) * 1994-04-01 1999-02-16 University Of Pittsburgh Further extractions of metals in carbon dioxide and chelating agents therefor
EP0681317B1 (en) * 1994-04-08 2001-10-17 Texas Instruments Incorporated Method for cleaning semiconductor wafers using liquefied gases
JP3320549B2 (en) * 1994-04-26 2002-09-03 岩手東芝エレクトロニクス株式会社 Film removing method and film removing agent
KR0137841B1 (en) * 1994-06-07 1998-04-27 문정환 Method for removing a etching waste material
US5482564A (en) * 1994-06-21 1996-01-09 Texas Instruments Incorporated Method of unsticking components of micro-mechanical devices
US5637151A (en) * 1994-06-27 1997-06-10 Siemens Components, Inc. Method for reducing metal contamination of silicon wafers during semiconductor manufacturing
US5522938A (en) * 1994-08-08 1996-06-04 Texas Instruments Incorporated Particle removal in supercritical liquids using single frequency acoustic waves
US6262510B1 (en) * 1994-09-22 2001-07-17 Iancu Lungu Electronically switched reluctance motor
US5501761A (en) * 1994-10-18 1996-03-26 At&T Corp. Method for stripping conformal coatings from circuit boards
US5505219A (en) 1994-11-23 1996-04-09 Litton Systems, Inc. Supercritical fluid recirculating system for a precision inertial instrument parts cleaner
US5629918A (en) * 1995-01-20 1997-05-13 The Regents Of The University Of California Electromagnetically actuated micromachined flap
JP3277114B2 (en) * 1995-02-17 2002-04-22 インターナショナル・ビジネス・マシーンズ・コーポレーション Method of producing negative tone resist image
US5681398A (en) * 1995-03-17 1997-10-28 Purex Co., Ltd. Silicone wafer cleaning method
JPH08264500A (en) * 1995-03-27 1996-10-11 Sony Corp Cleaning of substrate
JPH08330266A (en) * 1995-05-31 1996-12-13 Texas Instr Inc <Ti> Method of cleansing and processing surface of semiconductor device or the like
DE69628016T2 (en) * 1995-06-16 2004-04-01 University Of Washington, Seattle MINIATURIZED DIFFERENTIAL EXTRACTION DEVICE AND METHOD
US5783082A (en) * 1995-11-03 1998-07-21 University Of North Carolina Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
US5783495A (en) * 1995-11-13 1998-07-21 Micron Technology, Inc. Method of wafer cleaning, and system and cleaning solution regarding same
US5955140A (en) * 1995-11-16 1999-09-21 Texas Instruments Incorporated Low volatility solvent-based method for forming thin film nanoporous aerogels on semiconductor substrates
US5679169A (en) * 1995-12-19 1997-10-21 Micron Technology, Inc. Method for post chemical-mechanical planarization cleaning of semiconductor wafers
US5804607A (en) * 1996-03-21 1998-09-08 International Business Machines Corporation Process for making a foamed elastomeric polymer
US5726211A (en) * 1996-03-21 1998-03-10 International Business Machines Corporation Process for making a foamed elastometric polymer
US5868856A (en) * 1996-07-25 1999-02-09 Texas Instruments Incorporated Method for removing inorganic contamination by chemical derivitization and extraction
US5868862A (en) * 1996-08-01 1999-02-09 Texas Instruments Incorporated Method of removing inorganic contamination by chemical alteration and extraction in a supercritical fluid media
US5798438A (en) * 1996-09-09 1998-08-25 University Of Massachusetts Polymers with increased order
US5881577A (en) * 1996-09-09 1999-03-16 Air Liquide America Corporation Pressure-swing absorption based cleaning methods and systems
US5908510A (en) * 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US5797719A (en) * 1996-10-30 1998-08-25 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
US5888050A (en) * 1996-10-30 1999-03-30 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
US6149828A (en) * 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US6114044A (en) * 1997-05-30 2000-09-05 Regents Of The University Of California Method of drying passivated micromachines by dewetting from a liquid-based process
US5900354A (en) * 1997-07-03 1999-05-04 Batchelder; John Samuel Method for optical inspection and lithography
JPH1154590A (en) * 1997-08-01 1999-02-26 Kokusai Electric Co Ltd Method of controlling substrate transfer
US6021791A (en) * 1998-06-29 2000-02-08 Speedfam-Ipec Corporation Method and apparatus for immersion cleaning of semiconductor devices
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6242165B1 (en) * 1998-08-28 2001-06-05 Micron Technology, Inc. Supercritical compositions for removal of organic material and methods of using same
US6277753B1 (en) * 1998-09-28 2001-08-21 Supercritical Systems Inc. Removal of CMP residue from semiconductors using supercritical carbon dioxide process
KR100304254B1 (en) * 1998-12-08 2002-03-21 윤종용 Module Vision Inspection Equipment
DE19860084B4 (en) * 1998-12-23 2005-12-22 Infineon Technologies Ag Method for structuring a substrate
FR2791580B1 (en) * 1999-04-02 2001-05-04 Centre Nat Rech Scient PROCESS FOR COATING PARTICLES
US7044143B2 (en) * 1999-05-14 2006-05-16 Micell Technologies, Inc. Detergent injection systems and methods for carbon dioxide microelectronic substrate processing systems
US6508259B1 (en) * 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6497239B2 (en) * 1999-08-05 2002-12-24 S. C. Fluids, Inc. Inverted pressure vessel with shielded closure mechanism
US6602349B2 (en) * 1999-08-05 2003-08-05 S.C. Fluids, Inc. Supercritical fluid cleaning process for precision surfaces
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
AU3267201A (en) * 1999-11-02 2001-05-14 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
CN1216415C (en) * 2000-04-25 2005-08-24 东京毅力科创株式会社 Method of depositing metal film and metal deposition cluster including supercritical drying/cleaning module
US6319858B1 (en) * 2000-07-11 2001-11-20 Nano-Architect Research Corporation Methods for reducing a dielectric constant of a dielectric film and for forming a low dielectric constant porous film
JP2002237481A (en) * 2001-02-09 2002-08-23 Kobe Steel Ltd Method of cleaning microscopic structure
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US6763840B2 (en) * 2001-09-14 2004-07-20 Micell Technologies, Inc. Method and apparatus for cleaning substrates using liquid carbon dioxide
JP3978023B2 (en) * 2001-12-03 2007-09-19 株式会社神戸製鋼所 High pressure processing method
US7326673B2 (en) * 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
US6848458B1 (en) * 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6843855B2 (en) * 2002-03-12 2005-01-18 Applied Materials, Inc. Methods for drying wafer
US6764552B1 (en) * 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
US20030217764A1 (en) * 2002-05-23 2003-11-27 Kaoru Masuda Process and composition for removing residues from the microstructure of an object
US6989358B2 (en) * 2002-10-31 2006-01-24 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for removal of photoresists
US20040177867A1 (en) * 2002-12-16 2004-09-16 Supercritical Systems, Inc. Tetra-organic ammonium fluoride and HF in supercritical fluid for photoresist and residue removal
US20040112409A1 (en) * 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US6875285B2 (en) * 2003-04-24 2005-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for dampening high pressure impact on porous materials
US7250374B2 (en) * 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing

Also Published As

Publication number Publication date
KR100693691B1 (en) 2007-03-09
JP2009102740A (en) 2009-05-14
TWI287853B (en) 2007-10-01
CN1216415C (en) 2005-08-24
IL152376A0 (en) 2003-05-29
US6890853B2 (en) 2005-05-10
US20020001929A1 (en) 2002-01-03
WO2001082368A2 (en) 2001-11-01
CN1425194A (en) 2003-06-18
JP5000629B2 (en) 2012-08-15
EP1277233A2 (en) 2003-01-22
KR20030043788A (en) 2003-06-02
WO2001082368A3 (en) 2002-05-16
JP2003534646A (en) 2003-11-18
US20040229449A1 (en) 2004-11-18
US7208411B2 (en) 2007-04-24
IL152376A (en) 2006-07-05

Similar Documents

Publication Publication Date Title
AU2001255656A1 (en) Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
AU2002343062A1 (en) Method for depositing items of value
AU7926800A (en) Method of depositing transition metal nitride thin films
AU2001279746A1 (en) Device and method for the deposition of, in particular, crystalline layers on, in particular, crystalline substrates
AU1387401A (en) Coating process
AU2001277061A1 (en) Method and apparatus for fine feature spray deposition
AU2001261061A1 (en) Method for depositing metal and metal oxide films and patterned films
AU2001236483A1 (en) Coating having macroscopic texture and process for making same
AU2001282034A1 (en) Coating for various types of substrate and method for the production thereof
AU2000269500A1 (en) Centralized system and method for optimally routing and tracking articles
AU2001272282A1 (en) Process for deposition of metal on a surface
AU2000276782A1 (en) Spray-spin coating method
AU2002222043A1 (en) Method for cold process deposition of an antiglare layer
AU2001279897A1 (en) Plasma coating method
AU2001229226A1 (en) Photocatalytic coating and method for cleaning spacecraft surfaces
AU1420499A (en) Method of eliminating edge effect in chemical vapor deposition of a metal
AU2001234065A1 (en) Plane and/or coating for furnishings and process for manufacturing it
GB0120833D0 (en) Coating film-forming method
AUPR353601A0 (en) Deposition process
AU2002226982A1 (en) Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
IL134255A0 (en) System and method for deposition of coatings on a substrate
AU2001244284A1 (en) Particle coating method
AU2001282321A1 (en) Coating removal
AU2430600A (en) Coating agent and method for its production
AU2001294906A1 (en) Process for coating metal surfaces