TWI498447B - 使用胺基金屬與鹵化金屬前驅物組合之含金屬氮化物之薄膜沈積 - Google Patents

使用胺基金屬與鹵化金屬前驅物組合之含金屬氮化物之薄膜沈積 Download PDF

Info

Publication number
TWI498447B
TWI498447B TW100111512A TW100111512A TWI498447B TW I498447 B TWI498447 B TW I498447B TW 100111512 A TW100111512 A TW 100111512A TW 100111512 A TW100111512 A TW 100111512A TW I498447 B TWI498447 B TW I498447B
Authority
TW
Taiwan
Prior art keywords
precursor
metal
reactor
film
sccm
Prior art date
Application number
TW100111512A
Other languages
English (en)
Other versions
TW201213597A (en
Inventor
Katsuko Higashino
Kazutaka Yanagita
Original Assignee
Air Liquide
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Liquide filed Critical Air Liquide
Publication of TW201213597A publication Critical patent/TW201213597A/zh
Application granted granted Critical
Publication of TWI498447B publication Critical patent/TWI498447B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

使用胺基金屬與鹵化金屬前驅物組合之含金屬氮化物之薄膜沈積
相關申請案之交叉引用
本申請案主張2010年4月1日申請之美國臨時申請案第61/320,236號的權利,該案之全部內容以引用的方式併入本文中。
揭示自胺基金屬前驅物與鹵化金屬前驅物之組合形成含金屬氮化物之薄膜,較佳自胺基矽烷前驅物與氯矽烷前驅物之組合形成含SiN之薄膜的方法。變化胺基金屬前驅物與鹵化金屬前驅物之順序反應實現了具有變化之化學計量的含金屬氮化物之薄膜的形成。另外,含金屬氮化物之薄膜的組成可基於胺基金屬前驅物之結構而加以修飾。所揭示製程可為熱製程或低溫下的電漿製程。
諸如氮化矽(SiN)薄膜之含金屬氮化物之薄膜廣泛用於半導體器件及超大規模積體(ULSI)電路中。根據日益需要較高LSI安裝密度的電子器件之小型化及增長的複雜性,需要SiN薄膜改良其對抗電流洩漏的薄膜品質。另外,亦將SiCN薄膜用作用於Cu佈線之雙鑲嵌結構中的蝕刻擋止器。
氮化矽(SiN)薄膜已被研究以在線後端(BEOL)製程中應用為鑲嵌內之蝕刻終止/襯墊層。在浮閘電晶體內,閘間介電層可包含(例如)SiO2 或SiN。另外,摻雜碳之SiN層提供高的抗蝕刻性。
當大規模整合(LSI)之尺寸按比例縮小時,薄膜深度應較薄,從而需要更精確控制之製程(例如,原子層沈積(ALD))。另外,需要沈積溫度降低。ALD廣泛用於許多製程(例如,SiO2 、SiN及金屬薄膜)。參見(例如)美國專利第7648927號。然而,沈積速率趨於低於化學氣相沈積(CVD)。當沈積溫度較低時,SiN之沈積速率及薄膜品質較差。
許多文章已報告,藉由PECVD、PEALD藉由使用氯矽烷及活性NH3 以及引入胺、CH4 或C2 H4 作為碳源而沈積高品質SiN及SiCN薄膜(參見(例如)WO2009/149167及US2008/0213479)。
仍需要更精確控制之製程來沈積含金屬氮化物之薄膜。
記法及命名
某些縮寫、符號及術語遍及以下描述及申請專利範圍被使用並包括:縮寫「A」指代埃且1埃=100微微米;縮寫「PECVD」指代電漿增強型化學氣相沈積;縮寫「CVD」指代化學氣相沈積;縮寫「RF」指代射頻,縮寫「DR」指代沈積速率,且縮寫「RI」指代折射率。
術語「烷基」指代排他地含有碳及氫原子之飽和官能基。此外,術語「烷基」指代直鏈、支鏈或環狀烷基。直鏈烷基之實例包括(不限於)甲基、乙基、丙基、丁基等。支鏈烷基之實例包括(不限於)第三丁基。環狀烷基之實例包括(不限於)環丙基、環丁基、環戊基、環己基等。
如本文中所使用,縮寫「Me」指代甲基;縮寫「Et」指代乙基;縮寫「Pr」指代丙基;縮寫「nPr」指代鏈丙基;縮寫「iPr」指代異丙基;縮寫「Bu」指代丁基(正丁基);縮寫「tBu」指代第三丁基;縮寫「sBu」指代第二丁基;縮寫「iBu」指代異丁基;且縮寫「TMS」指代三甲基矽烷基。
本文中使用來自元素週期表之元素的標準縮寫。應理解,元素可由此等縮寫來指代(例如,Si指代矽,C指代碳,等)。
所揭示內容為形成含金屬氮化物之薄膜的方法。將鹵化金屬前驅物引入至含有至少一基板之ALD反應器中。隨後將多餘鹵化金屬前驅物自該反應器清除。將胺基金屬前驅物引入至該反應器中。隨後將多餘胺基金屬前驅物自該反應器清除。可視情況將反應物引入至該反應器中。隨後將多餘可選反應物自該反應器清除。鹵化金屬前驅物之金屬及胺基金屬前驅物之金屬可為相同或不同的。
所揭示內容亦為形成含金屬氮化物之薄膜的方法。將鹵化金屬前驅物引入至含有至少一基板之ALD反應器中。隨後將多餘鹵化金屬前驅物自該反應器清除。將胺基金屬前驅物引入至該反應器中。隨後將多餘胺基金屬前驅物自該反應器清除。將反應物引入至該反應器中。隨後將多餘反應物自該反應器清除。鹵化金屬前驅物之金屬及胺基金屬前驅物之金屬可為相同或不同的。
所揭示內容亦為形成含氮化矽之薄膜的方法。將氯矽烷前驅物引入至含有至少一基板之ALD反應器中。隨後將多餘氯矽烷前驅物自該反應器清除。將胺基矽烷前驅物引入至該反應器中。隨後將多餘胺基矽烷前驅物自該反應器清除。可視情況將反應物引入至該反應器中。隨後將多餘可選反應物自該反應器清除。
所揭示內容亦為形成含氮化矽之薄膜的方法。將氯矽烷前驅物引入至含有至少一基板之ALD反應器中。隨後將多餘氯矽烷前驅物自該反應器清除。將胺基矽烷前驅物引入至該反應器中。隨後將多餘胺基矽烷前驅物自該反應器清除。將反應物引入至該反應器中。隨後將多餘反應物自該反應器清除。
所揭示方法中之每一者可進一步包括以下態樣中之一或多者:
‧ 反應物係選自由以下各物組成之群:N2 、NH3 、N2 H4 、NMeH2 、NEtH2 、NMe2 H、NEt2 H、NMe3 、NEt3 、MeHNNH2 、Me2 NNH2 、苯肼及其混合物;
‧ 反應物為NH3
‧ 藉由使方法步驟之次序變化而產生具有指定化學計量的含金屬氮化物之薄膜;
‧ 鹵化前驅物為氯化金屬前驅物;
‧ 含金屬氮化物之薄膜為含有一種或兩種金屬之金屬碳氮化物薄膜;
‧ 金屬係選自過渡金屬、金屬或非金屬元素;
‧ 金屬為硼或磷;
‧ 含金屬氮化物之薄膜為含氮化矽之薄膜;
‧ 鹵化金屬前驅物為氯矽烷前驅物;
‧ 胺基金屬前驅物為胺基矽烷前驅物;
‧ 含氮化矽之薄膜為摻雜碳之SiN薄膜;
‧ 氯矽烷前驅物具有式Sia Hb Clc ,其中b+c=2a+2;
‧ 氯矽烷前驅物作為混合物而引入;
‧ 胺基矽烷前驅物具有式H4-x Si(NR'R")x ,其中x=1、2、3或4,R'及R"獨立地選自H或烷基,且R'及R"可經鍵聯以形成環結構;
‧ 胺基矽烷前驅物包含胺基氯矽烷或胺基烷基矽烷;
‧ 胺基氯矽烷前驅物具有式Cl4-x Si(NR'R")x ,其中x=2或3,R'及R"獨立地選自H或烷基,且R'及R"可經鍵聯以形成環結構;及
‧ 胺基烷基矽烷前驅物具有式R'''4-x Si(NR'R")x ,其中x=1、2或3,R'及R"獨立地選自H或烷基,R'及R"可經鍵聯以形成環結構,且R'''基為具有少於3個碳之烷基。
為進一步理解本發明之本質及目標,結合隨附圖式參考以下實施方式。
所揭示內容為使用胺基金屬前驅物及鹵化金屬前驅物之交替供應形成含金屬氮化物之薄膜的ALD方法。胺基金屬前驅物與鹵化金屬前驅物之順序反應產生緻密的富金屬薄膜。鹵化金屬前驅物之金屬可與胺基金屬前驅物之金屬相同或不同。
所揭示內容亦為在熱條件或低溫電漿條件下使用胺基矽烷前驅物及氯矽烷前驅物之交替供應形成含氮化矽之薄膜(較佳碳氮化矽薄膜)的ALD方法。胺基矽烷前驅物與氯矽烷前驅物之順序反應在低於許多先前技術氮化矽薄膜沈積製程之溫度的溫度下產生緻密的富矽薄膜。碳氮化矽薄膜或者可被稱為摻雜碳之氮化矽薄膜。一般熟習此項技術者將認識到,氮化矽薄膜中之碳的含量判定適當之命名,摻雜碳之氮化矽薄膜中碳的量典型地小於碳氮化矽薄膜中碳的量。然而,一般熟習此項技術者將進一步認識到,判定適當命名的薄膜中碳之準確百分比未被定義且將因人而異。
所揭示方法藉由ALD自胺基金屬及鹵化金屬前驅物形成含金屬氮化物之薄膜(諸如,SiN)或金屬碳氮化物薄膜(諸如,SiCN)。含金屬氮化物之薄膜可為含有一或兩種金屬之金屬碳氮化物薄膜。舉例而言,金屬碳氮化物薄膜可為SiHfCN薄膜。或者,SiN薄膜可摻雜碳。
如實施例2至4中將進一步詳細描述,具有指定化學計量之含金屬氮化物之薄膜可藉由使所揭示方法步驟的次序變化而產生。此外,所揭示方法步驟之持續時間亦可變化,以便「調諧」所得薄膜。
將鹵化金屬前驅物引入至含有一或多個基板之ALD反應器中。可在胺基金屬前驅物之前或之後將鹵化金屬前驅物引入至ALD反應器中。反應器內之條件准許鹵化金屬前驅物之至少部分自吸附於基板上。一般熟習此項技術者將認識到,基板性質將定義鹵化金屬前驅物在此步驟中是經歷物理吸附抑或化學吸附。舉例而言,若鹵化金屬前驅物在胺基金屬前驅物之後引入至反應器中,則鹵化金屬前驅物之至少部分將與在先前步驟中所沈積的胺基金屬前驅物之部分反應/化學吸附。將任何未吸附或「多餘」之鹵化金屬前驅物清除出反應器。鹵化金屬前驅物在低溫下與NH3 /胺基金屬前驅物發生反應。
鹵化金屬前驅物可為氯化金屬前驅物。鹵化金屬或氯化金屬前驅物之金屬可為如元素週期表上通常定義的任何過渡金屬、金屬或非金屬元素。較佳過渡金屬包括(但不限於)Hf。較佳金屬包括(但不限於)Zn。較佳非金屬包括(但不限於)B、Si及P。鹵化金屬前驅物可應用為兩種或兩種以上鹵化金屬前驅物之混合物。較佳地,鹵化金屬前驅物為氯矽烷前驅物。例示性氯矽烷前驅物具有式Sia Hb Clc ,其中b+c=2a+2。例示性氯矽烷前驅物包括六氯二矽烷(HCDS)、SiCl4 、SiHCl3 、Si2 H5 Cl等及其混合物,諸如,HCDS與SiCl4 或HCDS與SiHCl3 。較佳地,鹵化金屬前驅物包含HfCl4 或HCDS,且更佳為HCDS。
將胺基金屬前驅物引入至反應器中。反應器內之條件准許胺基金屬前驅物之至少部分自吸附於基板上。胺基金屬前驅物可在鹵化金屬前驅物之前或之後引入至ALD反應器中。再一次,一般熟習此項技術者將認識到,基板性質將定義胺基金屬前驅物在此步驟中是經歷物理吸附抑或化學吸附。舉例而言,若胺基金屬前驅物在鹵化金屬前驅物之後引入至反應器中,則胺基金屬前驅物之至少部分將與在先前步驟中所沈積的鹵化金屬前驅物之部分反應/化學吸附。接著將任何未吸附或「多餘」之胺基金屬前驅物清除出反應器。與僅充當N源之先前技術NH3 前驅物對比,胺基金屬前驅物可充當C源及N源兩者。胺基金屬前驅物之烷基胺基充當良好的離去基且產生良好的吸附。使胺基金屬前驅物之配位基變化提供修飾含金屬氮化物之薄膜之碳含量的能力。
胺基金屬前驅物之金屬可為如元素週期表上通常定義的任何過渡金屬、金屬或非金屬元素。較佳過渡金屬包括(但不限於)Hf。較佳金屬包括(但不限於)Zn。較佳非金屬包括(但不限於)B、Si及P。胺基金屬前驅物可應用為兩種或兩種以上胺基金屬前驅物之混合物。胺基金屬前驅物可為胺基矽烷前驅物。例示性胺基矽烷前驅物具有式H4-x Si(NR'R")x ,其中x=1、2、3或4,R'及R"獨立地選自H或烷基,且R'及R"可經鍵聯以形成環結構。或者,胺基矽烷前驅物可為胺基氯矽烷前驅物或胺基烷基矽烷前驅物。例示性胺基氯矽烷前驅物具有式Cl4-x Si(NR'R")x ,其中x=2或3,且R'及R"係如先前定義。例示性胺基烷基矽烷前驅物具有式R'''4-x Si(NR'R")x ,其中x=1、2或3,R'及R"係如先前定義,且R'''基為具有小於3個碳之烷基。較佳地,胺基金屬前驅物為雙(二乙基胺基)矽烷(BDEAS)、參(二甲基胺基)矽烷(3DMAS)、肆(二甲基胺基)矽烷(4DMAS)或肆(乙基甲基胺基)鉿,且更佳為3DMAS及/或4DMAS。
將鹵化金屬前驅物及胺基金屬前驅物(共同地稱為「前驅物」)以蒸氣形式各自個別地引入至反應器中。在此情形中,「個別地」及「各自」指代指定類別之前驅物,例如「鹵化金屬前驅物」,其可由一或多種鹵化前驅物組成。在以下段落中,個別地不意欲意謂僅一種鹵化金屬前驅物之蒸氣引入至反應器中。
前驅物可以液體狀態個別地饋飼至蒸發器,其中該等前驅物在引入至反應器中之前各自個別地蒸發。在蒸發之前,前驅物中之每一者可視情況與一或多種溶劑混合。該等溶劑可選自由以下各物組成之群;甲苯、乙苯、二甲苯、1,3,5-三甲苯、癸烷、十二烷、辛烷、己烷、戊烷或其他。所得濃度可自約0.05 M變化至約2 M。
或者,前驅物可藉由將載氣傳遞至含有該等前驅物中之每一者的容器中或藉由使載氣起泡進入該等前驅物中之每一者中而個別地蒸發。該等前驅物中之每一者可視情況與一或多種溶劑在容器中混合。接著將載氣及個別前驅物作為蒸氣引入至反應器中。載氣可包括(但不限於)Ar、He、N2 及其混合物。藉由載氣起泡亦可移除存在於前驅物之溶液中的任何溶解氧。
必要時,可將容器加熱至准許前驅物處於液相並具有足夠之蒸氣壓力的溫度。容器可維持在(例如)0℃至150℃之範圍中之溫度下。熟習此項技術者認識到,可以已知方式調整容器之溫度以控制被蒸發之前驅物的量。
可將每一前驅物之蒸氣引入至反應器中歷時約0.01秒至約60秒、或約5秒至約25秒、或約10秒至約20秒之時間週期。
在一具體實例中,可將反應物引入至反應器中,在反應器處反應物與基板上之自吸附層反應。任何未反應或「多餘」之反應物接著被清除出反應器。反應物可為N2 、NH3 、N2 H4 、NMeH2 、NEtH2 、NMe2 H、NEt2 H、NMe3 、NEt3 、MeHNNH2 、Me2 NNH2 、苯肼及其混合物。較佳地,反應物為NH3 。然而,如隨後之實施例中將進一步詳細描述,可選反應物步驟之包括將取決於所得含金屬氮化物之薄膜中的元素之所要化學計量比。
反應物可藉由電漿來處理,以便將反應物分解成其自由基形式。電漿可產生或存在於反應器自身內。或者,(例如)在遠端定位之電漿系統中,電漿可一般處於遠離反應器的位置處。熟習此項技術者將認識到適於此電漿處理之方法及裝置。
舉例而言,可將反應物引入至直接電漿反應器(其在反應器中產生電漿)中,以在反應器中產生電漿處理之反應物。例示性直接電漿反應器包括由Trion技術所生產的TitanTM PECVD系統。可在電漿處理之前將反應物引入並保持於反應器中。或者,電漿處理可與反應物之引入同時發生。原位電漿典型地為在簇射頭與基板固持器之間所產生的13.56 MHz RF電容性耦合電漿。基板或簇射頭可取決於正離子碰撞是否發生而為帶電電極。原位電漿產生器中之典型施加電力為自約100 W至約1000 W。對於相同的電力輸入,使用原位電漿來解除關聯反應物典型地比不上使用遠端電漿源來達成反應物之解除關聯,且因此不如遠端電漿系統在反應物解除關聯方面有效,此可有益於在易於受電漿損壞的基板上沈積含金屬氮化物之薄膜。
或者,可在反應器之外部產生經電漿處理之反應物。MKS儀器之i活性氣體產生器可用以在傳遞至反應器中之前處理反應物。在2.45 GHz、7kW電漿電力及自約3托變化至約10托之壓力下操作,反應物NF3 可以超過96%分解效率分解成三個F- 基。較佳地,可以自約1 kW變化至約10 kW,更佳自約2.5 kW變化至約7.5 kW的電力產生遠端電漿。
ALD反應器可為具有安置於其內之至少一或多個基板的加熱之器皿。反應器具有如下出口:可連接至真空泵以允許副產物自反應器移除,或允許反應器內之壓力被修改或調節。合適之ALD反應器之實例包括(不限於)平行板型反應器、冷壁型反應器、熱壁型反應器、單晶圓反應器、多晶圓反應器、直接電漿反應器,或在適於使前驅物反應並形成多個層之條件下的其他類型之沈積系統。
一般而言,反應器含有上面將沈積含金屬氮化物之薄膜的一或多個基板。舉例而言,反應器可含有自1至200個具有自25.4 mm至450 mm之直徑的矽晶圓。基板可為用於半導體、光伏打、平板或LCD-TFT器件製造中的任何合適基板。基板可含有一或多個額外材料層,該一或多個層可自先前製造步驟而呈現。介電層及導電層為此等額外材料層之實例。在本申請案之範疇內,基板及沈積於基板上之任何層中的全部共同地包括於術語基板內。合適之基板的實例包括(不限於)金屬基板、金屬氮化物基板、矽基板、矽石基板、氮化矽基板、氮氧化矽基板、鎢基板及其組合。另外,可使用包含鎢或貴金屬(例如,鉑、鈀、銠或金)之基板。較佳地,基板為金屬薄膜或金屬氮化物薄膜。
反應器之溫度可藉由控制基板固持器之溫度或控制反應器壁之溫度來控制。此項技術中已知用以加熱基板之器件。反應器被加熱至足夠之溫度,以獲得在足夠生長速率下生長並具有所要物理狀態及組成的所要的含金屬氮化物之薄膜。可將反應器加熱至的非限制例示性溫度範圍包括自約200℃至約700℃。當利用電漿沈積製程時,沈積溫度可自約200℃變化至約550℃。或者,當執行熱製程時,沈積溫度可自約400℃變化至約600℃。
ALD反應器中之壓力為約0.1托(13帕)至約10托(1300帕)。
在一較佳具體實例中,所揭示方法利用氯矽烷前驅物(較佳HfCl4 或HCDS)及胺基矽烷前驅物(較佳3DMAS、4DMAS或肆(乙基甲基胺基)鉿)以形成含SiN或含SiCN之薄膜。所形成之薄膜具有極低(自約0至約5原子%)的氯或氧含量。
所揭示方法藉由低溫下的原子層沈積及含SiN之薄膜中的C插入而解決關於含SiN之薄膜之薄膜品質的問題。
所揭示方法提供勝於現有方法之以下優點:- 碳插入至具有胺基矽烷與氯矽烷之可調諧組合的SiN薄膜中原因為:- 組合之比率改變製成不同組成之薄膜。
實施例
提供以下非限制實施例以進一步說明本發明之具體實例。然而,該等實施例不欲包括全部且不欲限制本文中所描述之本發明的範疇。
實施例1
使用ALD方法以及三氯矽烷(3CS)及參(二甲基胺基)矽烷(3DMAS)前驅物來沈積緻密的SiCN薄膜。反應腔室被控制在5托、550℃下,且100 sccm之Ar連續地流動。沈積製程由以下步驟組成:1)將約1 sccm之3CS的脈衝供應至反應腔室歷時10秒,2)藉由100 sccm之Ar清除3CS前驅物歷時30秒,3)將約1 sccm之3DMAS的脈衝供應至反應腔室歷時10秒,4)藉由100 sccm之Ar清除3DMAS前驅物歷時30秒。重複序列1)至4),直至沈積層達成合適之層厚度為止。
沈積薄膜展示沈積速率為約0.6埃/循環。折射率為2.1以上。
實施例2a
使用ALD方法藉由六氯二矽烷(HCDS)及參(二甲基胺基)矽烷(3DMAS)前驅物以及氨(NH3 )反應物來沈積緻密的SiCN薄膜。反應腔室被控制在5托、550℃下,且55 sccm之Ar連續地流動。沈積製程包含以下步驟:1)將約1 sccm之3DMAS的脈衝引入至反應腔室中歷時10秒,2)藉由55 sccm之Ar清除3DMAS前驅物歷時30秒,3)將約1 sccm之HCDS的脈衝引入至反應腔室中歷時10秒,4)藉由55 sccm之Ar清除HCDS前驅物歷時30秒,5)將約50 sccm之NH3 的脈衝引入至反應腔室中歷時10秒,及6)藉由55 sccm之Ar清除NH3 反應物歷時10秒。重複序列1)至6),直至沈積層達成合適之層厚度為止。
圖1中展示沈積薄膜之沈積速率及折射率。所得薄膜中之矽及氮每一者的原子組成百分比大於40%但小於45%,而碳的原子組成百分比為約10%。所得薄膜中之氯及氧每一者的原子組成百分比為0%或更大但小於5%。所得薄膜由HF溶液蝕刻之濕式蝕刻速率為4.24埃/分鐘。
實施例2b
使用ALD方法藉由HCDS 3DMAS前驅物以及氨(NH3 )反應物來沈積緻密的SiCN薄膜。反應腔室被控制在5托、550℃下,且55 sccm之Ar連續地流動。沈積製程包含以下步驟:1)將約1 sccm之HCDS的脈衝引入至反應腔室中歷時10秒,2)藉由55 sccm之Ar清除HCDS前驅物歷時30秒,3)將約1 sccm之3DMAS的脈衝引入至反應腔室中歷時10秒,4)藉由55 sccm之Ar清除3DMAS前驅物歷時30秒,5)將約50 sccm之NH3 的脈衝引入至反應腔室中歷時10秒,及6)藉由55 sccm之Ar清除NH3 反應物歷時10秒。重複序列1)至6),直至沈積層達合適層厚度為止。
圖2中展示沈積薄膜之沈積速率及折射率。所得薄膜中之矽的原子組成百分比大於45%但小於50%,所得薄膜中之氮的原子組成百分比大於30%但小於35%,且碳之原子組成百分比大於15%但小於20%。所得薄膜中之氯及氧每一者的原子組成百分比為0%或更大但小於5%。所得薄膜由HF溶液蝕刻之濕式蝕刻速率為0.54埃/分鐘。
實施例2c
使用ALD方法藉由HCDS及3DMAS前驅物來沈積緻密的SiCN薄膜。反應腔室被控制在5托、550℃下,且55 sccm之Ar連續地流動。沈積製程包含以下步驟:1)將約1 sccm之3DMAS的脈衝引入至反應腔室中歷時10秒,2)藉由55 sccm之Ar清除3DMAS前驅物歷時30秒,3)將約1 sccm之HCDS的脈衝引入至反應腔室中歷時10秒,及4)藉由55 sccm之Ar清除HCDS前驅物歷時30秒。重複序列1)至4),直至沈積層達成合適之層厚度為止。
圖3中展示沈積薄膜之沈積速率及折射率。所得薄膜中之矽的原子組成百分比大於50%但小於55%,所得薄膜中之碳的原子組成百分比大於30%但小於35%,且氮之原子組成百分比為約10%。所得薄膜中之氯及氧每一者的原子組成百分比為1%或更大但小於5%。所得薄膜由HF溶液蝕刻之濕式蝕刻速率為0.04埃/分鐘。
實施例3a
使用ALD方法藉由六氯二矽烷(HCDS)及肆(二甲基胺基)矽烷(4DMAS)前驅物以及氨(NH3 )反應物來沈積緻密的SiCN薄膜。反應腔室被控制在5托、550℃下,且55 sccm之Ar連續地流動。沈積製程包含以下步驟:1)將約1 sccm之4DMAS的脈衝引入至反應腔室中歷時10秒,2)藉由55 sccm之Ar清除4DMAS前驅物歷時30秒,3)將約1 sccm之HCDS的脈衝引入至反應腔室中歷時10秒,4)藉由55 sccm之Ar清除HCDS前驅物歷時30秒,5)將約50 sccm之NH3 的脈衝引入至反應腔室中歷時10秒,及6)藉由55 sccm之Ar清除NH3 反應物歷時10秒。重複序列1)至6),直至沈積層達成合適之層厚度為止。
圖4中展示沈積薄膜之沈積速率及折射率。所得薄膜中之氮的原子組成百分比為約45%,所得薄膜中之矽的原子組成百分比大於40%但小於45%,且碳之原子組成百分比大於5%但小於10%。所得薄膜中之氯及氧每一者的原子組成百分比為0%或更大但小於5%。所得薄膜由HF溶液蝕刻之濕式蝕刻速率為5.76埃/分鐘。
實施例3b
使用ALD方法藉由HCDS及4DMAS前驅物以及氨(NH3 )反應物來沈積緻密的SiCN薄膜。反應腔室被控制在5托、550℃下,且55 sccm之Ar連續地流動。沈積製程包含以下步驟:1)將約1 sccm之HCDS的脈衝引入至反應腔室中歷時10秒,2)藉由55 sccm之Ar清除HCDS前驅物歷時30秒,3)將約1 sccm之4DMAS的脈衝引入至反應腔室中歷時10秒,4)藉由55 sccm之Ar清除4DMAS前驅物歷時30秒,5)將約50 sccm之NH3 的脈衝引入至反應腔室中歷時10秒,及6)藉由55 sccm之Ar清除NH3 反應物歷時10秒。重複序列1)至6),直至沈積層達成合適之層厚度為止。
圖5中展示沈積薄膜之沈積速率及折射率。所得薄膜中之矽的原子組成百分比大於40%但小於45%,所得薄膜中之氮的原子組成百分比為約40%,且碳之原子組成百分比大於10%但小於15%。所得薄膜中之氯及氧每一者的原子組成百分比為0%或更大但小於5%。所得薄膜由HF溶液蝕刻之濕式蝕刻速率為4.31埃/分鐘。
實施例3c
使用ALD方法藉由HCDS及4DMAS前驅物來沈積緻密的SiCN薄膜。反應腔室被控制在5托、550℃下,且55 sccm之Ar連續地流動。沈積製程包含以下步驟:1)將約1 sccm之4DMAS的脈衝引入至反應腔室中歷時10秒,2)藉由55 sccm之Ar清除4DMAS前驅物歷時30秒,3)將約1 sccm之HCDS的脈衝引入至反應腔室中歷時10秒,及4)藉由55 sccm之Ar清除HCDS前驅物歷時30秒。重複序列1)至4),直至沈積層達成合適之層厚度為止。
圖6中展示沈積薄膜之沈積速率及折射率。所得薄膜中之矽的原子組成百分比大於50%但小於55%,所得薄膜中之碳的原子組成百分比大於30%但小於35%,且氮之原子組成百分比為約10%。所得薄膜中之氯及氧每一者的原子組成百分比為1%或更大但小於5%。所得薄膜由HF溶液蝕刻之濕式蝕刻速率為0.15埃/分鐘。
實施例4a
使用ALD方法藉由六氯二矽烷(HCDS)及雙(二乙基胺基)矽烷(BDEAS)前驅物以及氨(NH3 )反應物來沈積緻密的SiCN薄膜。反應腔室被控制在5托、550℃下,且55 sccm之Ar連續地流動。沈積製程包含以下步驟:1)將約1 sccm之BDEAS的脈衝引入至反應腔室中歷時10秒,2)藉由55 sccm之Ar清除BDEAS前驅物歷時30秒,3)將約1 sccm之HCDS的脈衝引入至反應腔室中歷時10秒,4)藉由55 sccm之Ar清除HCDS前驅物歷時30秒,5)將約50 sccm之NH3 的脈衝引入至反應腔室中歷時10秒,及6)藉由55 sccm之Ar清除NH3 反應物歷時10秒。重複序列1)至6),直至沈積層達成合適之層厚度為止。
圖7中展示沈積薄膜之沈積速率及折射率。所得薄膜中之矽的原子組成百分比稍微大於約40%,所得薄膜中之氮的原子組成百分比稍微小於40%,且碳之原子組成百分比稍微大於15%。所得薄膜中之氯及氧每一者的原子組成百分比為0%或更大但小於5%。所得薄膜由HF溶液蝕刻之濕式蝕刻速率為1.65埃/分鐘。
實施例4b
使用ALD方法藉由HCDS及BDEAS前驅物以及氨(NH3 )反應物來沈積緻密的SiCN薄膜。反應腔室被控制在5托、550℃下,且55 sccm之Ar連續地流動。沈積製程包含以下步驟:1)將約1 sccm之HCDS的脈衝引入至反應腔室中歷時10秒,2)藉由55 sccm之Ar清除HCDS前驅物歷時30秒,3)將約1 sccm之BDEAS的脈衝引入至反應腔室中歷時10秒,4)藉由55 sccm之Ar清除BDEAS前驅物歷時30秒,5)將約50 sccm之NH3 的脈衝引入至反應腔室中歷時10秒,及6)藉由55 sccm之Ar清除NH3 反應物歷時10秒。重複序列1)至6),直至沈積層達成合適之層厚度為止。
圖8中展示沈積薄膜之沈積速率及折射率。所得薄膜中之矽的原子組成百分比為約45%,所得薄膜中之氮的原子組成百分比為約30%,且碳之原子組成百分比為約20%。所得薄膜中之氯及氧每一者的原子組成百分比為0%或更大但小於5%。所得薄膜由HF溶液蝕刻之濕式蝕刻速率為0.54埃/分鐘。
實施例4c
使用ALD方法藉由HCDS及BDEAS前驅物來沈積緻密的SiCN薄膜。反應腔室被控制在5托、550℃下,且55 sccm之Ar連續地流動。沈積製程包含以下步驟:1)將約1 sccm之BDEAS的脈衝引入至反應腔室中歷時10秒,2)藉由55 sccm之Ar清除BDEAS前驅物歷時30秒,3)將約1 sccm之HCDS的脈衝引入至反應腔室中歷時10秒,及4)藉由55 sccm之Ar清除HCDS前驅物歷時30秒。重複序列1)至4),直至沈積層達成合適之層厚度為止。
所得薄膜之碳含量過高以致不允許藉由橢圓對稱法來量測沈積速率及折射率。所得薄膜中之矽的原子組成百分比大於55%但小於60%,所得薄膜中之碳的原子組成百分比大於30%但小於35%,且氮之原子組成百分比稍微大於5%。所得薄膜中之氯及氧每一者的原子組成百分比為0%或更大但小於5%。所得薄膜由HF溶液蝕刻之濕式蝕刻速率為0.15埃/分鐘。
實施例5
申請者咸信,將使用所揭示之ALD方法藉由HfCl4 及3DMAS前驅物來沈積緻密的HfSiCN薄膜。申請者咸信,所揭示方法可用以更改所得薄膜中之化學計量比。
實施例6
申請者咸信,將使用所揭示之ALD方法藉由肆(乙基甲基胺基)鉿及HCDS前驅物來沈積緻密HfSiCN薄膜。申請者咸信,所揭示方法可用以更改所得薄膜中之化學計量比。
應理解,可在如附加申請專利範圍中所表達的本發明之原理及範疇內藉由熟習此項技術者進行在細節、材料、步驟及部件之配置方面的許多額外改變(其在本文中已被描述及說明以便解釋本發明之本質)。因此,本發明不欲限於上文所給出的實施例及/或附圖中之特定具體實例。
圖1為展示根據所揭示方法使用參(二甲基胺基)矽烷(3DMAS)及六氯二矽烷(HCDS)所沈積的SiCN薄膜之沈積速率及折射率對薄膜距入口之距離的曲線圖;
圖2為展示根據所揭示方法之一替代方法使用3DMAS及HCDS所沈積的SiCN薄膜之沈積速率及折射率對距離的曲線圖;
圖3為展示根據所揭示方法之第二替代方法使用3DMAS及HCDS所沈積的SiCN薄膜之沈積速率及折射率對距離的曲線圖;
圖4為展示根據所揭示方法使用肆(二甲基胺基)矽烷(4DMAS)及六氯二矽烷(HCDS)所沈積的SiCN薄膜之沈積速率及折射率對薄膜距入口之距離的曲線圖;
圖5為展示根據所揭示方法之一替代方法使用4DMAS及HCDS所沈積的SiCN薄膜之沈積速率及折射率對距離的曲線圖;
圖6為展示根據所揭示方法之第二替代方法使用4DMAS及HCDS所沈積的SiCN薄膜之沈積速率及折射率對距離的曲線圖;
圖7為展示根據所揭示方法使用雙(二乙基胺基)矽烷(BDEAS)及六氯二矽烷(HCDS)所沈積的SiCN薄膜之沈積速率及折射率對薄膜距入口之距離的曲線圖;及
圖8為展示根據所揭示方法之一替代方法使用BDEAS及HCDS所沈積的SiCN薄膜之沈積速率及折射率對距離的曲線圖。

Claims (4)

  1. 一種形成含氮化物之薄膜之方法,該方法包含以下步驟:a)控制ALD反應器在自約200℃至約600℃之溫度範圍內;b)將六氯二矽烷引入至含有至少一基板之該ALD反應器中;c)將多餘六氯二矽烷自該反應器清除;d)將雙(二乙基胺基)矽烷引入至該反應器中;e)將多餘雙(二乙基胺基)矽烷自該反應器清除;f)將NH3 引入至該反應器中;及g)將多餘NH3 自該反應器清除。
  2. 如申請專利範圍第1項之方法,其中變化該方法步驟(b)至(g)的次序產生具有指定化學計量之SiCN薄膜。
  3. 一種形成SiCN薄膜之方法,該方法包含以下步驟:a)控制ALD反應器在自約200℃至約600℃之溫度範圍內;b)將六氯二矽烷引入至含有至少一基板之該ALD反應器中;c)將多餘六氯二矽烷自該反應器清除;d)將肆(二甲基胺基)矽烷引入至該反應器中;e)將多餘肆(二甲基胺基)矽烷自該反應器清除;f)將NH3 引入至該反應器中,及g)將多餘NH3 自該反應器清除。
  4. 如申請專利範圍第3項之方法,其中變化該方法步驟(b)至(g)的次序產生具有指定化學計量之SiCN薄膜。
TW100111512A 2010-04-01 2011-04-01 使用胺基金屬與鹵化金屬前驅物組合之含金屬氮化物之薄膜沈積 TWI498447B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US32023610P 2010-04-01 2010-04-01

Publications (2)

Publication Number Publication Date
TW201213597A TW201213597A (en) 2012-04-01
TWI498447B true TWI498447B (zh) 2015-09-01

Family

ID=44712857

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100111512A TWI498447B (zh) 2010-04-01 2011-04-01 使用胺基金屬與鹵化金屬前驅物組合之含金屬氮化物之薄膜沈積

Country Status (7)

Country Link
US (1) US20130078376A1 (zh)
EP (2) EP2553141A4 (zh)
JP (1) JP5815669B2 (zh)
KR (1) KR101226876B1 (zh)
CN (1) CN102471885A (zh)
TW (1) TWI498447B (zh)
WO (1) WO2011123792A2 (zh)

Families Citing this family (327)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011125395A1 (ja) * 2010-04-09 2011-10-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
WO2013054655A1 (ja) 2011-10-14 2013-04-18 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6049395B2 (ja) 2011-12-09 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6039996B2 (ja) 2011-12-09 2016-12-07 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5951443B2 (ja) 2011-12-09 2016-07-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5869923B2 (ja) 2012-03-09 2016-02-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5959907B2 (ja) 2012-04-12 2016-08-02 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR20150036122A (ko) 2012-07-20 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체
JP6007031B2 (ja) 2012-08-23 2016-10-12 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6022272B2 (ja) 2012-09-14 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6022273B2 (ja) * 2012-09-14 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
BR112015015625A8 (pt) * 2012-12-28 2018-01-02 Michelin Rech Tech Barreira de difusão de película fina
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6125279B2 (ja) 2013-03-05 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
KR102052664B1 (ko) 2013-03-15 2019-12-06 삼성전자주식회사 트리알킬실란 계열의 실리콘 전구체 및 이를 이용하는 박막 형성 방법
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US10023958B2 (en) 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6347544B2 (ja) * 2014-07-09 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5886381B2 (ja) * 2014-07-23 2016-03-16 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9580801B2 (en) * 2014-09-04 2017-02-28 Applied Materials, Inc. Enhancing electrical property and UV compatibility of ultrathin blok barrier film
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10570513B2 (en) 2014-12-13 2020-02-25 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
TWI716333B (zh) 2015-03-30 2021-01-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR102658085B1 (ko) * 2015-07-09 2024-04-16 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 알킬아미노 치환 할로카보실란 전구체
JP6523080B2 (ja) 2015-07-10 2019-05-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US20170051405A1 (en) * 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
CN117265512A (zh) 2015-09-11 2023-12-22 弗萨姆材料美国有限责任公司 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9777373B2 (en) 2015-12-30 2017-10-03 American Air Liquide, Inc. Amino(iodo)silane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US9701695B1 (en) 2015-12-30 2017-07-11 American Air Liquide, Inc. Synthesis methods for amino(halo)silanes
US10053775B2 (en) 2015-12-30 2018-08-21 L'air Liquide, Societé Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude Methods of using amino(bromo)silane precursors for ALD/CVD silicon-containing film applications
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI753794B (zh) 2016-03-23 2022-01-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10262858B2 (en) 2016-04-25 2019-04-16 Applied Materials, Inc. Surface functionalization and passivation with a control layer
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10640523B2 (en) 2018-01-12 2020-05-05 Entegris, Inc. Aminoiodosilanes and methods of synthesizing these aminoiodosilanes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10867839B2 (en) * 2018-06-15 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning methods for semiconductor devices
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
EP3844318A4 (en) * 2018-10-03 2022-06-01 Versum Materials US, LLC METHODS FOR MAKING FILMS CONTAINING SILICON AND NITROGEN
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP7156999B2 (ja) * 2019-05-13 2022-10-19 大陽日酸株式会社 シリコン含有薄膜形成用シリコン含有化合物、及びシリコン含有薄膜の形成方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047119A (ko) 2019-10-21 2021-04-29 삼성전자주식회사 금속 질화막 제조방법 및 금속 질화막을 포함하는 전자 소자
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112978679B (zh) * 2019-12-12 2022-11-22 中国科学院大连化学物理研究所 一种多元金属含氢化合物的制备方法
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060199357A1 (en) * 2005-03-07 2006-09-07 Wan Yuet M High stress nitride film and method for formation thereof
EP1967609A2 (en) * 2007-02-27 2008-09-10 Air Products and Chemicals, Inc. Plasma enhanced cyclic chemical vapor deposition of silicon-containing films

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118158B (sv) * 1999-10-15 2007-07-31 Asm Int Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6967159B2 (en) * 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6930059B2 (en) * 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
JP2007523994A (ja) * 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド バリヤ物質の原子層堆積
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP2007043147A (ja) * 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
CN101466865A (zh) * 2006-04-03 2009-06-24 乔治洛德方法研究和开发液化空气有限公司 通过化学汽相淀积使氮化硅膜和/或氧氮化硅膜淀积的方法
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
TWI462179B (zh) * 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
JP5119832B2 (ja) * 2007-09-27 2013-01-16 富士通株式会社 界面ラフネス緩和膜、配線層、半導体装置および半導体装置の製造方法
US20090130414A1 (en) * 2007-11-08 2009-05-21 Air Products And Chemicals, Inc. Preparation of A Metal-containing Film Via ALD or CVD Processes
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP5384291B2 (ja) * 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060199357A1 (en) * 2005-03-07 2006-09-07 Wan Yuet M High stress nitride film and method for formation thereof
EP1967609A2 (en) * 2007-02-27 2008-09-10 Air Products and Chemicals, Inc. Plasma enhanced cyclic chemical vapor deposition of silicon-containing films

Also Published As

Publication number Publication date
KR101226876B1 (ko) 2013-01-28
WO2011123792A2 (en) 2011-10-06
JP5815669B2 (ja) 2015-11-17
KR20120028999A (ko) 2012-03-23
CN102471885A (zh) 2012-05-23
EP2730676A1 (en) 2014-05-14
US20130078376A1 (en) 2013-03-28
JP2013524522A (ja) 2013-06-17
EP2553141A2 (en) 2013-02-06
WO2011123792A3 (en) 2011-12-01
TW201213597A (en) 2012-04-01
EP2553141A4 (en) 2013-08-21

Similar Documents

Publication Publication Date Title
TWI498447B (zh) 使用胺基金屬與鹵化金屬前驅物組合之含金屬氮化物之薄膜沈積
TWI626329B (zh) 用於沉積矽氮化物膜的組合物及方法
KR102256536B1 (ko) 질화규소 막을 증착시키는 방법
JP6781165B2 (ja) ホウ素含有化合物、組成物、及びホウ素含有膜の堆積方法
TWI695905B (zh) 沉積氮化矽薄膜的方法
JP2021180323A (ja) SiNの堆積
JP2018528615A (ja) コンフォーマルな金属又はメタロイド窒化ケイ素膜を堆積するための方法及びその結果として得られる膜
US9875889B2 (en) Atomic layer deposition of films comprising Si(C)N using hydrazine, azide and/or silyl amine derivatives
CN101015047A (zh) 以钽前驱物taimata进行含钽材料的原子层沉积
WO2014118751A1 (en) Manganese-containing compounds, their synthesis, and use in manganese-containing film deposition
US20160032454A1 (en) Bis(alkylimido)-bis(alkylamido)tungsten molecules for deposition of tungsten-containing films
WO2014118750A1 (en) Manganese-containing compounds, their synthesis, and use in manganese-containing film deposition
WO2014118747A1 (en) Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition
US20110206862A1 (en) Titanium Nitride Film Deposition by Vapor Deposition Using Cyclopentadienyl Alkylamino Titanium Precursors