KR20150036122A - Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체 - Google Patents

Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체 Download PDF

Info

Publication number
KR20150036122A
KR20150036122A KR1020157001394A KR20157001394A KR20150036122A KR 20150036122 A KR20150036122 A KR 20150036122A KR 1020157001394 A KR1020157001394 A KR 1020157001394A KR 20157001394 A KR20157001394 A KR 20157001394A KR 20150036122 A KR20150036122 A KR 20150036122A
Authority
KR
South Korea
Prior art keywords
thin film
sih
containing thin
film forming
precursor
Prior art date
Application number
KR1020157001394A
Other languages
English (en)
Inventor
크리스띠앙 뒤사라뜨
글렌 쿠첸베이저
벤카테스와라 알 팔렘
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드, 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20150036122A publication Critical patent/KR20150036122A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

Si-함유 박막 형성 전구체, 그의 합성 방법, 및 반도체, 광전지, LCD-TFT, 플랫 패널 소자, 내화재 또는 항공재를 제조하기 위해 증기 퇴적 방법을 이용하여 규소-함유 필름을 퇴적하는데 있어서 이를 이용하는 방법을 개시한다.

Description

ALD/CVD 규소-함유 필름 애플리케이션을 위한 유기실란 전구체 {ORGANOSILANE PRECURSORS FOR ALD/CVD SILICON-CONTAINING FILM APPLICATIONS}
관련 출원의 상호 참조
본 출원은 2012 년 7 월 20 일자로 제출된 미국 가출원 번호 61/674,103 에 대해 우선권을 주장하며, 상기 출원의 전체 내용은 본원에 참조로 포함된다.
기술 분야
Si-함유 박막 형성 전구체, 그의 합성 방법, 및 반도체, 광전지, LCD-TFT, 플랫 패널형 소자, 내화재 또는 항공재를 제조하기 위해 증기 퇴적 방법을 이용하여 규소-함유 필름을 퇴적하는데 있어서 이를 이용하는 방법을 개시한다.
Si-함유 박막은 반도체, 광전지, LCD-TFT, 플랫 패널형 소자, 내화재, 또는 항공 산업에 널리 이용된다. Si-함유 박막은, 예를 들어, 절연성일 수 있는 전기 특성을 갖는 유전성 물질 (SiO2, SiN, SiCN, SiCOH, MSiOx (여기서, M 은 Hf, Zr, Ti, Nb, Ta, 또는 Ge 이고, x 는 0 초과임)) 로서 사용될 수 있으며, Si-함유 박막은, 금속 규소화물, 또는 금속 질화규소 등 도전성 필름으로서 사용될 수 있다. 전기 소자 구조의 나노스케일로의 다운스케일링에 의해 부여된 엄격한 요건 (특히 28 nm 미만 노드) 으로 인해, 제조 필름의 높은 퇴적율, 일치성 (conformality) 및 일관성 (consistency) 이외에도, 휘발성 (ALD 공정의 경우), 보다 낮은 공정 온도, 각종 산화제와의 반응성 및 낮은 필름 오염의 요건들을 충족시키는 점점 더 미세-조정된 분자 전구체가 요구된다.
실란 (SiH4) 은 열적 CVD 에 사용될 수 있다는 것이 잘 알려져 있다. 그러나, 이 분자는 자연발화성이어서 이러한 실온 기체를 안전하게 취급하려는 도전과제가 생긴다. 할로실란 (예컨대 디클로로실란 SiH2Cl2) 을 이용하는 CVD 법이 사용되어 왔다. 그러나, 이는 장시간의 퍼지 시간을 요구하고, (염화암모늄 염으로부터의) 입자 형성 및 필름의 할로겐 오염을 야기하고, 심지어는 특정 기판을 손상시켜 원치않는 층간 층을 형성한다. 할로겐을 알킬기로 일부 대체하는 것으로, 어느 정도의 개선을 얻을 수 있지만, 그 대가로 필름 내 유해한 탄소 오염이 발생한다.
유기아미노실란은 Si-함유 필름의 CVD 에 대한 전구체로서 사용되어 왔다. Dussarrat 등의 US 7192626 에서는, SiN 필름의 퇴적을 위한 트리실릴아민 N(SiH3)3 의 용도가 보고되어 있다. 다른 보고된 전구체로는 디이소프로필아미노실란 [SiH3(NiPr2)] 및 유사한 SiH3(NR2) 화합물 (예, Thridandam 등의 US 7875312 참조) 및 페닐메틸아미노실란 [SiH3(NPhMe)] 및 관련 치환 실릴아닐린 (예, Xiao 등의 EP 2392691 참조) 을 포함한다.
Si-함유 필름의 CVD 를 위한 Si 전구체의 또다른 관련 부류는 일반식 (R1R2N)xSiH4-x (식 중, x 는 1 내지 4 이고, R 치환기는 독립적으로, H, C1-C6 선형, 분지형, 또는 고리형 탄소 사슬임) 으로 제시된다 (예, Dussarrat 등의 WO2006/097525 참조).
Hunks 등은 US2010/0164057 에서 식 R4-xSiLx (식 중, x 는 1 내지 3 의 값을 갖는 정수이고; R 은 H, 분지형 또는 비분지형 C1-C6 알킬, C3-C8 시클로알킬, 및 C6-C13 아릴기로부터 선택될 수 있고; 및 L 은 이소시아나토, 메틸에틸케트옥심, 트리플루오로아세테이트, 트리플레이트, 아실옥시, β-디케트이미네이트, β-디-이미네이트, 아미디네이트, 구아니디네이트, 알킬아미노, 히드라이드, 알콕시드, 또는 포르메이트 리간드로부터 선택될 수 있음) 을 갖는 규소 화합물을 비롯하여, 광범위한 Si-함유 전구체를 개시하고 있다. Pinnavaia 등은 규소 아세틸아세토네이트 및 규소 1,3-디케토네이트 전구체로부터의 다공성 합성, 반-결정성 혼성 유기-무기 산화규소 조성물의 제조 방법을 청구하고 있다 (US6465387).
Si 함유 필름의 퇴적에 이용될 수 있는 광범위한 선택에도 불구하고, 디바이스 엔지니어에게 제조 공정 요건을 조정할 수 있는 능력을 제공하고 바람직한 전기적 및 물리적 특성을 갖는 필름을 달성하기 위해 추가적인 전구체가 계속하여 간구되고 있다.
표기법 및 명명법
특정 약어, 기호 및 용어가 이하의 설명 및 청구범위 전반에 걸쳐 사용되며, 이로는 하기를 포함한다:
본원에 사용된 바와 같이, 단수형 부정 관사는 하나 이상을 의미한다.
본원에 사용된 바와 같이, 용어 "독립적으로" 는 R 기를 기술하는 것과 관련하여 사용시, 대상 R 기가 동일 또는 상이한 아래첨자 또는 위첨자를 지니는 다른 R 기에 대해 독립적으로 선택되는 것뿐만 아니라, 동일 R 기의 임의의 추가 종에 대해서도 독립적으로 선택되는 것을 나타내는 것으로 이해되어야 한다. 예를 들어 x 가 2 또는 3 인 식 MR1 x (NR2R3)(4-x) 에서, 2 또는 3 개의 R1 기는 서로 또는 R2 또는 R3 과 동일할 수 있지만, 반드시 그러할 필요는 없다. 또한, 달리 구체적으로 명시하지 않는 한, R 기의 값은 상이한 식에서 사용시 서로 독립적인 것으로 이해되어야 한다.
본원에 사용된 바와 같이, 용어 "알킬기" 는 오로지 탄소 및 수소 원자만을 함유하는 포화 관능기를 지칭한다. 또한, 용어 "알킬기" 는 선형, 분지형 또는 고리형 알킬기를 지칭한다. 선형 알킬기의 예로는 비제한적으로, 메틸기, 에틸기, 프로필기, 부틸기 등을 포함한다. 분지형 알킬기의 예로는 비제한적으로, t-부틸을 포함한다. 고리형 알킬기의 예로는 비제한적으로, 시클로프로필기, 시클로펜틸기, 시클로헥실기 등을 포함한다.
본원에 사용된 바와 같이, 용어 "아릴" 은 1 개의 수소 원자가 고리에서 제거된 방향족 고리 화합물을 지칭한다. 본원에 사용된 바와 같이, 용어 "헤테로고리" 는 그 고리의 구성원으로서 2 개 이상의 상이한 원소의 원자를 갖는 고리형 화합물을 지칭한다.
본원에 사용된 바와 같이, 약어 "Me" 는 메틸기를 지칭하고; 약어 "Et" 는 에틸기를 지칭하고; 약어 "Pr" 은 임의의 프로필기 (즉, n-프로필 또는 이소프로필) 를 지칭하고; 약어 "iPr" 은 이소프로필기를 지칭하고; 약어 "Bu" 는 임의의 부틸기 (n-부틸, 이소-부틸, t-부틸, sec-부틸) 를 지칭하고; 약어 "tBu" 는 tert-부틸기를 지칭하고; 약어 "sBu" 는 sec-부틸기를 지칭하고; 약어 "iBu" 는 이소-부틸기를 지칭하고; 약어 "Ph" 는 페닐기를 지칭하고; 약어 "Am" 은 임의의 아밀기 (이소-아밀, sec-아밀, tert-아밀) 를 지칭하고; 약어 "Hex" 는 선형, 분지형 또는 고리형일 수 있는 6 원 알킬기를 지칭하고; 약어 "Cy" 는 고리형 알킬기 (시클로부틸, 시클로펜틸, 시클로헥실 등) 를 지칭한다.
본원에 사용된 바와 같이, 두문자어 "SRO" 는 스트론튬 루테늄 산화물 필름을 나타내며; 두문자어" HCDS" 는 헥사클로로디실란을 나타내고; 두문자어 "PCDS" 는 펜타클로로디실란을 나타낸다.
원소 주기율표로부터 원소의 표준 약어가 본원에 사용된다. 원소들은 이들 악어에 의해 지칭될 수 있는 것 (예컨대, Si 는 규소를 지칭하고, N 은 질소를 지칭하고, O 는 산소를 지칭하고, C 는 탄소를 지칭하는 것, 등) 으로 이해되어야 한다.
개요
하기 식을 갖는 유기실란 분자를 개시한다:
Figure pct00001
(식 중, 각 L1 및 L2 는 질소 원자이고; L1 및 L2 는 2 내지 3 개의 탄소 원자를 갖는 탄소 브릿지를 통해 함께 결합되고; L1, L2 및 탄소 브릿지는 규소에 결합된 1 가 음이온성 리간드를 형성함).
개시된 분자는 하기 양태 중 하나 이상을 가질 수 있다:
Figure pct00002
유기실란 분자가 하기 식을 갖는 것:
Figure pct00003
(식 중, R1, R2, R3, R4, 및 R5 는 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로고리일 수 있음);
Figure pct00004
R1 및 R2 및/또는 R2 및 R3 및/또는 R3 및 R4 및/또는 R4 및 R5 가 결합하여 고리형 사슬을 형성하는 것;
Figure pct00005
유기실란 분자가 H3Si(-(iPr)N-C3H3-N(iPr)-) 인 것;
Figure pct00006
유기실란 분자가 하기 식을 갖는 것:
Figure pct00007
(식 중, R1, R2, R3, R4, R5 및 R6 은 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로고리일 수 있음);
Figure pct00008
R1 및 R2 및/또는 R2 및 R3 및/또는 R3 및 R4 및/또는 R4 및 R5 및/또는 R5 및 R6 은 결합하여 고리형 사슬을 형성하는 것;
Figure pct00009
유기실란 분자가 H3Si(-(iPr)N-C3H6-N(Me)2-) 인 것;
Figure pct00010
유기실란 분자가 하기 식을 갖는 것:
Figure pct00011
(식 중, R1, R2, R3, R4, 및 R5 는 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로고리일 수 있음);
Figure pct00012
R1 및 R2 및/또는 R2 및 R3 및/또는 R3 및 R4 가 결합하여 고리형 사슬을 형성하는 것;
Figure pct00013
유기실란 분자가 H3Si(-(iPr)N-CH2CH=N(iPr)-) 인 것;
Figure pct00014
유기실란 분자가 하기 식을 갖는 것:
Figure pct00015
(식 중, R1, R2, R3, R4 및 R5 는 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로고리일 수 있음);
Figure pct00016
R1 및 R2 및/또는 R2 및 R3 및/또는 R3 및 R4 및/또는 R4 및 R5 가 결합하여 고리형 사슬을 형성하는 것; 및
Figure pct00017
유기실란 분자가 H3Si((iPr)NC2H4N(Me)2)인 것.
또한 하기 식을 갖는 Si-함유 박막 형성 전구체를 개시한다:
Figure pct00018
(식 중, 각 L1 및 L2 는 질소 원자이고; L1 및 L2 는 2 내지 3 개의 탄소 원자를 갖는 탄소 브릿지를 통해 함께 결합되고; L1, L2 및 탄소 브릿지는 규소에 결합된 1 가 음이온성 리간드를 형성함).
개시된 분자는 하기 양태 중 하나 이상을 가질 수 있다:
Figure pct00019
Si-함유 박막 형성 전구체가 하기 식을 갖는 것:
Figure pct00020
(식 중, R1, R2, R3, R4, 및 R5 는 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로고리일 수 있음);
Figure pct00021
R1 및 R2 및/또는 R2 및 R3 및/또는 R3 및 R4 및/또는 R4 및 R5 가 결합하여 고리형 사슬을 형성하는 것;
Figure pct00022
Si-함유 박막 형성 전구체가 H3Si(-(iPr)N-C3H3-N(iPr)-) 인 것;
Figure pct00023
Si-함유 박막 형성 전구체가 하기 식을 갖는 것:
Figure pct00024
(식 중, R1, R2, R3, R4, R5 및 R6 은 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로고리일 수 있음);
Figure pct00025
R1 및 R2 및/또는 R2 및 R3 및/또는 R3 및 R4 및/또는 R4 및 R5 및/또는 R5 및 R6 은 결합하여 고리형 사슬을 형성하는 것;
Figure pct00026
Si-함유 박막 형성 전구체가 H3Si(-(iPr)N-C3H6-N(Me)2-) 인 것;
Figure pct00027
Si-함유 박막 형성 전구체가 하기 식을 갖는 것:
Figure pct00028
(식 중, R1, R2, R3, R4, 및 R5 는 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로고리일 수 있음);
Figure pct00029
R1 및 R2 및/또는 R2 및 R3 및/또는 R3 및 R4 가 결합하여 고리형 사슬을 형성하는 것;
Figure pct00030
Si-함유 박막 형성 전구체가 H3Si(-(iPr)N-CH2CH=N(iPr)-) 인 것;
Figure pct00031
Si-함유 박막 형성 전구체가 하기 식을 갖는 것:
Figure pct00032
(식 중, R1, R2, R3, R4 및 R5 는 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로고리일 수 있음);
Figure pct00033
R1 및 R2 및/또는 R2 및 R3 및/또는 R3 및 R4 및/또는 R4 및 R5 가 결합하여 고리형 사슬을 형성하는 것; 및
Figure pct00034
Si-함유 박막 형성 전구체가 H3Si((iPr)NC2H4N(Me)2) 인 것.
또한, 기판 상에 Si-함유 층을 퇴적시키는 방법을 개시한다.
상기 개시된 하나 이상의 유기실란 전구체를 하나 이상의 기판이 안에 배치되어 있는 반응기에 도입한다. 증기 퇴적법을 이용하여 Si-함유 박막 형성 전구체 중 적어도 일부를 하나 이상의 기판 위에 퇴적시켜 Si-함유 층을 형성한다. 개시된 방법은 이하의 양태 중 하나 이상을 가질 수 있다:
Figure pct00035
하나 이상의 제 2 전구체를 포함하는 증기를 반응기 안에 도입하는 것;
Figure pct00036
하나 이상의 제 2 전구체의 원소가 2 족, 13 족, 14 족, 전이 금속, 란탄족, 및 그 조합으로 이루어진 군으로부터 선택되는 것;
Figure pct00037
하나 이상의 제 2 전구체의 원소가 Mg, Ca, Sr, Ba, Zr, Hf, Ti, Nb, Ta, Al, Si, Ge, Y, 또는 란탄족으로부터 선택되는 것;
Figure pct00038
하나 이상의 공-반응물을 반응기 안에 도입하는 것;
Figure pct00039
공-반응물이 O2, O3, H2O, H2O2, NO, NO2, 카르복실산, 그 라디칼, 및 그 조합으로 이루어진 군으로부터 선택되는 것;
Figure pct00040
공-반응물이 플라즈마 처리된 산소인 것;
Figure pct00041
공-반응물이 오존인 것;
Figure pct00042
Si-함유 층이 산화규소 층인 것;
Figure pct00043
공-반응물이 H2, NH3, (SiH3)3N, 히드리도실란 (예컨대 SiH4, Si2H6, Si3H8, Si4H10, Si5H10, Si6H12), 클로로실란 및 클로로폴리실란 (예컨대 SiHCl3, SiH2Cl2, SiH3Cl, Si2Cl6, Si2HCl5, Si3Cl8), 알킬실란 (예컨대 Me2SiH2, Et2SiH2, MeSiH3, EtSiH3), 히드라진 (예컨대 N2H4, MeHNNH2, MeHNNHMe), 유기 아민 (예컨대 NMeH2, NEtH2, NMe2H, NEt2H, NMe3, NEt3, (SiMe3)2NH), 피라졸린, 피리딘, B-함유 분자 (예컨대 B2H6, 9-보라바이시클로[3,3,1]논, 트리메틸보론, 트리에틸보론, 보라진), 알킬 금속 (예컨대 트리메틸알루미늄, 트리에틸알루미늄, 디메틸아연, 디에틸아연), 그 라디칼 종, 및 그 혼합물로 이루어진 군으로부터 선택되는 것.
Figure pct00044
공-반응물이 H2, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, 그 수소 라디칼, 및 그 혼합물로 이루어진 군으로부터 선택되는 것;
Figure pct00045
공-반응물이 플라즈마-처리된 것;
Figure pct00046
공-반응물이 원격 플라즈마-처리된 것;
Figure pct00047
공-반응물이 플라즈마-처리되지 않은 것;
Figure pct00048
공-반응물이 H2 인 것;
Figure pct00049
공-반응물이 NH3 인 것;
Figure pct00050
공-반응물이 HCDS 인 것;
Figure pct00051
공-반응물이 PCDS 인 것;
Figure pct00052
공-반응물이 테트라클로로실란인 것;
Figure pct00053
공-반응물이 트리클로로실란인 것;
Figure pct00054
공-반응물이 헥사클로로시클로헥사실란인 것;
Figure pct00055
증기 퇴적 공정이 화학적 증기 퇴적 공정인 것;
Figure pct00056
증기 퇴적 공정이 원자층 퇴적 (ALD) 공정인 것;
Figure pct00057
증기 퇴적 공정이 공간적 (spatial) ALD 공정인 것;
Figure pct00058
규소-함유 층이 Si 인 것;
Figure pct00059
규소-함유 층이 SiO2 인 것;
Figure pct00060
규소-함유 층이 SiN 인 것;
Figure pct00061
규소-함유 층이 SiON 인 것;
Figure pct00062
규소-함유 층이 SiCN 인 것; 및
Figure pct00063
규소-함유 층이 SiCOH 인 것.
Si-함유 박막 형성 전구체, 그의 합성 방법, 및 반도체, 광전지, LCD-TFT, 플랫 패널형 소자, 내화재 또는 항공재를 제조하기 위해 증기 퇴적 방법을 이용하여 규소-함유 필름을 퇴적하는데 있어서 이를 이용하는 방법을 개시한다.
개시된 Si-함유 박막 형성 전구체는 이하의 식을 가진다:
Figure pct00064
(식 중, 각 L1 및 L2 는 질소 원자이고; L1 및 L2 는 2 또는 3 개의 탄소 원자를 갖는 탄소 브릿지를 통해 함께 결합되고; L1, L2 및 탄소 브릿지는 규소에 결합된 1 가 음이온성 리간드를 형성함).
상기 식에 예시된 바와 같이, L1 및 L2 질소 원자는 규소 원자와 결합하여, 5 배위 Si(IV) 중심을 생성한다. 탄소 브릿지 내 탄소 원자는 sp2 혼성화되어, 1 가 음이온성 리간드에 걸쳐 비편재화된 전하를 생성할 수 있다. 대안적으로는, 탄소 브릿지 내 탄소 원자는 sp3 혼성화되거나 sp2 와 sp3 의 일부 조합으로 혼성화되어, L1 또는 L2 중 하나에 음의 전하를 생성하고 L1 또는 L2 중 다른 하나에 중성 전하를 생성할 수 있다. 질소 및 탄소 원자 각각은 독립적으로 H, C1-C6 알킬기, 아릴기, 또는 헤테로고리기로 치환될 수 있다.
개시된 유기실란 전구체는 규소 원자에서의 초배위 (hypercoordination) 로 인해 다른 R4-xSiLx 전구체보다 더 반응성일 수 있다. 다시 말해, 규소 원자가 +IV 이더라도, 3 개의 수소 결합 및 1 가 음이온성 킬레이팅 리간드가 규소 원자에 대해 총 5 개의 결합을 제공한다.
N-(C)n-N 리간드 (여기서, n 은 2 또는 3 임) 상의 질소 함량의 증가로 인해, 이들 분자를 이용하여, 질소도 또한 함유하는 규소-함유 필름, 예컨대 SiN, SiCN, SiON, MSiN, 또는 MSiON (여기서, M 은 Hf, Zr, Ti, Nb, Ta, 또는 Ge 등의 원소임) 을 제조하거나, 또는 상기 필름 내 질소 양을 조정할 수 있다.
개시된 유기실란 전구체의 탄소 브릿지가 세 (3) 개의 탄소 원자를 포함하는 경우 (즉, -N-(C(R))3-N-), 수득된 전구체는 β-디케트이미나토실란 화합물이다. 예시적 β-디케트이미나토실란 전구체는 이하의 식을 가진다:
Figure pct00065
(식 중, R1, R2, R3, R4, 및 R5 는 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로고리일 수 있고, R1 및 R2 및/또는 R2 및 R3 및/또는 R3 및 R4 및/또는 R4 및 R5 는 결합하여 고리형 사슬을 형성할 수 있음).
상기 3 개의 탄소 원자는 sp2 혼성화된다. R1 및 R5 가 동일하고 R2 및 R4 가 동일한 경우 (즉, 모두 Me, 또는 R1 및 R5 = Me 및 R2 및 R4 = H), 얻어진 이들 분자의 퓨리에-변환 적외선 (FTIR) 스펙트럼은 리간드에 걸친 전자의 비편재화로 인해 N 원자 둘 모두에 대해 1 개의 피크를 생성할 것이다.
상기 식을 갖는 예시적 β-디케트이미나토실란 전구체로는 하기를 포함한다:
Figure pct00066
바람직하게는, β-디케트이미나토실란 전구체는 H3Si(-(iPr)N-C3H3-N(iPr)-) 이다.
개시된 유기실란 전구체의 탄소 브릿지가 세 (3) 개의 탄소 원자를 포함하는 경우 (즉, -N-(C(R))3-N-), 수득된 전구체는 아미노실릴아민 화합물이다. 예시적 아미노실릴아민 유기실란 전구체는 이하의 식을 가진다:
Figure pct00067
(식 중, R1, R2, R3, R4, R5 및 R6 은 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로고리일 수 있음).
당업자는 상기 구조에서, 공간 제약으로 인해 뺀, 탄소 상에 내포된 H 를 알 것이다. R1 및 R2 및/또는 R2 및 R3 및/또는 R3 및 R4 및/또는 R4 및 R5 및/또는 R5 및 R6 은 결합하여 고리형 사슬을 형성할 수 있다. 상기 3 개의 탄소 원자는 sp2 또는 sp3 혼성화될 수 있다. 음이온 전하는 "맨 위" 질소 원자에 편재될 수 있다. "아래" 질소 원자는 Si 원자와 배위 결합을 형성할 수 있다. 리간드의 비대칭 성질로 인해, 3 개의 탄소 원자는 핵 자기 공명 (NMR) 스펙트럼에서 상이한 피크를 생성할 것이다.
상기 식을 갖는 예시적 아미노실릴아민 전구체로는 하기를 포함한다:
Figure pct00068
바람직하게는, 아미노실릴아민 전구체는 H3Si(-(iPr)N-C3H6-N(Me)2-) 이다.
H3Si[RN(CR)3NR] 또는 H3Si[R2N(CR)3NR] 전구체는, 질소 분위기 하에서, SiXH3 (식 중, X 는 Cl, Br, I, 또는 트리플레이트 (SO3CF3 -) 임) 의 탄화수소 용액과, 리간드 화합물, 예컨대 Li[RN(CR)3NR] 또는 Li[R2N(CR)3NR] 의 순수한 (neat) 또는 탄화수소 용액을 조합하여 합성할 수 있으며, 이때 혼합 플라스크의 출구는 공기 및 수분의 역류를 억제하기 위해 오일 버블러와 연결되어 있다.
개시된 H3Si[RN(CR)3NR] 또는 H3Si[R2N(CR)3NR] 전구체로의 제 2 합성 경로는, 불활성 분위기 하에서 수행된, 양성자화 리간드 RN(CR)3NHR 또는 RHN(CR)3NR2 와 디알킬아미노실란 [SiH3(NR2)] 의 순수한 또는 탄화수소 용액 중 하나와의 반응에 의한 것이다.
대안적으로는, 개시된 H3Si[RN(CR)3NR] 또는 H3Si[R2N(CR)3NR] 전구체는 SiHnCl4-n 와 1 당량의 리간드 화합물 (즉, Li[RN(CR)3NR] 또는 Li[R2N(CR)3NR]) 과의 반응 및 선택된 금속 수소화물, 예컨대 LAH (리튬 알루미늄 히드라이드) 을 이용한 후속 환원에 의해 합성될 수 있다.
상기 세 가지 모든 합성 경로에 있어서, 수득한 용액을 밤새 실온에서 교반할 수 있다. 이들 합성 방법에 적합한 예시적 탄화수소 용액으로는 디에틸 에테르, 펜탄, 헥산, 또는 톨루엔을 포함한다. 수득한 현탁액을 여과하고, 수득한 용액을 증류하여 용매를 제거한다. 수득한 액체 또는 고체의 정제를 증류 또는 승화에 의해 각각 실시한다. 리간드 화합물 Li[RN(CR)3NR] 또는 Li[R2N(CR)3NR] 을 제외하고, 모든 출발 물질은 시판되는 것이다. 리간드 화합물은 적절한 디아민 (즉, R1N=CR2-CR3-CR4-NHR5, R1N=CR2-CR3=CR4-NHR5, R1HN-CR2-CR3-CR4-NR5R6) 의 탄화수소 용액에 금속유기 염 (즉, 알킬 리튬) 의 탄화수소 용액을 조합함으로써 합성할 수 있다. 당업자는 리간드를 적절히 선택하여 불포화 β-디케트이미나토실란 전구체 또는 포화 아미노실릴아민 전구체를 수득하는 것을 알 것이다.
개시된 유기실란 전구체의 탄소 브릿지가 두 (2) 개의 탄소 원자를 포함하는 경우 (즉, -N-(C(R))2-N-), 수득된 전구체는 이미노실릴아민 화합물이다. 예시적 이미노실릴아민 유기실란 전구체는 이하의 식을 가진다:
Figure pct00069
(식 중, R1, R2, R3, 및 R4 는 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로고리일 수 있음).
당업자는 상기 구조에서, 공간 제약으로 인해 뺀, 탄소 상에 내포된 H 를 알 것이다. R1 및 R2 및/또는 R2 및 R3 및/또는 R3 및 R4 는 결합하여 고리형 사슬을 형성할 수 있다. 상기 2 개의 탄소 원자는 sp2 또는 sp3 혼성화될 수 있다. 상기 식은 "맨 위" 질소 원자에 편재된 음이온 전하를 예시한다. C(R3) 과의 이중 결합을 갖는 "아래" 질소 원자는 규소 원자와 배위 결합을 형성한다. 한편, 당업자는 이중 결합이 또한 탄소 원자가 sp2 혼성화된 경우에는 고리에 걸쳐 비편재화될 수 있다는 것을 알 것이다. R1 및 R4 가 동일하고, R2 및 R3 이 동일한 경우 (즉, 모두 Me, 또는 R1 및 R4 = Me 및 R2 및 R3 = H), 얻어진 이들 분자의 퓨리에-변환 적외선 (FTIR) 스펙트럼은 리간드에 걸친 전자의 비편재화로 인해 N 원자 둘 모두에 대해 1 개의 피크를 생성할 것이다.
상기 식을 갖는 예시적 이미노실릴아민 전구체로는 하기를 포함한다:
Figure pct00070
바람직하게는, 이미노실릴아민은 H3Si(-(iPr)N-CH2CH=N(iPr)-) 이다.
개시된 유기실란 전구체의 탄소 브릿지가 두 (2) 개의 탄소 원자를 포함하는 경우 (즉, -N-(C(R))2-N-), 수득된 전구체는 아미노실릴아민 화합물이다. 예시적 아미노실릴아민 유기실란 전구체는 이하의 식을 가진다:
Figure pct00071
(식 중, R1, R2, R3, R4, 및 R5 는 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로고리일 수 있음).
당업자는 상기 구조에서, 공간 제약으로 인해 뺀, 탄소 상에 내포된 H 를 알 것이다. R1 및 R2 및/또는 R2 및 R3 및/또는 R3 및 R4 및/또는 R4 및 R5 는 결합하여 고리형 사슬을 형성할 수 있다. 상기 2 개의 탄소 원자는 sp2 또는 sp3 혼성화될 수 있다. 음이온 전하는 질소 원자에 편재될 수 있다. 다른 질소 원자는 Si 원자와 배위 결합을 형성할 수 있다. 리간드의 비대칭 성질로 인해, 2 개의 탄소 원자는 핵 자기 공명 (NMR) 스펙트럼에서 상이한 피크를 생성할 것이다.
상기 식을 갖는 예시적 아미노실릴아민 전구체로는 하기를 포함한다:
Figure pct00072
바람직하게는, 아미노실릴아민 전구체는 H3Si((iPr)NC2H4N(Me)2) 이다.
H3Si[RN(CR)2NR] 또는 H3Si[R2N(CR)2NR] 전구체는, 질소 분위기 하에서, SiXH3 (식 중, X 는 Cl, Br, I, 또는 트리플레이트 (SO3CF3 -) 임) 의 탄화수소 용액과, 리간드 화합물, 예컨대 Li[RN(CR)2NR] 또는 Li[R2N(CR)2NR] 의 순수한 또는 탄화수소 용액을 조합하여 합성할 수 있으며, 이때 혼합 플라스크의 출구는 공기 및 수분의 역류를 억제하기 위해 오일 버블러와 연결되어 있다.
개시된 H3Si[RN(CR)2NR] 또는 H3Si[R2N(CR)2NR] 전구체로의 제 2 합성 경로는, 불활성 분위기 하에서 수행된, 양성자화 리간드 RN(CR)2NHR 또는 RHN(CR)2NR2 와 디알킬아미노실란 [SiH3(NR2)] 의 순수한 또는 탄화수소 용액 중 하나와의 반응에 의한 것이다.
대안적으로는, 개시된 H3Si[RN(CR)2NR] 또는 H3Si[R2N(CR)2NR] 전구체는 SiHnCl4-n 와 1 당량의 리간드 화합물 (즉, Li[RN(CR)2NR] 또는 Li[R2N(CR)2NR]) 과의 반응 및 선택된 금속 수소화물, 예컨대 LAH (리튬 알루미늄 히드라이드) 을 이용한 후속 환원에 의해 합성될 수 있다.
상기 세 가지 모든 합성 경로에 있어서, 수득한 용액을 밤새 실온에서 교반할 수 있다. 이들 합성 방법에 적합한 예시적 탄화수소 용액으로는 디에틸 에테르, 펜탄, 헥산, 또는 톨루엔을 포함한다. 수득한 현탁액을 여과하고, 수득한 용액을 증류하여 용매를 제거한다. 수득한 액체 또는 고체의 정제를 증류 또는 승화에 의해 각각 실시한다. 리간드 화합물 Li[RN(CR)2NR] 또는 Li[R2N(CR)2NR] 을 제외하고, 모든 출발 물질은 시판되는 것이다. 리간드 화합물은 적절한 디아민 (즉, R1N=CR2-CR3-NHR4, R1HN-CR2-CR3-NR4R5) 의 탄화수소 용액에 금속유기 염 (즉, 알킬 리튬) 의 탄화수소 용액을 조합함으로써 합성할 수 있다. 당업자는 리간드를 적절히 선택하여 포화 아미노실릴아미노 또는 불포화 이미노실릴아미노 전구체를 수득하는 것을 알 것이다.
또한, 증기 퇴적 방법을 위한 개시된 유기실란 전구체의 이용 방법을 개시한다. 개시된 방법은 규소-함유 필름의 퇴적을 위한 유기실란 전구체의 용도를 제시한다. 개시된 방법은 반도체, 광전지, LCD-TFT, 또는 플랫 패널형 소자의 제조에 유용할 수 있다. 상기 방법은 다음을 포함한다: 기판을 제공하고; 개시된 유기실란 전구체 중 하나 이상을 포함하는 증기를 제공하고; 및 상기 증기를 기판과 접촉하여 (전형적으로는 증기를 기판으로 향하게 하여) 기판의 하나 이상의 표면에 규소-함유 층을 형성하는 것.
개시된 방법은 또한 증기 퇴적 공정을 이용하여 기판 상에 바이메탈-함유 층을 형성하는 것, 예를 들어 SiMOx 필름 (여기서, x 는 0-4 일 수 있고, M 은 Ta, Hf, Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, Co, 란탄족 (예컨대 Er), 또는 그 조합임) 의 퇴적을 제공한다. 개시된 방법은 반도체, 광전지, LCD-TFT, 또는 플랫 패널형 소자의 제조에 유용할 수 있다. 상기 방법은 다음을 포함한다: 기판을 제공하고; 개시된 유기실란 전구체 중 하나 이상을 포함하는 증기를 제공하고 상기 증기를 기판과 접촉하여 (전형적으로는 증기를 기판으로 향하게 하여) 기판의 하나 이상의 표면에 바이메탈-함유 층을 형성하는 것. 산소 공급원, 예컨대 O3, O2, H2O, NO, H2O2, 아세트산, 포르말린, 파라-포름알데히드, 그 산소 라디칼, 및 그 조합, 바람직하게는 O3 또는 플라즈마 처리된 O2 가 또한 증기와 함께 제공될 수 있다.
개시된 유기실란 전구체는 당업자에게 공지된 임의의 퇴적 방법을 이용하여 규소-함유 필름을 퇴적하는데 이용될 수 있다. 적합한 퇴적 방법의 예로는, 비제한적으로, 통상적인 화학적 증기 퇴적 (CVD), 저압 화학적 증기 퇴적 (LPCVD), 원자층 퇴적 (ALD), 펄스화 화학적 증기 퇴적 (P-CVD), 열적 ALD, 열적 CVD, 플라즈마 증강 원자층 퇴적 (PE-ALD), 플라즈마 증강 화학적 증기 퇴적 (PE-CVD), 공간적 ALD, 또는 그 조합을 포함한다. 바람직하게는 퇴적 방법은 ALD, 공간적 ALD, 또는 PE-ALD 이다.
유기실란 전구체의 증기를 하나 이상의 기판을 함유하는 반응 챔버에 도입한다. 반응 챔버 내 온도 및 압력 및 기판 온도는 유기실란 전구체의 적어도 일부를 기판 상에 증기 퇴적하는데 적합한 조건으로 유지된다. 다시 말해, 챔버 내로 기화된 전구체를 도입한 후, 챔버 내 조건은 기화된 전구체의 적어도 일부가 기판 상에 퇴적되어 규소-함유 필름을 형성하도록 하는 조건이다. 공-반응물을 또한 사용하여 Si-함유 층의 형성을 도울 수 있다.
반응 챔버는 퇴적 방법이 일어나는 장치의 임의의 인클로저 또는 챔버일 수 있으며, 그 예로는 비제한적으로 평행-판 유형 반응기, 저온-벽 유형 반응기, 고온-벽 유형 반응기, 단일-웨이퍼 반응기, 다중-웨이퍼 반응기, 또는 다른 상기 유형의 퇴적 시스템이 있다. 이들 예시적 반응 챔버는 모두 ALD 반응 챔버로서 기능할 수 있다. 반응 챔버는 약 0.5 mTorr 내지 약 20 Torr 범위의 압력에서 유지될 수 있다. 또한, 반응 챔버 내 온도는 약 20 ℃ 내지 약 600 ℃ 범위일 수 있다. 당업자는 원하는 결과를 달성하기 위해 단순 실험을 통해 온도를 최적화할 수 있다는 것을 알 것이다.
반응기의 온도는 기판 홀더의 온도를 제어하거나 또는 반응기 벽의 온도를 제어함으로써 제어될 수 있다. 기판 가열에 사용된 장치는 당업계에 공지되어 있다. 반응기 벽은, 원하는 물리적 상태 및 조성을 가지며 충분한 성장 속도로 원하는 필름을 얻기에 충분한 온도로 가열된다. 반응기 벽이 가열될 수 있는 비제한적 예시 온도 범위로는 대략 20 ℃ 내지 대략 600 ℃ 를 포함한다. 플라즈마 퇴적 공정이 이용되는 경우, 퇴적 온도는 대략 20 ℃ 내지 대략 550 ℃ 범위일 수 있다. 대안적으로는, 열적 공정이 수행되는 경우, 퇴적 온도는 대략 300 ℃ 내지 대략 600 ℃ 범위일 수 있다.
대안적으로, 기판은, 원하는 물리적 상태 및 조성을 가지며 충분한 성장 속도로 원하는 규소-함유 필름을 얻기에 충분한 온도로 가열될 수 있다. 기판이 가열될 수 있는 비제한적 예시 온도 범위로는 150 ℃ 내지 600 ℃ 를 포함한다. 바람직하게는, 기판 온도는 500 ℃ 이하로 유지된다.
규소-함유 필름이 퇴적되어질 기판의 유형은 최종 사용 목적에 따라 달라질 것이다. 일부 구현예에서, 기판은 수소화 탄소, 예컨대 CHx (여기서, x 는 0 초과임) 로 만들어진 패턴화된 포토레지스트 필름일 수 있다. 일부 구현예에서, 기판은 MIM, DRAM, 또는 FeRam 기법에서 유전성 물질로서 사용되는 산화물 (예를 들어, ZrO2 계 물질, HfO2 계 물질, TiO2 계 물질, 희토류 산화물계 물질, 3 차 산화물계 물질 등) 로부터, 또는 구리와 저-k 층 사이의 산소 장벽으로서 사용되는 질화물계 필름 (예컨대, TaN) 으로부터 선택될 수 있다. 다른 기판들이 반도체, 광전지, LCD-TFT, 또는 플랫 패널 소자의 제조에 사용될 수 있다. 이러한 기판의 예로는 고체 기판, 예컨대 금속 질화물 함유 기판 (예컨대, TaN, TiN, WN, TaCN, TiCN, TaSiN, 및 TiSiN); 절연체 (예컨대, SiO2, Si3N4, SiON, HfO2, Ta2O5, ZrO2, TiO2, Al2O3, 및 바륨 스트론튬 티타네이트); 또는 이들 물질의 다수 조합을 포함하는 다른 기판을 포함하지만, 이에 제한되지 않는다. 실제 사용되는 기판은 또한 사용된 특정 전구체 구현예에 따라서도 달라질 수 있다. 다수의 경우에 있어서 그럼에도, 바람직한 사용 기판은 수소화 탄소, TiN, SRO, Ru, 및 Si 유형 기판, 예컨대 폴리실리콘 또는 결정성 실리콘 기판으로부터 선택될 것이다.
개시된 유기실란 전구체는 순수한 형태로 또는 적절한 용매, 예컨대 톨루엔, 에틸 벤젠, 자일렌, 메시틸렌, 데칸, 도데칸, 옥탄, 헥산, 펜탄, 3 급 아민, 아세톤, 테트라히드로푸란, 에탄올, 에틸메틸케톤, 1,4-디옥산, 또는 기타와의 배합물로 공급될 수 있다. 개시된 전구체는 용매에 여러 농도로 존재할 수 있다. 예를 들어, 수득된 농도는 대략 0.05 M 내지 대략 2 M 범위일 수 있다.
순수한 또는 배합된 유기실란 전구체는 배관 및/또는 유량계 등의 통상의 수단에 의해 기상 형태로 반응기에 도입된다. 기상 형태의 전구체는 통상의 기화 단계를 통해, 예컨대 직접 기화, 증류, 버블링에 의해, 또는 승화장치 (sublimator), 예컨대 Xu 등의 PCT 공개 WO2009/087609 에 개시된 것에 의해 순수한 또는 배합된 전구체 용액을 기화시킴으로써 제조될 수 있다. 순수한 또는 배합된 전구체는 액체 상태로 기화기에 도입되며, 여기서 반응기 도입 전에 기화된다. 대안적으로는, 순수한 또는 배합된 전구체는 전구체를 함유하는 용기 안으로 운반 기체를 통과시킴으로써 또는 전구체 내로 운반 기체를 버블링시킴으로써 기화될 수 있다. 운반 기체로는, Ar, He, 또는 N2, 및 그 혼합물을 포함할 수 있지만, 이에 제한되지 않는다. 운반 기체에 의한 버블링은 또한 순수한 또는 배합된 전구체 용액에 존재하는 임의의 용존 산소를 제거할 수 있다. 운반 기체 및 전구체를 이후 증기로서 반응기 안에 도입한다.
필요에 따라, 용기는 유기실란 전구체가 그 액상으로 존재하고 충분한 증기압을 가지도록 하는 온도로 가열될 수 있다. 상기 용기를 예컨대 0 - 150 ℃ 범위의 온도에서 유지시킬 수 있다. 당업자는 유기실란 전구체의 기화량을 제어하도록 공지의 방식으로 용기 온도를 조절할 수 있다는 것을 알고 있다.
개시된 전구체에 더하여, 반응 기체를 또한 반응기에 도입할 수 있다. 반응 기체는 산화제, 예컨대 O2; O3; H2O; H2O2; 산소 함유 라디칼, 예컨대 O· 또는 OH·; NO; NO2; 카르복실산, 예컨대 포름산, 아세트산, 프로피온산; NO, NO2 또는 카르복실산의 라디칼 종; 파라-포름알데히드; 및 그 혼합물 중 하나일 수 있다. 바람직하게는 산화제는 O2, O3, H2O, H2O2, 그의 산소 함유 라디칼, 예컨대 O· 또는 OH·, 및 그 혼합물로 이루어진 군으로부터 선택된다. 바람직하게는, ALD 공정이 수행되는 경우, 공-반응물은 플라즈마 처리된 산소, 오존, 또는 그 조합이다. 산화성 기체가 사용된 경우, 수득된 규소 함유 필름도 또한 산소를 함유할 것이다.
대안적으로는, 반응 기체는 환원제, 예컨대 H2, NH3, (SiH3)3N, 히드리도실란 (예컨대 SiH4, Si2H6, Si3H8, Si4H10, Si5H10, Si6H12), 클로로실란 및 클로로폴리실란 (예컨대 SiHCl3, SiH2Cl2, SiH3Cl, Si2Cl6, Si2HCl5, Si3Cl8), 알킬실란 (예컨대 (CH3)2SiH2, (C2H5)2SiH2, (CH3)SiH3, (C2H5)SiH3), 히드라진 (예컨대 N2H4, MeHNNH2, MeHNNHMe), 유기 아민 (예컨대 N(CH3)H2, N(C2H5)H2, N(CH3)2H, N(C2H5)2H, N(CH3)3, N(C2H5)3, (SiMe3)2NH), 피라졸린, 피리딘, B-함유 분자 (예컨대 B2H6, 9-보라바이시클로[3,3,1]논, 트리메틸보론, 트리에틸보론, 보라진), 알킬 금속 (예컨대 트리메틸알루미늄, 트리에틸알루미늄, 디메틸아연, 디에틸아연), 그의 라디칼 종, 및 그 혼합물 중 하나일 수 있다. 바람직하게는 환원제는 H2, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, 그 수소 라디칼, 또는 그 혼합물이다. 환원제가 사용된 경우, 수득된 규소 함유 필름은 순수 Si 일 수 있다.
반응 기체를 플라즈마로 처리하여, 반응 기체를 그의 라디칼 형태로 분해할 수 있다. N2 가 또한 플라즈마에 의한 처리시 환원제로서 이용될 수 있다. 예를 들어, 플라즈마는 약 50 W 내지 약 500 W, 바람직하게는 약 100 W 내지 약 200 W 범위의 전력으로 생성될 수 있다. 플라즈마는 생성되거나 반응기 자체 내에 존재할 수 있다. 대안적으로는, 플라즈마는 통상 반응기로부터 떨어진 위치에, 예컨대 원격 설치 플라즈마 시스템으로 있을 수 있다. 당업자는 이러한 플라즈마 처리에 적합한 방법 및 장치를 알 것이다.
개시된 유기실란 전구체를 또한 할로실란 또는 폴리할로디실란, 예컨대 헥사시클로디실란, 펜타클로로디실란, 또는 테트라클로로디실란, 및 하나 이상의 공-반응물 기체와 함께 사용하여, SiN 및 SiCN 필름을 형성할 수 있으며, 이는 전체 내용이 본원에 참고로 포함되는 PCT 공개 번호 WO2011/123792 에 개시된 바와 같다.
원하는 규소-함유 필름이 또한, 예컨대 Ta, Hf, Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, Co, 란탄족 (예, Er), 또는 그 조합을 예로 들지만 그에 제한되지 않는 또다른 원소를 함유하는 경우, 공-반응물로는 금속 알킬, 예컨대 Ln(RCp)3 또는 Co(RCp)2, 금속 아민, 예컨대 Nb(Cp)(NtBu)(NMe2)3 및 임의의 그 조합으로부터 선택되지만, 그에 제한되지 않는 금속-함유 전구체를 포함할 수 있다.
유기실란 전구체 및 하나 이상의 공-반응물을 반응 챔버에 동시에 (화학적 증기 퇴적), 순차적으로 (원자층 퇴적), 또는 다른 조합으로 도입할 수 있다. 예를 들어, 유기실란 전구체를 한 펄스로 도입할 수 있으며, 두 가지 추가 금속 공급원을 별도 펄스로 함께 도입할 수 있다 [변형 원자층 퇴적]. 대안적으로는, 반응 챔버는 유기실란 전구체의 도입 전에 이미 공-반응물을 함유할 수 있다. 공-반응물은 반응 챔버에 편재해 있는 또는 그와 원격으로 있는 플라즈마 시스템을 통과하여 라디칼로 분해될 수 있다. 대안적으로는, 유기실란 전구체는 연속하여 반응 챔버에 도입되는 한편, 다른 금속 공급원은 펄스로 도입된다 (펄스화 화학적 증기 퇴적). 각각의 예시에서, 펄스 이후에는 도입된 과량의 성분을 제거하기 위한 퍼지 또는 배기 단계가 이어질 수 있다. 각각의 예시에서, 펄스는 약 0.01 초 내지 약 10 초, 대안적으로는 약 0.3 초 내지 약 3 초, 대안적으로는 약 0.5 초 내지 약 2 초 범위의 기간 동안 지속될 수 있다. 또다른 대안으로는, 유기실란 전구체 및 하나 이상의 공-반응물을, 서셉터 고정 여러 웨이퍼가 아래에서 방사되고 있는 샤워 헤드로부터 동시 분무할 수 있다 (공간적 ALD).
하나의 비제한적 예시 원자층 퇴적형 공정에서, 유기실란 전구체의 증기를 반응 챔버에 도입하고, 여기서 적절한 기판과 접촉시킨다. 이후, 과잉의 유기실란 전구체를 반응 챔버를 퍼징 및/또는 배기시킴으로써 반응 챔버로부터 제거할 수 있다. 산소 공급원이 반응 챔버에 도입되어, 여기서 흡수된 유기실란 전구체와 자기 제한적 방식으로 반응한다. 임의의 과잉 산소 공급원을 반응 챔버를 퍼징 및/또는 배기시킴으로써 반응 챔버로부터 제거할 수 있다. 원하는 필름이 산화규소 필름인 경우, 이 2-단 공정을 원하는 필름 두께를 제공할 수 있거나 또는 필수 두께를 갖는 필름이 얻어질 때까지 반복할 수 있다.
대안적으로는, 원하는 필름이 규소 금속 산화물 필름 (즉, SiMOx, 여기서 x 는 0-4 일 수 있고, M 은 Ta, Hf, Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, Co, 란탄족 (예컨대 Er), 또는 그 조합임) 이라면, 상기 2-단 공정 이후, 반응 챔버 내로 금속-함유 전구체의 제 2 의 증기를 도입하는 것이 이어질 수 있다. 금속-함유 전구체는 퇴적되는 규소 금속 산화물 필름의 성질에 따라 선택될 것이다. 반응 챔버 내로의 도입 이후, 금속-함유 전구체를 기판과 접촉시킨다. 임의의 과잉 금속-함유 전구체를 반응 챔버를 퍼징 및/또는 배기시킴으로써 반응 챔버로부터 제거한다. 한번 더, 산소 공급원이 반응 챔버에 도입되어 금속-함유 전구체와 반응할 수 있다. 과잉의 산소 공급원을 반응 챔버를 퍼징 및/또는 배기시킴으로써 반응 챔버로부터 제거한다. 원하는 필름 두께가 달성되었다면, 공정을 중단할 수 있다. 그러나, 더 두꺼운 필름이 요망되는 경우에는, 전체 4-단 공정을 반복할 수 있다. 제시된 유기실란 전구체, 금속-함유 전구체, 및 산소 공급원을 변경함으로써, 원하는 조성 및 두께의 필름을 퇴적시킬 수 있다.
추가로, 펄스 수를 변화시킴으로써, 원하는 화학양론비 M:Si 를 갖는 필름을 수득할 수 있다. 예를 들어, SiMO2 필름은 유기실란 전구체 펄스 1 개 및 금속-함유 전구체 펄스 1 개를 이용함으로써 수득될 수 있으며, 상기 각 펄스 이후에는 산소 공급원의 펄스가 이어진다. 그러나, 당업자는 원하는 필름을 수득하는데 요구되는 펄스의 수가 수득된 필름의 화학양론비와 동일하지 않을 수 있다는 것을 알 것이다.
또다른 대안에서는, 개시된 화합물 및 식 SiaH2a+2-bXb (식 중, X 는 F, Cl, Br, 또는 I 이고; a=1 내지 6; 및 b=1 내지 (2a+2) 임) 의 할로실란 화합물; 식 -SicH2c-dXd- (식 중, X 는 F, Cl, Br, 또는 I 이고; c=3-8; 및 d=1 내지 2c 임) 의 고리형 할로실란 화합물을 이용하여 ALD 또는 변형 ALD 공정을 통해 Si 또는 조밀한 SiCN 필름을 퇴적시킬 수 있다. 바람직하게는, 할로실란 화합물은 트리클로로실란, 헥사클로로디실란 (HCDS), 펜타클로로디실란 (PCDS), 테트라클로로디실란 또는 헥사클로로시클로헥사실란이다. 당업자는 Si-X 결합의 더 낮은 결합 에너지 (즉, Si-Cl = 456 kJ/mol; Si-Br = 343 kJ/mol; Si-I = 339 kJ/mol) 로 인하여 더 낮은 퇴적 온도가 필요한 경우에는 이들 화합물 내 Cl 은 Br 또는 I 로 치환될 수 있다는 것을 알 것이다. 필요한 경우, 퇴적은 추가로 N-함유 공-반응물, 예컨대 NH3 을 이용할 수 있다. 개시된 전구체 및 할로실란 화합물의 증기는, 최종 필름의 원하는 농도에 따라서, 반응기에 순차적으로 또는 동시에 도입될 수 있다. 전구체 주입 순서 선택은 목적하는 원하는 필름 조성에 기초하여 정해질 것이다. 전구체 도입 단계는 퇴적층이 적합한 두께를 달성할 때까지 반복될 수 있다. 당업자는 공간적 ALD 장치를 이용하는 경우 도입 펄스가 동시적일 수 있다는 것을 알 것이다. PCT 공개 번호 WO2011/123792 에 기재된 바와 같이, 전구체의 도입 순서는 다양할 수 있으며, SiCN 필름 내 탄소 및 질소의 양을 조정하기 위해, NH3 공-반응물을 이용하여 또는 이용하지 않고 퇴적을 수행할 수 있다.
상기 논의된 방법으로부터 수득된 규소-함유 필름은 SiO2, SiN, SiON, SiCN, SiCOH, 또는 MSiOx (식 중, M 은 Hf, Zr, Ti, Nb, Ta, 또는 Ge 등의 원소이며, x 는 물론 M 의 산화 상태에 따라서 4 일 수 있음) 를 포함할 수 있다. 당업자는 적절한 유기실란 전구체 및 공-반응물의 신중한 선택에 의해, 원하는 필름 조성이 수득될 수 있다는 것을 알 것이다.
원하는 필름 두께를 수득하게 되면, 필름을 열적 어닐링, 퍼니스-어닐링, 급격한 열적 어닐링, UV 또는 e-빔 경화, 및/또는 플라즈마 가스 노출 등의 추가 가공으로 처리할 수 있다. 당업자는 이들 추가적인 가공 단계를 수행하는데 이용된 시스템 및 방법을 알고 있다. 예를 들어, 규소-함유 필름은 대략 0.1 초 내지 대략 7200 초 범위의 시간 동안 불활성 분위기, H-함유 분위기, N-함유 분위기, O-함유 분위기, 또는 그 조합에서 대략 200 ℃ 내지 대략 1000 ℃ 범위의 온도에 노출될 수 있다. 가장 바람직하게는, 온도는 3600 초 미만 동안 H-함유 분위기 하에서 600 ℃ 이다. 수득된 필름은 불순물을 거의 함유하지 않을 수 있으며 따라서 개선된 성능 특성을 가질 수 있다. 어닐링 단계는 퇴적 공정이 수행된 동일 반응 챔버에서 수행될 수 있다. 대안적으로는, 기판을 반응 챔버로부터 제거하고, 어닐링/플래쉬 어닐링 공정을 별개의 장치에서 수행할 수 있다. 상기 후-처리 방법 중 임의의 것, 특히 열적 어닐링은 규소-함유 필름의 탄소 및 질소 오염을 저감시키는데 효과적인 것으로 밝혀졌다.
첨부된 청구범위에 표현된 바와 같은 본 발명의 원리 및 범위 내에서 당업자는 본 발명의 특성을 설명하기 위해 본원에 개시 및 예시한, 상세 내용, 물질, 단계, 및 파트 배열에 있어서 다수의 추가적인 변경을 행할 수 있다는 것이 이해될 것이다. 따라서, 본 발명은 상기 제시된 예시 및/또는 첨부된 도면에서의 특정 구현예에 제한되지 않는 것이다.

Claims (15)

  1. 하기 식을 갖는 Si-함유 박막 형성 전구체:
    Figure pct00073

    (식 중, 각 L1 및 L2 는 질소 원자이고; L1 및 L2 는 2 내지 3 개의 탄소 원자를 갖는 탄소 브릿지를 통해 함께 결합되고; L1, L2 및 탄소 브릿지는 규소에 결합된 1 가 음이온성 (monoanionic) 리간드를 형성함).
  2. 제 1 항에 있어서, 하기 식을 갖는 Si-함유 박막 형성 전구체:
    Figure pct00074

    (식 중, R1, R2, R3, R4, 및 R5 는 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로고리일 수 있음).
  3. 제 2 항에 있어서, Si-함유 박막 형성 전구체가 H3Si(-(iPr)N-C3H3-N(iPr)-) 인 Si-함유 박막 형성 전구체.
  4. 제 1 항에 있어서, 하기 식을 갖는 Si-함유 박막 형성 전구체:
    Figure pct00075

    (식 중, R1, R2, R3, R4, R5, 및 R6 은 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로고리일 수 있음).
  5. 제 4 항에 있어서, Si-함유 박막 형성 전구체가 H3Si(-(iPr)N-C3H6-N(Me)2-) 인 Si-함유 박막 형성 전구체.
  6. 제 1 항에 있어서, 하기 식을 갖는 Si-함유 박막 형성 전구체:
    Figure pct00076

    (식 중, R1, R2, R3, R4, 및 R5 는 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로고리일 수 있음).
  7. 제 6 항에 있어서, Si-함유 박막 형성 전구체가 H3Si(-(iPr)N-CH2CH=N(iPr)-) 인 Si-함유 박막 형성 전구체.
  8. 제 1 항에 있어서, 하기 식을 갖는 Si-함유 박막 형성 전구체:
    Figure pct00077

    (식 중, R1, R2, R3, R4, 및 R5 는 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로고리일 수 있음).
  9. 제 8 항에 있어서, Si-함유 박막 형성 전구체가 H3Si((iPr)NC2H4N(Me)2) 인 Si-함유 박막 형성 전구체.
  10. 하나 이상의 제 1 항 내지 제 9 항 중 어느 한 항의 Si-함유 박막 형성 전구체를 하나 이상의 기판이 안에 배치되어 있는 반응기 내에 도입하고,
    증기 퇴적법을 이용하여 Si-함유 박막 형성 전구체 중 적어도 일부를 하나 이상의 기판 위에 퇴적시켜 Si-함유 층을 형성하는
    것을 포함하는, 기판 상에 Si-함유 층을 퇴적시키는 방법.
  11. 제 10 항에 있어서, 하나 이상의 공-반응물을 반응기 내에 도입하는 것을 추가로 포함하는 방법.
  12. 제 11 항에 있어서, 공-반응물이 O2, O3, H2O, H2O2, NO, NO2, 카르복실산, 그 라디칼, 및 그 조합, 바람직하게는 플라즈마 처리된 산소 또는 오존으로 이루어진 군으로부터 선택되는 방법.
  13. 제 11 항에 있어서, 공-반응물이 H2, NH3, (SiH3)3N, 히드리도실란 (예컨대 SiH4, Si2H6, Si3H8, Si4H10, Si5H10, Si6H12), 클로로실란 및 클로로폴리실란 (예컨대 SiHCl3, SiH2Cl2, SiH3Cl, Si2Cl6, Si2HCl5, Si3Cl8), 알킬실란 (예컨대 Me2SiH2, Et2SiH2, MeSiH3, EtSiH3), 히드라진 (예컨대 N2H4, MeHNNH2, MeHNNHMe), 유기 아민 (예컨대 NMeH2, NEtH2, NMe2H, NEt2H, NMe3, NEt3, (SiMe3)2NH), 피라졸린, 피리딘, B-함유 분자 (예컨대 B2H6, 9-보라바이시클로[3,3,1]논, 트리메틸보론, 트리에틸보론, 보라진), 알킬 금속 (예컨대 트리메틸알루미늄, 트리에틸알루미늄, 디메틸아연, 디에틸아연), 그 라디칼 종, 및 그 혼합물로 이루어진 군으로부터 선택되는 방법.
  14. 제 13 항에 있어서, 공-반응물이 H2, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, 그 수소 라디칼, 및 그 혼합물로 이루어진 군으로부터 선택되는 방법.
  15. 제 13 항에 있어서, 공-반응물이 SiHCl3, Si2Cl6, Si2HCl5, Si2H2Cl4, 및 시클로-Si6H6Cl6 로 이루어진 군으로부터 선택되는 방법.
KR1020157001394A 2012-07-20 2013-07-19 Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체 KR20150036122A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261674103P 2012-07-20 2012-07-20
US61/674,103 2012-07-20
PCT/US2013/051249 WO2014015237A1 (en) 2012-07-20 2013-07-19 Organosilane precursors for ald/cvd silicon-containing film applications

Publications (1)

Publication Number Publication Date
KR20150036122A true KR20150036122A (ko) 2015-04-07

Family

ID=49949268

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020157001394A KR20150036122A (ko) 2012-07-20 2013-07-19 Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체
KR1020147023486A KR20150034123A (ko) 2012-07-20 2013-07-19 Ald/cvd 규소-함유 필름 적용을 위한 유기실란 전구체
KR1020157001339A KR20150036114A (ko) 2012-07-20 2013-07-19 Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020147023486A KR20150034123A (ko) 2012-07-20 2013-07-19 Ald/cvd 규소-함유 필름 적용을 위한 유기실란 전구체
KR1020157001339A KR20150036114A (ko) 2012-07-20 2013-07-19 Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체

Country Status (7)

Country Link
US (3) US9371338B2 (ko)
EP (1) EP2875166B1 (ko)
JP (3) JP2015528011A (ko)
KR (3) KR20150036122A (ko)
CN (1) CN104080944B (ko)
TW (4) TWI631129B (ko)
WO (4) WO2014015241A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180018726A (ko) * 2015-06-16 2018-02-21 버슘머트리얼즈 유에스, 엘엘씨 할라이도실란 화합물 및 조성물 및 이를 사용하여 규소-함유 막을 증착시키기 위한 공정
KR20190046191A (ko) * 2017-10-25 2019-05-07 한국화학연구원 실리콘 아미노아미드 이미드 화합물, 이의 제조방법 및 이를 이용하여 박막을 형성하는 방법

Families Citing this family (394)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8993460B2 (en) * 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP2015528011A (ja) 2012-07-20 2015-09-24 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9284642B2 (en) * 2013-09-19 2016-03-15 Asm Ip Holding B.V. Method for forming oxide film by plasma-assisted processing
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR20150109984A (ko) * 2014-03-21 2015-10-02 삼성전자주식회사 기체 차단 필름, 이를 포함하는 냉장고 및 기체 차단 필름의 제조방법
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6494411B2 (ja) * 2014-06-24 2019-04-03 東京エレクトロン株式会社 成膜方法および成膜装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
WO2016054566A1 (en) * 2014-10-02 2016-04-07 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organodisilane precursors for ald/cvd silicon-containing film applications
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
SG11201703196WA (en) * 2014-10-24 2017-05-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing films
CN104447838B (zh) * 2014-11-06 2017-12-29 江南大学 一种β二亚胺基硅化合物及其应用
CN104341447B (zh) * 2014-11-06 2017-06-16 江南大学 一种含n脒基硅化合物及其应用
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10570513B2 (en) 2014-12-13 2020-02-25 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
KR20210024208A (ko) * 2015-05-22 2021-03-04 지앙수 나타 옵토-일렉트로닉 매터리얼스 컴퍼니 리미티드 펜타클로로다이실란
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US20170040158A1 (en) * 2015-08-06 2017-02-09 Applied Materials, Inc. Low temperature ald on semiconductor and metallic surfaces
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9941425B2 (en) * 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
WO2017127044A1 (en) * 2016-01-18 2017-07-27 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Si-containing film forming compositions for ald/cvd of silicon-containing films
GB201601221D0 (en) * 2016-01-22 2016-03-09 Semblant Ltd Coated electrical assembly
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6473269B2 (ja) * 2016-02-29 2019-02-20 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US11479858B2 (en) * 2016-10-12 2022-10-25 Dow Silicones Corporation Thio(di)silanes
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10049882B1 (en) 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP7061432B2 (ja) * 2017-02-16 2022-04-28 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Si含有膜の成膜方法
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) * 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP2021507123A (ja) * 2017-12-20 2021-02-22 ビーエイエスエフ・ソシエタス・エウロパエアBasf Se 金属含有膜の生成方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US20200040454A1 (en) * 2018-08-06 2020-02-06 Lam Research Corporation Method to increase deposition rate of ald process
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10367415B1 (en) * 2018-08-28 2019-07-30 Ferric Inc. Processor module with integrated packaged power converter
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102018318B1 (ko) * 2018-09-11 2019-09-04 주식회사 유진테크 박막 형성 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
JP7386732B2 (ja) * 2020-03-06 2023-11-27 東京エレクトロン株式会社 成膜方法
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
TWI723856B (zh) * 2020-04-28 2021-04-01 逢甲大學 大氣常壓低溫電漿沉積抗刮疏水層的方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN117321504A (zh) * 2021-04-23 2023-12-29 恩特格里斯公司 用于低曝光剂量euv辐射的高量子效率干式抗蚀剂
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US20220406595A1 (en) * 2021-06-22 2022-12-22 Applied Materials, Inc. Novel oxidants and strained-ring precursors
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2590039A (en) 1948-07-30 1952-03-18 Socony Vacuum Oil Co Inc Reaction of organosiliconhalides with organodithiols and diselenyl substituted organo compounds, and products thereof
NL126402C (ko) 1964-11-16
US4491669A (en) * 1980-11-12 1985-01-01 Petrarch Systems Inc. Mixed alkoxyaminosilanes, methods of making same and vulcanizing silicons prepared therefrom
FR2575466B1 (fr) 1984-12-27 1987-02-20 Centre Nat Rech Scient Nouveaux complexes de silicium hexacoordines, leur procede de preparation et leur application
JPH06132284A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
JPH06132276A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体膜形成方法
JP2716330B2 (ja) 1992-11-13 1998-02-18 セントラル硝子株式会社 低反射ガラスおよびその製法
JP2000195801A (ja) 1998-12-24 2000-07-14 Fujitsu Ltd 半導体装置の製造方法
US6649083B1 (en) 1999-08-12 2003-11-18 Board Of Trustees Of Michigan State University Combined porous organic and inorganic oxide materials prepared by non-ionic surfactant templating route
US6736993B1 (en) 2000-04-18 2004-05-18 Advanced Technology Materials, Inc. Silicon reagents and low temperature CVD method of forming silicon-containing gate dielectric materials using same
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US20030118725A1 (en) * 2001-11-02 2003-06-26 Shipley Company, L.L.C. Precursor compounds for metal oxide film deposition and methods of film deposition using the same
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
KR20150067397A (ko) 2002-11-15 2015-06-17 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
TWI282124B (en) * 2002-11-28 2007-06-01 Tosoh Corp Insulating film material containing an organic silane compound, its production method and semiconductor device
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
JP2005213633A (ja) 2004-02-02 2005-08-11 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US20060045986A1 (en) 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
US7332618B2 (en) 2004-09-28 2008-02-19 Praxair Technology, Inc. Organometallic precursor compounds
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP4554446B2 (ja) 2005-06-21 2010-09-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
DE102006029430A1 (de) * 2006-06-27 2008-01-03 Wacker Chemie Ag Verfahren zur Herstellung von siliciumorganischen Verbindungen durch Hydrosilylierung in ionischen Flüssigkeiten
US8101788B2 (en) * 2006-09-29 2012-01-24 Air Liquide Electronics U.S. Lp Silicon precursors and method for low temperature CVD of silicon-containing films
SG176449A1 (en) 2006-11-02 2011-12-29 Advanced Tech Materials Antimony and germanium complexes useful for cvd/ald of metal thin films
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
WO2008128141A2 (en) 2007-04-12 2008-10-23 Advanced Technology Materials, Inc. Zirconium, hafnuim, titanium, and silicon precursors for ald/cvd
JP2011511881A (ja) 2007-06-28 2011-04-14 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 二酸化ケイ素ギャップ充填材のための前駆体
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
KR101802124B1 (ko) 2008-06-05 2017-11-27 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 란탄족 함유 전구체의 제조 및 란탄족 함유 필름의 증착 방법
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
KR101308572B1 (ko) * 2009-07-21 2013-09-13 주식회사 유엠티 실리콘을 함유하는 박막 증착을 위한 실리콘 전구체 제조 방법
US20130022745A1 (en) 2009-08-14 2013-01-24 American Air Liquide, Inc. Silane blend for thin film vapor deposition
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8535760B2 (en) 2009-09-11 2013-09-17 Air Products And Chemicals, Inc. Additives to silane for thin film silicon photovoltaic devices
SG183291A1 (en) * 2010-02-17 2012-09-27 Air Liquide VAPOR DEPOSITION METHODS OF SiCOH LOW-K FILMS
JP5216163B2 (ja) 2010-03-29 2013-06-19 楽天株式会社 サーバ装置、情報提供方法、情報提供プログラム、情報提供プログラムが記録された記録媒体、及び情報提供システム
US20130078376A1 (en) 2010-04-01 2013-03-28 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors
US8404878B2 (en) 2010-04-07 2013-03-26 American Air Liquide, Inc. Titanium-containing precursors for vapor deposition
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
EP2444405A1 (en) 2010-10-07 2012-04-25 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal compounds for deposition of chalcogenide films at low temperature
US20120277457A1 (en) 2010-10-12 2012-11-01 Air Products And Chemicals, Inc. Aminosilanes and methods for making same
KR20120078909A (ko) 2011-01-03 2012-07-11 닛산 가가쿠 고교 가부시키 가이샤 신규한 유기아미노 규소 화합물 및 이를 이용한 규소 함유 박막
BR112013019812B1 (pt) 2011-02-05 2021-06-15 Bridgestone Corporation Catalisadores de complexo metálico e métodos de polimerização aplicando o mesmo
WO2012176988A1 (en) 2011-06-24 2012-12-27 Up Chemical Co., Ltd. Organometallic compound, preparing method of the same, and preparing method of thin film using the same
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
DE102012002408B4 (de) 2012-02-09 2015-09-24 Fachhochschule Düsseldorf Messanordnung und Verfahren zur Erfassung der Kraftbeanspruchung bei einem biegeweichen Objekt
JP2015528011A (ja) 2012-07-20 2015-09-24 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
CN104341447B (zh) 2014-11-06 2017-06-16 江南大学 一种含n脒基硅化合物及其应用
CN104447838B (zh) 2014-11-06 2017-12-29 江南大学 一种β二亚胺基硅化合物及其应用

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180018726A (ko) * 2015-06-16 2018-02-21 버슘머트리얼즈 유에스, 엘엘씨 할라이도실란 화합물 및 조성물 및 이를 사용하여 규소-함유 막을 증착시키기 위한 공정
KR20210118966A (ko) * 2015-06-16 2021-10-01 버슘머트리얼즈 유에스, 엘엘씨 할라이도실란 화합물 및 조성물 및 이를 사용하여 규소-함유 막을 증착시키기 위한 공정
US11268190B2 (en) 2015-06-16 2022-03-08 Versum Materials Us, Llc Processes for depositing silicon-containing films using halidosilane compounds
US11913112B2 (en) 2015-06-16 2024-02-27 Versum Materials Us, Llc Processes for depositing silicon-containing films using halidosilane compounds and compositions
KR20190046191A (ko) * 2017-10-25 2019-05-07 한국화학연구원 실리콘 아미노아미드 이미드 화합물, 이의 제조방법 및 이를 이용하여 박막을 형성하는 방법

Also Published As

Publication number Publication date
KR20150034123A (ko) 2015-04-02
KR20150036114A (ko) 2015-04-07
EP2875166B1 (en) 2018-04-11
TW201412763A (zh) 2014-04-01
WO2014015232A1 (en) 2014-01-23
TWI631129B (zh) 2018-08-01
US20150004317A1 (en) 2015-01-01
TWI579292B (zh) 2017-04-21
TW201410690A (zh) 2014-03-16
JP2015525774A (ja) 2015-09-07
WO2014015248A1 (en) 2014-01-23
US9371338B2 (en) 2016-06-21
EP2875166A4 (en) 2016-06-01
JP2015525773A (ja) 2015-09-07
WO2014015241A1 (en) 2014-01-23
TW201412762A (zh) 2014-04-01
JP6242026B2 (ja) 2017-12-06
US9938303B2 (en) 2018-04-10
CN104080944B (zh) 2016-08-24
US9593133B2 (en) 2017-03-14
WO2014015237A1 (en) 2014-01-23
JP2015528011A (ja) 2015-09-24
EP2875166A1 (en) 2015-05-27
TW201410689A (zh) 2014-03-16
TWI620751B (zh) 2018-04-11
TWI586678B (zh) 2017-06-11
CN104080944A (zh) 2014-10-01
US20150166577A1 (en) 2015-06-18
US20150166576A1 (en) 2015-06-18

Similar Documents

Publication Publication Date Title
EP2875166B1 (en) Organosilane precursors for ald/cvd silicon-containing film applications
US9822132B2 (en) Hexacoordinate silicon-containing precursors for ALD/CVD silicon-containing film applications
KR102113412B1 (ko) Si-포함 필름 형성 조성물 및 이의 사용 방법
US20160314962A1 (en) Cyclic organoaminosilane precursors for forming silicon-containing films and methods of using the same
JP6993474B2 (ja) Si含有膜形成組成物
US9969756B2 (en) Carbosilane substituted amine precursors for deposition of Si-containing films and methods thereof
KR20180030548A (ko) 알킬아미노 치환 할로카보실란 전구체
US9382268B1 (en) Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US10053775B2 (en) Methods of using amino(bromo)silane precursors for ALD/CVD silicon-containing film applications
KR20170027814A (ko) 알킬아미노 치환 카보실란 전구체
US10570513B2 (en) Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
KR102461078B1 (ko) Ald/cvd 규소-함유 필름 응용을 위한 오르가노디실란 전구체
WO2017127044A1 (en) Si-containing film forming compositions for ald/cvd of silicon-containing films

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination