JP6993474B2 - Si含有膜形成組成物 - Google Patents

Si含有膜形成組成物 Download PDF

Info

Publication number
JP6993474B2
JP6993474B2 JP2020117629A JP2020117629A JP6993474B2 JP 6993474 B2 JP6993474 B2 JP 6993474B2 JP 2020117629 A JP2020117629 A JP 2020117629A JP 2020117629 A JP2020117629 A JP 2020117629A JP 6993474 B2 JP6993474 B2 JP 6993474B2
Authority
JP
Japan
Prior art keywords
sih
forming composition
containing film
precursor
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020117629A
Other languages
English (en)
Other versions
JP2020196714A (ja
Inventor
ショーン・ケリガン
アントニオ・サンチェス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of JP2020196714A publication Critical patent/JP2020196714A/ja
Application granted granted Critical
Publication of JP6993474B2 publication Critical patent/JP6993474B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Low-Molecular Organic Synthesis Reactions Using Catalysts (AREA)

Description

関連出願の相互参照
本出願は、あらゆる目的のために全体が参照により本明細書に援用される、2015年3月30日に出願された米国仮特許出願第62/140,415号明細書の利益を主張するものである。
Si-N含有前駆体を含むSi含有膜形成組成物、それを合成する方法、およびそれを蒸着に使用する方法が開示される。特に、アンモニア、アミンおよびアミジンによるカルボシランの触媒脱水素カップリングにより、Si-N含有前駆体が生成される。
シリルアミンなどの、Si-N結合を有する前駆体が、塩基の存在下で、アミンまたはアンモニアとのクロロシランの反応を介して合成されている(“Disilanylamines.Compounds comprising the structural
unit silicon-silicon-nitrogen,as single-source precursors for plasma-enhanced chemical vapor deposition(PE-CVD)of silicon nitride”Schuh,H.;Schlosser,T.;Bissinger,P.;Schmidbaur,H.Zeitschrift fuer Anorganische und Allgemeine Chemie 1993,619(8),1347-1352)。しかしながら、このタイプの反応は、クロロシランの毒性および相対的不安定性の両方の観点から、また、大量のハロゲン化アンモニウムが副生成物として生成され得るため、望ましくないことがある(“Aminolysis of the Si-Cl bond and ligand exchange reaction between silicon amido derivatives and SiCl4:synthetic applications and kinetic investigations”Passarelli,V.;Carta,G.;Rossetto,G.;Zanella,P.Dalton Trans.2003,(3),413-419)。さらに、前駆体が堆積される基板が、塩基と適合する必要があるため、この従来の手法のさらなる欠点は、基板に対するこの制限も有し得る。
シランおよびN含有分子の脱水素カップリング反応により、塩化物フリーかつ塩基フリーのSi-N含有化合物が生成され得る。Harrodら(“Dehydrocoupling of Ammonia and Silanes”,Liu,H.Q.;Harrod,J.F.Organometallics(1992),11,p822-827)は、ジメチルチタノセンが、2つの異なるフェニルシランによるアンモニアの脱水素カップリングのための触媒として使用されたことを開示した。さらに最近では、Cuiら(“[(NHC)Yb{N(SiMe]-Catalyzed Cross-Dehydrogenative Coupling of Silanes with
Amines”,Xie,W.;Hu,H.;Cui,C.Angewandte(2012),124,p11303-11306)が、イッテルビウム系触媒によって触媒される芳香族シランおよびアミンの脱水素カップリングを記載している。2015年には、アミンによるヒドロシランの脱水素カップリングが、Mitsudomeら(“Palladium nanoparticles supported on graphene as catalysts for the dehydrogenative coupling of hydrosilanes and amines”,Garcia et al.Catal.Sci.Techcnol.2015,5(3),1
969)によって記載された。
(EtN)SiHCHCHSiH、(EtN)SiHCHCHSiH(NEt)、および(EtN)SiHCHCHSiH(NEtなどのSi-N含有化合物が、二相触媒NaNH/18-クラウン-6の存在下で、1,4-ジシラブタンHSi(CHSiHおよびn-テトラシランHSiSiHSiHSiHを、アルカン溶媒中で適切な当量のジエチルアミンと反応させることによって、Schmidbaurら(“Differences in Reactivity of 1,4-Disilabutane and n-Tetrasilane
towards Secondary Amines”,Hubert Schmidbaur and Heinz Schuh,Z.Naturforsch(1990),45b,1679-1863)によって生成された。
Air Productsの米国特許出願公開第2015/087139号明細書には、式(RN)-SiH3-n-R-SiH3-m(NRで表されるオルガノアミノシラン化合物が開示されている。
望ましい電気的および物理的特性を有する膜を形成するための塩化物フリーかつ塩基フリーの蒸着に好適なSi-N脱水素カップリング前駆体が必要とされている。
Si-N含有前駆体を含むSi含有膜形成組成物が開示される。Si-N含有前駆体は、下式:
N-SiHR-(CH-SiH
(式中、n=1または2であり;RおよびRが、独立して、H、C-Cアルキル基、またはC-C20アリール、複素環またはシクロアルキル基であり得;RおよびRが、独立して、H;アミノ基[-NRR’](ここで、RおよびR’がそれぞれ、独立して、H、C-Cアルキル基;C-C20アリール、複素環またはシクロアルキル基である);または式-N(R)C(Me)=NR’基で表されるアミジナート基(ここで、RおよびR’が、独立して、H、C-Cアルキル基、またはC-C20アリール、複素環またはシクロアルキル基である)であり得;ただし、n=2である場合、R=R≠Et、R≠NEt、R≠HまたはNEtである)で表される。開示されるSi含有膜形成組成物は、以下の態様のうちの1つまたは複数を有し得る:
・C-C20アリール、複素環またはシクロアルキル基は、-SiH-(CH-SiH、-SiH-(CH-SiH-NH-SiH-(CH-SiH、-NH-SiH-(CH-SiH、または-C(Me)=NR基であり、ここで、Rが、独立して、H、C-Cアルキル基、またはC-C20アリール、複素環またはシクロアルキル基であり得;
・RおよびRが、独立して、H;C-Cアルキル基;C-C20アリール、複素環またはシクロアルキル基;-SiH-(CH-SiH;-SiH-(CH-SiH-NH-SiH-(CH-SiH;-NH-SiH-(CH-SiH;または-C(Me)=NRであり得、ここで、Rが、独立して、H、C-Cアルキル基、またはC-C20アリール、複素環またはシクロアルキル基であり得;
・R=-SiH-(CH-SiHであり;
・R=R=R=Hであり;
・Si-N含有前駆体は、式:
Figure 0006993474000001
で表され、
・Si-N含有前駆体は、式HSi-CH-SiH-NH-SiH-CH-SiHで表され;
・Si-N含有前駆体は、式HSi-CH-CH-SiH-NH-SiH-CH-CH-SiHで表され;
・R=R=-SiH-(CH-SiHであり;
・R=R=Hであり;
・Si-N含有前駆体は、式:
Figure 0006993474000002
で表され、
・Si-N含有前駆体は、N-(SiH-CH-SiHであり;
・Si-N含有前駆体は、N-(SiH-CH-CH-SiHであり;
・Si-N含有前駆体は、N(SiH(NH)-CH-SiHであり;
・Si-N含有前駆体は、N(SiH(NMe)-CH-SiHであり;
・Si-N含有前駆体は、N(SiH(NMeEt)-CH-SiHであり;
・Si-N含有前駆体は、N(SiH(NEt)-CH-SiHであり;
・Si-N含有前駆体は、N(SiH(NnPr)-CH-SiHであり;
・Si-N含有前駆体は、N(SiH(NiPr)-CH-SiHであり;
・Si-N含有前駆体は、N(SiH(NBu)-CH-SiHであり;
・Si-N含有前駆体は、N(SiH(NiBu)-CH-SiHであり;
・Si-N含有前駆体は、N(SiH(NtBu)-CH-SiHであり;
・Si-N含有前駆体は、N(SiH(NAm)-CH-SiHであり;
・Si-N含有前駆体は、N(SiH(NCyペンチル)-CH-SiHであり;
・Si-N含有前駆体は、N(SiH(Nヘキシル)-CH-SiHであり;
・Si-N含有前駆体は、N(SiH(NCyHex)-CH-SiHであり;
・Si-N含有前駆体は、N(SiH(NMeH)-CH-SiHであり;
・Si-N含有前駆体は、N(SiH(NEtH)-CH-SiHであり;
・Si-N含有前駆体は、N(SiH(NnPrH)-CH-SiHであり;
・Si-N含有前駆体は、N(SiH(NiPrH)-CH-SiHであり;
・Si-N含有前駆体は、N(SiH(NBuH)-CH-SiHであり;
・Si-N含有前駆体は、N(SiH(NiBuH)-CH-SiHであり;
・Si-N含有前駆体は、N(SiH(NtBuH)-CH-SiHであり;
・Si-N含有前駆体は、N(SiH(NAmH)-CH-SiHであり;
・Si-N含有前駆体は、N(SiH(ピリジン)-CH-SiHであり;
・Si-N含有前駆体は、N(SiH(ピロール)-CH-SiHであり;
・Si-N含有前駆体は、N(SiH(ピロリジン)-CH-SiHであり;
・Si-N含有前駆体は、N(SiH(イミダゾール)-CH-SiHであり;
・Si-N含有前駆体は、N(SiH(ピペリジン)-CH-SiHであり;
・Si-N含有前駆体は、N(SiH(ピリミジン)-CH-SiHであり;
・Si-N含有前駆体は、N(SiH-CH-SiH(NH))であり;
・Si-N含有前駆体は、N(SiH-CH-SiH(NMe))であり;
・Si-N含有前駆体は、N(SiH-CH-SiH(NMeEt))であり;
・Si-N含有前駆体は、N(SiH-CH-SiH(NEt))であり;
・Si-N含有前駆体は、N(SiH-CH-SiH(NnPr))であり;
・Si-N含有前駆体は、N(SiH-CH-SiH(NiPr))であり;
・Si-N含有前駆体は、N(SiH-CH-SiH(NBu))であり;
・Si-N含有前駆体は、N(SiH-CH-SiH(NiBu))であり;
・Si-N含有前駆体は、N(SiH-CH-SiH(NtBu))であり;
・Si-N含有前駆体は、N(SiH-CH-SiH(NAm))であり;
・Si-N含有前駆体は、N(SiH-CH-SiH(NCyペンチル))であり;
・Si-N含有前駆体は、N(SiH-CH-SiH(Nヘキシル))であり;
・Si-N含有前駆体は、N(SiH-CH-SiH(NCyHex))であり;
・Si-N含有前駆体は、N(SiH-CH-SiH(NMeH))であり;
・Si-N含有前駆体は、N(SiH-CH-SiH(NEtH))であり;
・Si-N含有前駆体は、N(SiH-CH-SiH(NnPrH))であり;
・Si-N含有前駆体は、N(SiH-CH-SiH(NiPrH))であり;
・Si-N含有前駆体は、N(SiH-CH-SiH(NBuH))であり;
・Si-N含有前駆体は、N(SiH-CH-SiH(NiBuH))であり;
・Si-N含有前駆体は、N(SiH-CH-SiH(NtBuH))であり;
・Si-N含有前駆体は、N(SiH-CH-SiH(NAmH))であり;
・Si-N含有前駆体は、N(SiH-CH-SiH(ピリジン))であり;
・Si-N含有前駆体は、N(SiH-CH-SiH(ピロール))であり;
・Si-N含有前駆体は、N(SiH-CH-SiH(ピロリジン))であり;
・Si-N含有前駆体は、N(SiH-CH-SiH(イミダゾール))であり;
・Si-N含有前駆体は、N(SiH-CH-SiH(ピリミジン))であり
・Si-N含有前駆体は、N(SiH-CH-SiH(ピペリジン))であり;
・Si-N含有前駆体は、N(SiH(NH)-CH-SiH(NH))であり;
・Si-N含有前駆体は、N(SiH(NMe)-CH-SiH(NMe))であり;
・Si-N含有前駆体は、N(SiH(NMeEt)-CH-SiH(NMeEt))であり;
・Si-N含有前駆体は、N(SiH(NEt)-CH-SiH(NEt))であり;
・Si-N含有前駆体は、N(SiH(NnPr)-CH-SiH(NnPr))であり;
・Si-N含有前駆体は、N(SiH(NiPr)-CH-SiH(NiPr))であり;
・Si-N含有前駆体は、N(SiH(NBu)-CH-SiH(NBu))であり;
・Si-N含有前駆体は、N(SiH(NiBu)-CH-SiH(NiBu))であり;
・Si-N含有前駆体は、N(SiH(NtBu)-CH-SiH(NtBu))であり;
・Si-N含有前駆体は、N(SiH(NAm)-CH-SiH(NAm))であり;
・Si-N含有前駆体は、N(SiH(NCyペンチル)-CH-SiH(NCyペンチル))であり;
・Si-N含有前駆体は、N(SiH(Nヘキシル)-CH-SiH(Nヘキシル))であり;
・Si-N含有前駆体は、N(SiH(NCyHex)-CH-SiH(NCyHex))であり;
・Si-N含有前駆体は、N(SiH(NMeH)-CH-SiH(NMeH))であり;
・Si-N含有前駆体は、N(SiH(NEtH)-CH-SiH(NEtH))であり;
・Si-N含有前駆体は、N(SiH(NnPrH)-CH-SiH(NnPrH))であり;
・Si-N含有前駆体は、N(SiH(NiPrH)-CH-SiH(NiPrH))であり;
・Si-N含有前駆体は、N(SiH(NBuH)-CH-SiH(NBuH))であり;
・Si-N含有前駆体は、N(SiH(NiBuH)-CH-SiH(NiBuH))であり;
・Si-N含有前駆体は、N(SiH(NtBuH)-CH-SiH(NtBuH))であり;
・Si-N含有前駆体は、N(SiH(NAmH)-CH-SiH(NAmH))であり;
・Si-N含有前駆体は、N(SiH(ピリジン)-CH-SiH(ピリジン))であり;
・Si-N含有前駆体は、N(SiH(ピロール)-CH-SiH(ピロール))であり;
・Si-N含有前駆体は、N(SiH(ピロリジン)-CH-SiH(ピロリジン))であり;
・Si-N含有前駆体は、N(SiH(イミダゾール)-CH-SiH(イミダゾール))であり;
・Si-N含有前駆体は、N(SiH(ピペリジン)-CH-SiH(イミダゾール))であり;
・Si-N含有前駆体は、N(SiH(ピリミジン)-CH-SiH(イミダゾール))であり;
・R=Rであり;
・R=-SiH-(CH-SiHであり;
・R=R=Hであり;
・Si-N含有前駆体は、式:
Figure 0006993474000003
で表され、
・Si-N含有前駆体は、(Me)N(SiH-CH-SiHであり;
・Si-N含有前駆体は、(Et)N(SiH-CH-SiHであり、
・Si-N含有前駆体は、(nPr)N(SiH-CH-SiHであり;
・Si-N含有前駆体は、(iPr)N(SiH-CH-SiHであり;
・Si-N含有前駆体は、(Bu)N(SiH-CH-SiHであり;
・Si-N含有前駆体は、(iBu)N(SiH-CH-SiHであり;
・Si-N含有前駆体は、(tBu)N(SiH-CH-SiHであり;
・Si-N含有前駆体は、(アミル)N(SiH-CH-SiHであり;
・Si-N含有前駆体は、(ヘキシル)N(SiH-CH-SiHであり;
・R=Rであり;
・R=R’であり;
・R=R=Hであり;
・Si-N含有前駆体は、式:
Figure 0006993474000004
で表され、
・Si-N含有前駆体は、式HSi-CH-SiH-NEtで表され;
・Si-N含有前駆体は、式HSi-CH-SiH-NiPrで表され;
・R=Rであり;
・R=R’であり;
・R=Hであり;
・R=-NRR’であり;
・Si-N含有前駆体は、式:
Figure 0006993474000005
で表され、
・R=Rであり;
・R=R’であり;
・R=-NRR’であり;
・R=Hであり;
・Si-N含有前駆体は、式:
Figure 0006993474000006
で表され、
・R=Rであり;
・R=-C(Me)=NRであり;
・R=R=Hであり;
・Si-N含有前駆体は、式HSi(CHSiHN(R)C(Me)=NRで表され、;
・R=Rであり;
・R=-C(Me)=NRであり;
・R=Hであり;
・R=-N(R)C(Me)=NR’であり;
・Si-N含有前駆体は、式:
RN=C(Me)N(R)SiH(CHSiHN(R)C(Me)=NRで表され、;
・R=-SiH-(CH-SiH-NH-SiH-(CH-SiHであり;
・R=R=R=Hであり;
・Si-N含有前駆体は、式:
Si(CHSiHNHSiH(CHSiHNHSiH(CHSiHで表され;
・R=R=R=Hであり;
・R=-NH-SiH-(CH-SiHであり;
・Si-N含有前駆体は、式HSi(CHSiHNHSiH(CHSiHNHで表され;
・Si含有膜形成組成物は、約95% w/w~約100% w/wの前駆体を含み;
・Si含有膜形成組成物は、約5% w/w~約50% w/wの前駆体を含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのAlを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのAsを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのBaを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのBeを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのBiを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのCdを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのCaを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのCrを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのCoを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのCuを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのGaを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのGeを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのHfを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのZrを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのInを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのFeを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのPbを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのLiを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのMgを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのMnを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのWを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのNiを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのKを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのNaを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのSrを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのThを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのSnを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのTiを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのUを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのVを含み;
・Si含有膜形成組成物は、約0ppbw~約500ppbwのZnを含み;
・Si含有膜形成組成物は、約0ppmw~約500ppmwのClを含み;
・Si含有膜形成組成物は、約0ppmw~約500ppmwのBrを含み;
・Si含有膜形成組成物は、約0ppmw~約500ppmwのIを含み;
・Si含有膜形成組成物は、約0.0% w/w~0.1% w/wの、Si-(CH2)n-Si骨格を有する未反応反応剤を含み;
・Si含有膜形成組成物は、約0.0% w/w~0.1% w/wのジシラプロパンを含み;
・Si含有膜形成組成物は、約0.0% w/w~0.1% w/wのジシラブタンを含み;
・Si含有膜形成組成物は、約0.0% w/w~0.1% w/wのNHを含み;
・Si含有膜形成組成物は、約0.0% w/w~0.1% w/wのアルキルアミンを含み;
・Si含有膜形成組成物は、約0.0% w/w~0.1% w/wのジアルキルアミンを含み;
・Si含有膜形成組成物は、約0.0% w/w~0.1% w/wのアルキルイミンを含み;
・Si含有膜形成組成物は、約0.0% w/w~0.1% w/wのアミジンを含み;
・Si含有膜形成組成物は、約0.0% w/w~0.1% w/wのテトラヒドロフラン(THF)を含み;
・Si含有膜形成組成物は、約0.0% w/w~0.1% w/wのエーテルを含み;
・Si含有膜形成組成物は、約0.0% w/w~0.1% w/wのペンタンを含み;
・Si含有膜形成組成物は、約0.0% w/w~0.1% w/wのシクロヘキサンを含み;
・Si含有膜形成組成物は、約0.0% w/w~0.1% w/wのヘプタンを含み;
・Si含有膜形成組成物は、約0.0% w/w~0.1% w/wのトルエンを含む。
入口管路および出口管路を有し、かつ上に開示されるSi含有膜形成組成物のいずれかを含有するキャニスタを含むSi含有膜形成組成物送達デバイスも開示される。開示されるデバイスは、以下の態様のうちの1つまたは複数を含み得る:
・Si含有膜形成組成物は、10ppmw未満の金属汚染物質の総濃度を有し;
・入口管路端の端部が、Si含有膜形成組成物の表面の上に位置し、出口管路の端部が、Si含有膜形成組成物の表面の下に位置し;
・入口管路端の端部が、Si含有膜形成組成物の表面の下に位置し、出口管路の端部が、Si含有膜形成組成物の表面の上に位置し;
・入口および出口上にダイヤフラム弁をさらに含み;
・Si含有膜形成組成物は、HSi-CH-SiH-NH-SiH-CH-SiHであり;
・Si含有膜形成組成物は、N(SiH-CH-SiHであり;
・Si含有膜形成組成物は、HSi-CH-CH-SiH-NH-SiH-CH-CH-SiHであり;
・Si含有膜形成組成物は、N(SiH-CH-CH-SiHであり;
・Si含有膜形成組成物は、HSi-CH-SiH-NEtであり;
・Si含有膜形成組成物は、HSi-CH-SiH-NiPrである。
上に開示されるSi-N含有前駆体のいずれかを合成するための方法も開示される。開示される方法は、遷移金属触媒の存在下における、NH;RNH、RNH、またはアミジン(ここで、Rがそれぞれ、独立して、H、C-Cアルキル基、またはC-C20アリール、複素環またはシクロアルキル基であり得る);またはアミジンによる、Si-(CH-Si骨格(ここで、nが、1または2である)を含有する化合物(ジシラプロパンまたはジシラブタンなど)の触媒クロス脱水素カップリングを用いる。開示される方法は、以下の態様のうちの1つまたは複数を含み得る:
・Si-(CH-Si骨格は、Si-(CH)-Si骨格であり;
・Si-(CH-Si骨格は、Si-(CH-Si骨格であり;
・Si-(CH-Si骨格は、ジシラプロパンであり;
・Si-(CH-Si骨格は、環状(-SiH-CH-)化合物であり;
・Si-(CH-Si骨格は、シクロ-トリシラヘプタンであり;
・Si-(CH-Si骨格は、N,N’-ジイソプロピルアセトイミドアミド(acetimidamide)であり;
・化合物の触媒クロス脱水素カップリングは、NHを使用し;
・化合物の触媒クロス脱水素カップリングは、RNHを使用し;
・化合物の触媒クロス脱水素カップリングは、RNHを使用し;
・化合物の触媒クロス脱水素カップリングは、アミジンを使用し;
・化合物の触媒クロス脱水素カップリングは、N,N’-ジイソプロピルアセトイミドアミドを使用し;
・触媒は、炭素に担持された金属であり;
・金属は、Ru、Pd、Rh、Ir、Fe、Ni、Pt、Cr、CuまたはAuであり;
・触媒は、Ru/炭素、Pd(0)/MgO、担持されたAuナノ粒子、金属カルボニル、f元素、および遷移金属有機金属錯体からなる群から選択され;
・目標反応温度が、0~600℃の範囲であり;
・反応器は、所定の量の触媒および反応剤が、反応器を目標温度に加熱する前に加えられる回分式反応器であり;
・反応器は、反応剤が触媒の固定床上に連続して供給され、反応生成物が連続して流される連続反応器である。
Si含有層を基板に蒸着する方法も開示される。上に開示されるSi含有膜形成組成物のいずれかが、基板が配置された反応器中に導入される。Si-N含有前駆体の少なくとも一部が、蒸着方法を用いてSi含有層を形成するように基板に堆積される。開示される方法は、以下の態様のうちの1つまたは複数を有し得る:
・Si-N含有前駆体は、式:RN-SiHR-(CH-SiH(式中、R、R、RおよびRがそれぞれ、独立して、H、C-Cアルキル基、またはC-C20アリール、複素環またはシクロアルキル基であり得;RおよびRがそれぞれ、独立して、H;アミノ基-NRR’(ここで、RおよびR’が、それぞれ、独立して、H、C-Cアルキル基、またはC-C20アリール、複素環またはシクロアルキル基である);または-N(R)C(Me)=NR’基(ここで、RおよびR’が、それぞれ、独立して、H、C-Cアルキル基、またはC-C20アリール、複素環またはシクロアルキル基である)であり得;n=1または2であり;ただし、n=2である場合、R=R≠Et、R≠NEt、R≠HまたはNEtである)で表され;
・第2の前駆体を含む蒸気を反応器中に導入し;
・第2の前駆体の元素が、第2族、第13族、第14族、遷移金属、ランタニド、およびそれらの組合せからなる群から選択され;
・第2の前駆体の元素が、As、B、P、Si、Ge、Al、Zr、Hf、Ti、Nb、Ta、またはランタニドから選択され;
・反応剤を反応器中に導入し;
・反応剤は、O、O、HO、H、NO、NO、カルボン酸、アルコール、ジオール、それらのラジカル、およびそれらの組合せからなる群から選択され;
・反応剤は、プラズマ処理された酸素であり;
・Si含有層は、酸化ケイ素含有層であり;
・反応剤は、N、H、NH、ヒドラジン(N、MeHNNH、MeHNNHMeなど)、有機アミン(NMeH、NEtH、NMeH、NEtH、NMe、NEt、(SiMeNHなど)、ピラゾリン、ピリジン、それらのラジカル種、およびそれらの混合物からなる群から選択され;
・反応剤は、O、O、HO、H、NO、NO、NO、アルコール、ジオール、カルボン酸、ケトン、エーテル、O原子、Oラジカル、Oイオン、アンモニア、N、N原子、Nラジカル、Nイオン、飽和もしくは不飽和ヒドラジン、アミン、ジアミン、エタノールアミン、H、H原子、Hラジカル、Hイオン、またはそれらの組合せであり;
・不活性雰囲気、H含有雰囲気、N含有雰囲気、O含有雰囲気、またはそれらの組合せ下で、Si含有膜を形成し;
・蒸着方法は、化学蒸着プロセスであり;
・蒸着方法は、ALDプロセスであり;
・蒸着方法は、空間ALDプロセスであり;
・蒸着プロセスは、流動性CVDプロセスであり;
・蒸着プロセスは、低圧化学蒸着(LPCVD)であり;
・蒸着プロセスは、減圧化学蒸着(SACVD)であり;
・蒸着プロセスは、プラズマ促進化学蒸着(PECVD)であり;
・蒸着プロセスは、プラズマ促進原子層堆積(PEALD)であり;
・蒸着プロセスは、紫外線(UV)支援ALDであり;
・蒸着プロセスは、触媒ALDであり;
・蒸着プロセスは、空間隔離ALDであり;
・ケイ素含有層は、SiCであり;
・ケイ素含有層は、SiOCであり;
・ケイ素含有層は、SiOCNであり;
・ケイ素含有層は、SiCNであり;
・Si含有層を熱的にアニールし;
・反応性雰囲気下で、Si含有層を熱的にアニールし;
・Si含有層をUV硬化し;
・Si含有層を電子ビーム硬化する。
このような堆積後処理の効果は、堆積された膜を高密度化するかまたはその組成を変更することであり得る。
表記法および命名法
特定の略語、記号、および用語が、以下の説明および特許請求の範囲全体を通して使用され、以下のものを含む。
本明細書において使用される際、不定冠詞「1つの(a)」または「1つの(an)」は、1つまたは2つ以上を意味する。
本明細書において使用される際、「約(approximately)」または「約(about)」という用語は、記載される値の±10%を意味する。
本明細書において使用される際、R基を説明する文脈において使用されるときの「独立して」という用語は、主語のR基が、同じかまたは異なる下付き文字または上付き文字を有する他のR基に対して独立して選択されるだけでなく、その同じR基の任意のさらなる種に対しても独立して選択されることを示すことが理解されるべきである。例えば式MR (NR(4-x)(ここで、xが、2または3である)において、2つまたは3つのR基が、互いにまたはRもしくはRと同一であってもよいが、同一である必要はない。さらに、特に記載されない限り、R基の値が、異なる式中で使用されるとき、互いに独立していることが理解されるべきである。
本明細書において使用される際、「アルキル基」という用語は、炭素および水素原子のみを含有する飽和官能基を指す。さらに、「アルキル基」という用語は、直鎖状、分枝鎖状、または環状アルキル基を指す。直鎖状アルキル基の例としては、限定はされないが、メチル基、エチル基、n-プロピル基、n-ブチル基などが挙げられる。分枝鎖状アルキル基の例としては、限定はされないが、イソ-プロピル、t-ブチルが挙げられる。環状アルキル基の例としては、限定はされないが、シクロプロピル基、シクロペンチル基、シクロヘキシル基などが挙げられる。
本明細書において使用される際、「アリール」という用語は、1個の水素原子が環から除去された芳香環化合物を指す。本明細書において使用される際、「複素環」という用語は、その環の員として少なくとも2種の異なる元素の原子を有する環状化合物を指す。
本明細書において使用される際、「Me」という略語は、メチル基を指し;「Et」という略語は、エチル基を指し;「Pr」という略語は、任意のプロピル基(すなわち、n-プロピルまたはイソプロピル)を指し;「iPr」という略語は、イソプロピル基を指
し;「Bu」という略語は、任意のブチル基(n-ブチル、イソ-ブチル、t-ブチル、sec-ブチル)を指し;「tBu」という略語は、tert-ブチル基を指し;「sBu」という略語は、sec-ブチル基を指し;「iBu」という略語は、イソ-ブチル基を指し;「Ph」という略語は、フェニル基を指し;「Am」という略語は、任意のアミル基(イソ-アミル、sec-アミル、tert-アミル)を指し;「Cy」という略語は、環状アルキル基(シクロブチル、シクロペンチル、シクロヘキシルなど)を指す。
本明細書において使用される際、「SRO」という頭字語は、ストロンチウムルテニウムオキシド膜を表し;「HCDS」という頭字語は、ヘキサクロロジシランを表し;「PCDS」という頭字語は、ペンタクロロジシランを表し;「OCTS」という頭字語は、n-オクチルトリメトキシシランを表し;「TSA」という頭字語は、トリシリルアミンまたはN(SiHを表し;「DSA」という頭字語は、ジシリルアミンまたはHN(SiHを表し;「PTFE」という頭字語は、ポリテトラフルオロエチレンを表す。
本明細書において使用される際、「LCD-TFT」という頭字語は、液晶ディスプレー-薄膜トランジスタを表し;「MIM」という頭字語は、金属-絶縁体-金属を表し;「DRAM」という頭字語は、ダイナミックランダムアクセスメモリを表し;「FeRAM」という頭字語は、強誘電体ランダムアクセスメモリを表し;「OLED」という頭字語は、有機発光ダイオードを表し;「sccm」という頭字語は、標準立方センチメートルを表し;「SSLB」という頭字語は、ステンレス鋼レクチャーボトルを表し;「GCMS」という頭字語は、ガスクロマトグラフィー-質量分析法を表す。
元素の周期表からの元素の標準的な略語が本明細書において使用される。元素が、これらの略語で呼ばれ得る(例えば、Siがケイ素を指し、Nが窒素を指し、Oが酸素を指し、Cが炭素を指すなど)ことが理解されるべきである。
酸化ケイ素などの堆積される膜または層が、それらの正確な化学量論量(すなわち、SiO)に言及せずに本明細書および特許請求の範囲全体を通して列挙されることに留意されたい。層は、純粋な(Si)層、ケイ化物(MSi)層、炭化物(Si)層、窒化物(Si)層、酸化物(Si)層、またはそれらの混合物を含んでもよく;ここで、Mが元素であり、k、l、m、n、o、およびpが、1~6の範囲である(端点を含む)。例えば、ケイ化コバルトはCoSiであり、ここで、kおよびlがそれぞれ、0.5~5の範囲である。同様に、任意の言及される層は、酸化ケイ素層、Siも含んでもよく、ここで、nが、0.5~1.5の範囲であり、mが、1.5~3.5の範囲である。より好ましくは、酸化ケイ素層は、SiOまたはSiOである。酸化ケイ素層は、Applied Materials,Inc.製のBlack Diamond IIまたはIII材料などの有機系または酸化ケイ素系低誘電率(low-k)誘電材料などの酸化ケイ素系誘電材料であり得る。あるいは、任意の言及されるケイ素含有層は、純粋なケイ素であり得る。任意のケイ素含有層は、B、C、P、Asおよび/またはGeなどのドーパントも含み得る。
本明細書に列挙されるあらゆる範囲は、「端点を含む(inclusively)」という用語が使用されるかどうかにかかわらず、それらの端点を含む(すなわち、x=1~4は、x=1、x=4、およびx=その間の任意の数を含む)。
本明細書において使用される際、略語「NR、R’ R’’-amd」またはR=R’である場合N R’’-amdは、アミジナートリガンド[R-N-C(R’’)=N-R’](ここで、R、R’およびR’’が、Me、Et、nPr、iPr、nBu、iBi、sBuまたはtBuなどの定義されるアルキル基である)を指し;略語「NR、R
-fmd」またはR=R’である場合N-fmdは、ホルミジナートリガンド[R-N-C(H)=N-R’](ここで、RおよびR’が、Me、Et、nPr、iPr、nBu、iBi、sBuまたはtBuなどの定義されるアルキル基である)を指し;略語「NR、R’、NR’’、R’’’-gnd」またはR=R’およびR’’=R’’’である場合N、NR’’-gndは、グアニジナートリガンド[R-N-C(NR’’R’’’)=NR’](ここで、R、R’、R’’およびR’’’が、Me、Et、nPr、iPr、nBu、iBi、sBuまたはtBuなどの定義されるアルキル基である)を指す。リガンド骨格のCとNとの間に二重結合を有することが本明細書に示されているが、当業者は、アミジナート、ホルミジナートおよびグアニジナートリガンドが、固定された二重結合を含まないことを認識するであろう。その代わりに、1つの電子が、N-C-N鎖の間で非局在化される。
Figure 0006993474000007
本発明の性質および目的のさらなる理解のために、添付の図とともに解釈される、以下の詳細な説明が参照されるべきである。
Si含有膜形成組成物送達デバイス1の一実施形態の側面図である。 Si含有膜形成組成物送達デバイス1の第2の実施形態の側面図である。
下式:
N-SiHR-(CH-SiH (I)
(式中、n=1または2であり;RおよびRが、独立して、H、C-Cアルキル基、またはC-C20アリール、複素環またはシクロアルキル基であり得;RおよびRが、独立して、H;アミノ基[-NRR’](ここで、RおよびR’が、独立して、H、C-Cアルキル基、C-C20アリール、複素環またはシクロアルキル基である);または式-N(R)C(Me)=NR’基で表されるアミジナート基(ここで、RおよびR’が、独立して、H、C-Cアルキル基、またはC-C20アリール、複素環またはシクロアルキル基である)であり得;ただし、n=2である場合、R=R≠Et、R≠NEt、R≠HまたはNEtである)で表されるSi-N含有前駆体を含むSi含有膜形成組成物が開示される。
開示されるSi-N含有前駆体は、Si原子に直接結合される1個または2個の水素原子を含有する。これらのSi-H結合は、前駆体の揮発性を向上させるのを助けることができ、これは、蒸着プロセスに重要である。開示される前駆体は、Si-ハロゲン結合を含有せず、これは、ハロゲンが基板における他の層(例えば、低誘電率層、銅相互接続層など)を損傷し得るため、重要である。さらに、ALDプロセスにおいて、開示される前駆体のSi-H結合は、H原子がより小さい表面積を占めて、基板表面により多くの分子をもたらすため、類似のSi-ハロゲン含有前駆体と比較して、サイクル当たりより高い
成長速度を提供するのを助け得る。SiH結合(すなわち、水素化官能基)の包含は、立体障害の小さいバルクを生成し得、これは、基板に対する前駆体のより高い反応性を可能にし得る。最後に、開示されるSi含有膜形成組成物は、ハロゲンフリー(すなわち、<50ppmw)であり、半導体デバイス、半導体部品、電子部品および層状材料における、全体的に平坦化され、熱的に安定した接着性誘電体層および他の誘電体のような層または材料(これらは全て、従来のおよび/または先進的方法およびプロセスによってこれまでに認識されている)を形成することができる。
開示される前駆体は、Si原子に直接結合された1つ、2つ、または3つのアミノ基を含有し得る。これらのSi-N結合は、前駆体の熱安定性を向上させるのを助けることができ、これはまた、蒸着プロセスに重要である。アミノ基はまた、NおよびC原子を、得られる膜に組み込むのを助けることができ、これにより、得られる層は、任意の後続のエッチングプロセスに対する耐性がより高くなり得る。
当業者は、Si-H結合によって提供される揮発性が、アミノ基によって提供される熱安定性と直接競合することを認識するであろう。本出願人は、少なくともHN(SiH(NiPr)-CH-SiHおよびHN(SiH-CH-SiH(NiPr))が、それらの競合する特性のバランスをうまくとるものと考える。
当業者は、n=1である実施形態が、蒸着により好適な、より高い揮発性およびより低い融点を有する前駆体を生成し得ることを認識するであろう。n=2である実施形態も、得られるケイ素含有膜が炭素も含有する場合、蒸着に好適であり得る。n=3である実施形態も、スピンオンまたは浸漬コーティングなどのキャスティング堆積方法に好適であり得る。
-C20アリール、複素環またはシクロアルキル基は、-SiH-(CH-SiH、-SiH-(CH-SiH-NH-SiH-(CH-SiH、-NH-SiH-(CH-SiH、または-C(Me)=NR基であり得、ここで、Rが、独立して、H、C-Cアルキル基、またはC-C20アリール、複素環またはシクロアルキル基であり得る。
あるいは、RおよびRが、独立して、H;C-Cアルキル基;C-Cアルキル基;C-C20アリール、複素環またはシクロアルキル基;-SiH-(CH-SiH;-SiH-(CH-SiH-NH-SiH-(CH-SiH;-NH-SiH-(CH-SiH;または-C(Me)=NR基であり得、ここで、Rが、独立して、H、C-Cアルキル基、またはC-C20アリール、複素環またはシクロアルキル基であり得る。
式(I)中、R=-SiH-(CH-SiHおよびR=R=R=Hである場合、例示的なSi含有膜形成組成物は、HN(HSi(CHSiH(ここで、n=1または2である)を含み、式:
Figure 0006993474000008
で表される。
HN(SiH-CH-SiHが揮発性であり、多くのSi-H結合を含むことにより、それは、基板表面により反応性になる。結果として、この前駆体は、蒸着プロセス、より特定的には、ALDプロセスに好適である。本出願人は、この前駆体がさらに、Nを用いて、PEALDプロセスにおいて、Si-Cl末端あるいはSi末端基板表面に結合するのに十分に反応性であり得るものと考える。
式(I)中、R=R=-SiH-(CH-SiHおよびR=R=Hである場合、例示的なSi含有膜形成組成物は、HN(HSi(CHSiH(ここで、n=1または2である)を含み、式:
Figure 0006993474000009
で表される。
式(I)中、R=R;R=-SiH-(CH-SiHであり;かつR=R=Hである場合、例示的なSi含有膜形成組成物は、HSi(CHSiHNRSiH(CHSiH(ここで、n=1または2であり、Rが、H、C-Cアルキル基、またはC-C20アリール、複素環またはシクロアルキル基であり得る)を含み、式:
Figure 0006993474000010
で表される。
例示的な前駆体は、(Me)N(SiH-CH-SiH、(Et)N(SiH-CH-SiH、(nPr)N(SiH-CH-SiH、(iPr)N(SiH-CH-SiH、(Bu)N(SiH-CH-SiH、(iBu)N(SiH-CH-SiH、(tBu)N(SiH-CH-SiH、(アミル)N(SiH-CH-SiH、および(ヘキシル)N(SiH-CH-SiHを含む。このファミリーの化合物は、SiOCまたはSiNCなどの炭素含量を有する膜の堆積に有用であり得るが、これは、Si-C結合(Si-Rの場合)が、それほど反応性でなく、堆積プロセス中に変化しないままである可能性が高いためである。結果として、過剰なCの堆積を防ぐために、Rが、好ましくは、MeないしPrである。これらの前駆体はまた、N(SiH-CH-SiH)類似体より合成しやすいが、これは、RNHR反応剤が、Et、Pr、Bu、ペンチル、
およびヘキシルについて液体であるためである。
式(I)中、R=R;R=R’;R=R=Hである場合、例示的なSi含有膜形成組成物は、RNSiH(CHSiH(ここで、n=1または2であり、RおよびR’がそれぞれ、独立して、H、C-Cアルキル基、またはC-C20アリール、複素環またはシクロアルキル基であり得る)を含み、式:
Figure 0006993474000011
で表される。
式(I)中、R=R;R=R’;R=H;R=-NRR’である場合、例示的なSi含有膜形成組成物は、RR’NSiH(CHSiHNRR’(ここで、n=1または2であり、RおよびR’がそれぞれ、独立して、H、C-Cアルキル基、またはC-C20アリール、複素環またはシクロアルキル基であり得る)を含み、式:
Figure 0006993474000012
で表される。
式(I)中、R=R;R=R’;R=-NRR’;R=Hである場合、例示的なSi含有膜形成組成物は、HSi(CHSiH(N RR’)(ここで、n=1または2であり、RおよびR’がそれぞれ、独立して、H、C-Cアルキル基、またはC-C20アリール、複素環またはシクロアルキル基であり得、ただし、n=2である場合、RおよびR’≠Etである)を含み、式:
Figure 0006993474000013
で表される。
式(I)中、R=R;R=-C(Me)=NR;R=R=Hである場合、例示的なSi含有膜形成組成物は、HSi(CHSiHN(R)C(Me)=NR(ここで、n=1または2である)を含む。
式(I)中、R=R;R=-C(Me)=NR;R=H;R=-N(R)C(Me)=NR’である場合、例示的なSi含有膜形成組成物は、RN=C(Me)N(R)SiH(CHSiHN(R)C(Me)=NR(ここで、n=1または2である)を含む。
式(I)中、R=-SiH-(CH-SiH-NH-SiH-(CH-SiH;R=R=R=Hである場合、例示的なSi含有膜形成組成物は、HSi(CHSiHNHSiH(CHSiHNHSiH(CHSiH(ここで、n=1または2であり、Rがそれぞれ、独立して、H、C-Cアルキル基、またはC-C20アリール、複素環またはシクロアルキル基であり得、ただし、n=2である場合、RおよびR’≠Etである)を含む。
式(I)中、R=R=R=H;R=-NH-SiH-(CH-SiHである場合、例示的なSi含有膜形成組成物は、HSi(CHSiHNHSiH(CHSiHNH(ここで、n=1または2であり、Rがそれぞれ、独立して、H、C-Cアルキル基、またはC-C20アリール、複素環またはシクロアルキル基であり得る)を含む。
開示されるSi含有膜形成組成物は、開示されるSi含有膜形成組成物送達デバイスによって、半導体処理手段に送達され得る。図1および2は、開示される送達デバイス1の2つの実施形態を示す。
図1は、Si含有膜形成組成物送達デバイス1の一実施形態の側面図である。図1において、開示されるSi含有膜形成組成物10は、2つの管路、入口管路30および出口管路40を有する容器20内に収容される。前駆体の技術分野の当業者は、容器20、入口管路30、および出口管路40が、高温および高圧でさえ、気体形態のSi含有膜形成組成物10の流出を防ぐように製造されていることを認識するであろう。
好適な弁は、ばね式のまたは結合されたダイヤフラム弁を含む。弁は、流量制限オリフィス(RFO)をさらに含み得る。送達デバイスは、ガスマニホールドにおよびエンクロージャ内に連結されるべきである。ガスマニホールドは、残留量の自然発火性材料が反応しないように、送達デバイスが取り替えられるときに空気に曝され得るパイプの安全な排気およびパージを可能にすべきである。エンクロージャは、SiHなどの自然発火性材料の放出の場合に火炎を制御するためにセンサーおよび火災制御機能を備えているべきである。ガスマニホールドはまた、遮断弁、真空発生装置を備えており、最小限にパージガスの導入を許容すべきである。
送達デバイスは、漏出防止性であり、ほんの少しの量の材料の流出も許容しない弁を備えていなければならない。送達デバイスは、弁35および45を介して、上に開示されるガスキャビネットなどの、半導体処理手段の他の部品に流体連通する。好ましくは、送達デバイス20、入口管路30、弁35、出口管路40、および弁45は、316L EPまたは304ステンレス鋼で作製される。しかしながら、当業者は、他の非反応性材料も本明細書における教示において使用されてもよく、任意の腐食性Si含有膜形成組成物10が、HastelloyまたはInconelなどのより耐食性の材料の使用を必要とし得ることを認識するであろう。
図1において、入口管路30の端部31が、Si含有膜形成組成物10の表面の上に位置するが、出口管路40の端部41は、Si含有膜形成組成物10の表面の下に位置する。この実施形態において、Si含有膜形成組成物10は、好ましくは、液体形態である。窒素、アルゴン、ヘリウム、およびそれらの混合物を含むがこれらに限定されない不活性ガスが、入口管路30中に導入され得る。不活性ガスは、液体Si含有膜形成組成物10が、出口管路40を通して、半導体処理手段中の部品(図示せず)へと押し出されるように、送達デバイス20を加圧する。半導体処理手段は、修復されるべきウエハが位置し、かつ処理が気相中で行われるチャンバに蒸気を送達するために、ヘリウム、アルゴン、窒素またはそれらの混合物などのキャリアガスの使用を伴うかまたは伴わずに、液体Si含有膜形成組成物10を蒸気に変換する気化器を含み得る。あるいは、液体Si含有膜形成組成物10は、ジェットまたはエアロゾルとしてウエハ表面に直接送達され得る。
図2は、Si含有膜形成組成物送達デバイス1の第2の実施形態の側面図である。図2において、入口管路30の端部31が、Si含有膜形成組成物10の表面の下に位置するが、出口管路40の端部41は、Si含有膜形成組成物10の表面の上に位置する。図2は、Si含有膜形成組成物10の温度を上昇させ得る任意選択的な加熱素子25も含む。Si含有膜形成組成物10は、固体または液体形態であり得る。窒素、アルゴン、ヘリウム、およびそれらの混合物を含むがこれらに限定されない不活性ガスが、入口管路30中に導入される。不活性ガスは、Si含有膜形成組成物10を通って流れ、不活性ガスと気化Si含有膜形成組成物10との混合物を、出口管路40および半導体処理手段中の部品へと搬送する。
図1および2は両方とも、弁35および45を含む。当業者は、弁35および45が、管路30および40のそれぞれを通した流れを可能にするように、開放または閉鎖された位置に配置され得ることを認識するであろう。Si含有膜形成組成物10が蒸気形態である場合または十分な蒸気圧が、固/液相の上に存在する場合、図1または2中のいずれかの送達デバイス1、または存在する任意の固体または液体の表面の上で終端する単一の管路を有するより単純な送達デバイスが使用され得る。この場合、Si含有膜形成組成物10は、図1中の弁35または図2中の弁45をそれぞれ単に開放することによって、管路30または40を通して、蒸気形態で送達される。送達デバイス1は、例えば任意選択的な加熱素子25の使用によって、Si含有膜形成組成物10が蒸気形態で送達されるのに十分な蒸気圧を提供するように、好適な温度に維持され得る。
図1および2が、Si含有膜形成組成物送達デバイス1の2つの実施形態を開示する一方、当業者は、入口管路30および出口管路40が両方とも、本明細書における本開示から逸脱せずに、Si含有膜形成組成物10の表面の上または下に位置し得ることを認識するであろう。さらに、入口管路30は、充填ポートであり得る。最後に、当業者は、開示されるSi含有膜形成組成物が、本明細書における教示から逸脱せずに、Jurcikらへの国際公開第2006/059187号パンフレットに開示されているアンプルなどの他の送達デバイスを用いて、半導体処理手段に送達され得ることを認識するであろう。
開示されるSi含有膜形成組成物は、高い蒸気圧、低い融点(好ましくは、室温で液体形態である)、低い昇華点、および/または高い熱安定性などの、蒸着プロセスに好適な特性を有し得る。
開示されるSi含有膜形成組成物は、様々なALDまたはCVDプロセスによるSi含有膜の堆積に好適であり得、以下の利点を有し得る:
・揮発性化合物;
・室温で液体であり、または50℃未満の融点を有し;
・粒子生成を伴わない適切な分配(気相または直接液体注入)を可能にするために熱的
に安定であり;
・様々なSi含有膜の堆積を可能にし、広い自己制御(self-limited)ALDウィンドウを可能にするような、基板との好適な反応性。
ケイ素炭窒化物およびケイ素炭素酸化物(silicon carbo-oxide)含有膜(SiOCおよびSiNCと呼ばれる)は、開示されるSi含有膜形成組成物、ならびにN、H、NH、O、HO、H、O、NO、NO、NO、カルボン酸(ギ酸、酢酸、またはプロパン酸など)、メタノール、エタノール、イソプロパノール、ジオール(エチレングリコールまたは水和されたヘキサフルオロアセトンなど)などのアルコール、ヒドラジン(N、MeHNNH、MeHNNHMeなど)、有機アミン(NMeH、NEtH、NMeH、NEtH、NMe、NEt、(SiMeNHなど)、ピラゾリン、ピリジン、それらの組合せ、それらのプラズマ生成物、およびそれらの組合せからなる群から選択される反応剤の1つまたはそれらの組合せを用いて、CVDまたはALDによって堆積され得る。
Si含有膜形成組成物はまた、ハロシラン(おそらく、SiHCl、SiHCl、SiHCl、SiCl、SiBr、SiI、SiHI、SiH、SiHI、SiFから選択される)、ポリシランSiH2x+2、または環状ポリシランSiH2x、ハロ-ポリシラン(SiCl2x+2、SiCl2x+2-y(ヘキサクロロジシラン(HCDS)、オクタクロロトリシラン(OCTS)、ペンタクロロジシラン(PCDS)、モノクロロジシラン(MCDS)、またはジクロロジシラン(DCDS)など)、Si-(CH-Si骨格を有するカルボシラン(ここで、n=1または2である)、またはそれらの組合せなどの別のケイ素源とともに使用され得る。
また、開示されるSi含有膜形成組成物を合成するための方法が開示される。開示される合成方法は、Si-CH-Si骨格またはSi-CH-CH-Si骨格と、NH、RNH(ここで、Rがそれぞれ、独立して、H、C-Cアルキル基またはC-C20アリール、複素環またはシクロアルキル基であり得る)、またはアミジンとの触媒クロス脱水素カップリングに基づき得る。本明細書において、カルボシランと、アンモニア、アミンまたはアミジンとの間の触媒脱水素カップリングは、シリルアミンのこのファミリーへの魅力的な合成手法となり得る。カルボシランの本明細書における例は、ジシラプロパン、ジシラブタン、またはシクロ-トリシラヘキサン(ここで、n=3である)などの環状(-SiH-CH-)化合物(ここで、nが、2~6である)であり得る。
開示される合成方法は、ハロゲン塩副生成物の形成なしに、開示されるSi含有膜形成組成物を合成する。本明細書に記載され、権利請求される合成の方法によって生成されるSi含有膜形成組成物の全ては、「ハロゲンフリー」であり、その用語は、本明細書において定義される。この手法は、ガスおよび窒素-ケイ素結合を形成するための水素の触媒脱水素カップリングに基づく。プロセスは、遷移金属触媒によって触媒される。触媒は、不均一または均一であり得る。反応は、発熱性である。反応は、溶媒中でまたは溶媒なしで行われ得る。反応は、回分式または連続流式の反応器中で行われ得る。回分式反応器が使用されるとき、反応器は、クライオトラップ(cryotrap)に連結される凝縮器に取り付けられ得る。この組立体において、形成される水素は、反応が起こるにつれて排気され得る。圧力は、凝縮器とクライオトラップとの間に取り付けられた背圧調整器によって制御され得る。クライオトラップは、反応中および/または反応後に水素をこのシステムから送り出すことを可能にする通気管路に連結され得る。フィルタを備えた浸漬管により、容器からの生成物の容易な取り出しが可能になる。
開示される合成方法は、以下の工程:
a)遷移金属触媒の存在下で、Si-(CH-Si骨格含有反応剤を有する反応剤(ここで、nが、1または2である)(例えば、ジシラプロパンまたはジシラブタン)を、NH、アミジン、RNH、またはRNH(ここで、各Rが、独立して、H、C1-C6アルキル、またはC3-C20アリール、複素環、またはシクロアルキル基である)と接触させて、反応混合物を形成する工程と;
b)任意選択的に、溶媒を反応混合物に加える工程と;
c)反応混合物を、約0℃~約250℃の温度に維持する工程と;
d)反応を進行させて、RN-SiHR-(CH-SiH(式中、n=1または2であり;RおよびRが、独立して、H、C-Cアルキル基、またはC-C20アリール、複素環またはシクロアルキル基であり得;RおよびRが、独立して、H;アミノ基[-NRR’](ここで、RおよびR’が、独立して、H、C-Cアルキル基、C-C20アリール、複素環またはシクロアルキル基である);または-N(R)C(Me)=NR’基(ここで、RおよびR’が、独立して、H、C-Cアルキル基、またはC-C20アリール、複素環またはシクロアルキル基である)であり得;ただし、n=2である場合、R=R≠Et、R≠NEt、R≠HまたはNEtである)を形成する工程と;
e)RN-SiHR-(CH-SiH生成物を、反応混合物から分離する工程とを含み;
ここで、反応混合物の温度は、合成中に変化してもよく、反応混合物の温度は、約0℃を下回ってはならず、かつ約250℃を超えないように維持される。
反応器は、回分式合成用の容器または連続合成を促進するためのフロースルー容器であり得る。「反応混合物」という用語は、反応剤、触媒、生成物、および任意選択的に、中で反応が行われて生成物を形成する溶媒の組合せを指す。機械的撹拌器を備えたParrオートクレーブが、好適な反応容器である。
本明細書において使用される際の「・・・を温度に維持する」という用語は、規定の最低および最高温度内の温度を生成するように必要に応じて加熱または冷却することを意味する。反応容器へのN含有反応剤およびシランの添加の順序は、アミンが先またはシランが先のいずれであってもよい。出発材料がハロゲンフリーである場合、生成物は、ハロゲンおよびアミノハロゲンフリーになる。
本発明に好適な不均一触媒としては、遷移金属触媒および希土類元素が挙げられる。触媒は、Sc、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Zn、Y、Zr、Nb、Mo、Tc、Ru、Rh、Pd、Ag、Cd、La、Hf、Ta、W、Re、Os、Ir、Pt、Au、Hg、YbおよびUからなる群から選択される。好ましい触媒は、Ru、Pd、Rh、Ir、Fe、Ni、Pt、Cr、CuおよびAuからなる群から選択される。より好ましい触媒は、Rh、Pd、RuおよびPtからなる群から選択される。最も好ましい触媒は、RuおよびRu/炭素である。さらなる好ましい触媒は、Pd/MgOである。
本発明の触媒は、好ましくは、担体に固着される。担体は、大きい表面積を有する固体である。典型的な担体材料としては、限定はされないが、アルミナ、MgO、ゼオライト、炭素、モノリスコーディエライト、珪藻土、シリカゲル、シリカ/アルミナ、ZrOおよびTiOが挙げられる。好ましい担体は、炭素、アルミナ、シリカおよびMgOである。より好ましい担体は、炭素である。担体は、約1m/g~約3,000m/gの範囲のBET表面積を有する。好ましい範囲は、約100m/g~約2,000m/gである。触媒の金属負荷は、約0.01重量パーセント~約50重量パーセントの範囲である。好ましい範囲は、約0.5重量パーセント~約20重量パーセントである。より
好ましい範囲は、約0.5重量パーセント~約10重量パーセントである。触媒は、いくつかの公知の方法によって活性化され得る。触媒を減圧下で加熱することが、好ましい方法である。触媒は、反応容器への添加前にまたは反応剤を添加する前に反応容器中で活性化され得る。
触媒は、助触媒を含有し得る。助触媒は、それ自体で触媒でないが、活性な触媒と少量で混合されると、触媒の効率性(活性および/または選択性)を向上させる物質である。助触媒は、通常、Mn、Ce、Mo、Li、Re、Ga、Cu、Ru、Pd、Rh、Ir、Fe、Ni、Pt、Cr、CuおよびAuなどの金属および/またはそれらの酸化物である。助触媒は、反応器容器に別々に加えられてもよく、またはそれらは、触媒自体の一部であり得る。例えば、Ru/Mn/C(マンガンによって促進されるルテニウム/炭素)またはPt/CeO/Ir/SiO(セリアおよびイリジウムによって促進される白金/シリカ)。いくつかの助触媒は、それ自体で触媒として働き得るが、主触媒との併用により、主触媒の活性を向上させ得る。ある触媒は、他の触媒の助触媒として働き得る。これに関して、触媒は、二金属(または多金属)触媒と呼ばれ得る。例えば、Ru/Rh/Cは、ルテニウムおよびロジウム/炭素二金属触媒またはロジウムによって促進されるルテニウム/炭素のいずれかで呼ばれ得る。活性な触媒は、特定の化学反応において触媒として働く材料である。
触媒は、典型的に、減圧下または不活性ガスまたは水素もしくはヘリウムなどの還元ガス下および高温で行われる活性化を必要とし得る。典型的に、触媒は、約125℃および約-14psig(約1トルである)で活性化される。本明細書において使用される際の動的減圧は、約1トルの減圧を表す。活性化条件は、選択される触媒によっていくらか変化することになる。様々な触媒を活性化するための条件が、当該技術分野において公知である。活性化された触媒は、将来の使用のために保管され得る。
例示的な触媒としては、限定はされないが、Ru(0)/炭素(Johnson Mathisから市販されている)、Pd(0)/MgO(例えば、Ringleb et al.,Applied Catalysis A;General 474(2014)186-193を参照)、担持されたAuナノ粒子(例えば、Lin et al.Molecules,2013,18,pp.12609-12620を参照)、または有機f元素触媒(すなわち、脱水素化アルミナに吸着された(MeCp)ThMeなどの、ランタニドおよびアクチニド触媒)などの不均一触媒が挙げられ得る。あるいは反応剤(例えば、気相または液相)と同じ媒体中の酸または塩基、金属カルボニル触媒、または遷移金属有機金属錯体などの均一触媒が使用され得る。
溶媒が本発明において使用されるとき、反応剤と非反応性の溶媒が選択される。溶媒は、無水であり、触媒を失活させない(害さない)。このような溶媒の非限定的なリストは、C-C20直鎖状、分枝鎖状または環状アルカンおよびそれらの混合物などのアルカン;1-オクタデセン、シクロオクタジエンおよびシクロヘキセンなどのアルケン;塩化メチレンおよび塩化エチレンなどのクロロアルカン;トルエン、キシレン、メシチレンおよびナフタレンなどのアレーンおよびキノリンおよびピリジンなどの複素環ならびにそれらの混合物を含む。好ましい溶媒は、n-オクタデカンである。好ましくは、溶媒は、その沸点が生成物化合物の沸点と約10℃だけ異なるように選択されるべきである。
本発明において使用される不活性ガスは、反応条件下で反応性でない。不活性ガスの非限定的なリストは、ヘリウム、アルゴンおよび窒素を含む。好ましいガスは、ヘリウムである。
開示される合成方法は、以下の構造モチーフ、Si-C-Si-NおよびSi-C-C
-Si-Nを有するSi-N含有化合物へのアクセスを可能にする。特に、化合物N(SiHCHSiH、NH(SiHCHSiHまたはRN(SiHCHSiH(ここで、Rが、H、C-Cアルキル基またはC-C20アリール、複素環またはシクロアルキル基であり得る)は、ハロゲンフリーのプロセスで合成され得、唯一の副生成物は、水素ガスである。
開示される合成方法は、以下の工程を含む。
触媒を、マグネチックスターラーおよび圧力計を備えた加圧反応器中に充填する。加圧反応器は、ステンレス鋼アンプルまたは他の加圧反応器であり得る。触媒は、炭素に担持されたRu、Pd、Rh、Ir、Fe、Ni、Pt、Cr、CuおよびAu、Pd(0)/MgO、担持されたAuナノ粒子、均一触媒、金属カルボニル触媒、有機f元素触媒、遷移金属有機金属錯体などから選択される任意の遷移金属触媒であり得る。
当業者は、触媒が、触媒に応じて、乾燥を必要とすることがあり、乾燥は、80~150℃の範囲の温度で、減圧下で、反応器中に行われ得ることを認識するであろう。乾燥温度はまた、触媒の特性に応じて、0~200℃の範囲であり得る。当業者は、乾燥圧力および温度が、所望の結果を得るために、単なる実験によって最適化され得ることを認識するであろう。当業者は、触媒が乾燥条件下に維持される限り、触媒が、合成の直前または合成の数日前に乾燥され得ることをさらに認識するであろう。
一代替例において、溶媒が、加圧反応器中に導入され得る。溶媒が本明細書において使用されるとき、反応剤と非反応性の溶媒が選択される。溶媒は、無水である。このような溶媒の非限定的なリストは、n-オクタデカン、ヘキサンおよびデカリンなどのアルカン;1-オクタデセン、シクロオクタジエンおよびシクロヘキセンなどのアルケン;塩化メチレンおよび塩化エチレンなどのクロロアルカン;トルエン、キシレン、メシチレンおよびナフタレンなどのアレーンならびにキノリンおよびピリジンなどの複素環を含む。溶媒は、非吸湿性である。
Si-(CH-Si骨格含有およびN含有反応剤を、不活性雰囲気(N、ArまたはHeなど)下で、加圧反応器中に導入する。Si-(CH-Si骨格含有反応剤は、ジシラプロパンまたはジシラブタンなどのHSi-(CH-SiH骨格(ここで、nが、1または2である)を含有する。N含有反応剤は、NH、RNH(ここで、各Rが、独立して、H、C-Cアルキル基、またはC-C20アリール、複素環またはシクロアルキル基である)、またはアミンであり得る。
加圧反応器を所定の温度に徐々に加熱し、圧力計で加圧反応器の内部の圧力上昇を同時に監視する。所定の温度は、0~600℃、好ましくは、0~400℃、より好ましくは、0~200℃、より好ましくは、0~150℃の範囲であり得る。Si-N含有前駆体の生成は揮発性であるため、加圧反応器の内部の圧力は上昇し、これは、圧力計によって監視される。
加圧反応器を、所定の温度に維持し、所定の期間にわたって内容物を撹拌する。所定の時間は、4~20時間などの、数時間から数十時間の範囲であり得る。当業者は、所定の温度および時間が、所望の前駆体を得るために、単なる実験によって最適化され得ることを認識するであろう。
加圧反応器を室温(約23℃)に冷却する。圧力上昇が、反応器を室温に冷却した後に観察され得る。
揮発性反応生成物をクライオトラッピング(cryotrapping)し、加圧反応器中の非凝縮性反応生成物を放出する。クライオトラッピングという用語は、気体材料をクライオトラップ中で凝縮することを意味する。生成物をクライオトラッピングした後、加圧反応器の内部の圧力は、低下し得る。このように、揮発性生成物は、室温未満の温度で凝縮され、凝縮しない生成物は、凝縮された生成物から分離され、放出される。
加圧反応器中で形成されるSi-N含有化合物の凝縮された揮発性生成物を収集する。本明細書において、凝縮された生成物は、Si-N含有化合物であり、放出される生成物は、Hである。当業者は、開示される方法が、機械的撹拌器および圧力計を装備するほか、加圧反応器とともに熱電対、圧力変換器および3つの絞り弁を装備することを含み得ることを認識するであろう。
シリルアミンなどのSi-N含有化合物が、アミンをクロロシランと反応させる従来の手法を用いることによって合成され得ることが公知であるが(“Disilanylamines.Compounds comprising the structural
unit silicon-silicon-nitrogen,as single-source precursors for plasma-enhanced chemical vapor deposition(PE-CVD)of silicon nitride”Schuh,H.;Schlosser,T.;Bissinger,P.;Schmidbaur,H.Zeitschrift fuer Anorganische und Allgemeine Chemie 1993,619(8),1347-1352)、かなりの量の塩化アンモニウムが、副生成物として生成され得、これは、後処理(work-up)および精製に必要とされる追加の処理の点で、プロセスの効率性を損ない得る。さらに、ジシラプロパンまたはジシラブタンと対照的に、合成前駆体として(クロロシリル)メチルシランを使用することは、クロロシランの毒性のため、高いコストを生じることがあり、膜に有害であり得るプロテクト(protect)中の塩素残渣を生じることがある。
開示される合成方法の利点は、以下のとおりである。
・ハロゲンフリーのプロセス;
・出発材料が、容易に入手可能である;
・水素ガスが、唯一の副生成物である;
・ワンステップ-ワンポット反応;
・触媒が後続のバッチのために再利用され得るため、効率性の高い触媒系;
・プロセスは、無溶媒であってもよい;
・廃棄物の発生が最小限であり、環境に優しい。
上記の全てが、スケーラブルな工業プロセスを開発するという観点から有利である。
プロセスの信頼性を確実にするために、開示されるSi含有膜形成組成物は、約95%
w/w~約100% w/wの範囲の、好ましくは、約98% w/w~約100% w/wの範囲の純度になるまで、使用前に連続または分別バッチ蒸留によって精製され得る。当業者は、純度が、H NMR、または質量分析法を用いたガスもしくは液体クロマトグラフィーによって決定され得ることを認識するであろう。開示されるSi含有膜形成組成物は、以下の不純物:ジシラプロパンまたはジシラブタンなどの未反応Si-(CH-Si骨格;NH;アルキルアミン;ジアルキルアミン;アルキルイミン;アミジン;テトラヒドロフラン(THF);エーテル;ペンタン;シクロヘキサン;ヘプタン;またはトルエンのいずれかを含有し得る。好ましくは、これらの不純物の総量は、0.1% w/w未満である。精製された組成物は、再結晶化、昇華、蒸留、および/またはガスまたは液体を、4A分子篩などの好適な吸着剤に通すことによって生成され得る。
精製されたSi含有膜形成組成物中の、各溶媒(THF、エーテル、ペンタン、シクロヘキサン、ヘプタン、および/またはトルエンなど)の濃度は、約0% w/w~約5%
w/w、好ましくは、約0% w/w~約0.1% w/wの範囲であり得る。溶媒が、組成物の合成に使用されてもよいが、必須ではない。組成物からの溶媒の分離は、両方が類似の沸点を有する場合に難しいことがある。混合物を冷却すると、液体溶媒中の固体前駆体を生成することができ、これは、ろ過によって分離され得る。前駆体組成物がそのおよその分解点を超えて加熱されない限り、真空蒸留も使用されてもよい。
開示されるSi含有膜形成組成物は、5% v/v未満、好ましくは、1% v/v未満、より好ましくは、0.1% v/v未満、さらにより好ましくは、0.01% v/v未満の、その類似体または他の反応生成物のいずれかを含有する。高純度組成物は、より良好なプロセス再現性を提供し得る。高純度組成物は、Si含有膜形成組成物の蒸留によって生成され得る。
開示されるSi含有膜形成組成物の精製は、約0ppbw~約500ppbw、より好ましくは、約0ppbw~約100ppbwの範囲の、微量金属および半金属の濃度を生じることもできる。これらの金属または半金属不純物としては、限定はされないが、アルミニウム(Al)、ヒ素(As)、バリウム(Ba)、ベリリウム(Be)、ビスマス(Bi)、カドミウム(Cd)、カルシウム(Ca)、クロム(Cr)、コバルト(Co)、銅(Cu)、ガリウム(Ga)、ゲルマニウム(Ge)、ハフニウム(Hf)、ジルコニウム(Zr)、インジウム(In)、鉄(Fe)、鉛(Pb)、リチウム(Li)、マグネシウム(Mg)、マンガン(Mn)、タングステン(W)、ニッケル(Ni)、カリウム(K)、ナトリウム(Na)、ストロンチウム(Sr)、トリウム(Th)、スズ(Sn)、チタン(Ti)、ウラン(U)、バナジウム(V)および亜鉛(Zn)が挙げられる。精製されたSi含有膜形成組成物中のX(ここで、X=Cl、Br、Iである)の濃度は、約0ppmw~約100ppmw、より好ましくは、約0ppmw~約10ppmwの範囲であり得る。
あるいは、開示されるSi含有膜形成組成物は、約5% w/w~約50% w/wの1つの化合物を含んでもよく、組成物の残りは、特に、混合物が向上したプロセスパラメータを提供するか、または目標化合物の単離が過度に難しいかもしくは高価である場合、第2の化合物を含む。例えば、開示されるSi含有膜形成組成物は、40/60% w/wのN(SiHCHSiHおよびNH(SiHCHSiHまたはHSi(CHSiHNHSiH(CHSiHNHおよびHSi(CHSiHNHSiH(CHSiHであり得る。混合物は、蒸着に好適な安定した液体組成物を生成し得る。
蒸着方法のために開示されるSi-N含有前駆体を使用する方法も開示される。開示される方法は、ケイ素含有膜、好ましくは窒化ケイ素(SiN)膜および酸化ケイ素(SiO)膜の堆積のためのSi-N含有前駆体の使用を提供する。開示される方法は、半導体、光起電、LCD-TFT、フラットパネル型デバイス、耐火材料、または航空機の製造に有用であり得る。
基板上にケイ素含有層を形成するための開示される方法は、基板を反応器中に配置する工程と、開示されるSi-N含有前駆体を含む蒸気を反応器中に送達する工程と、蒸気を基板と接触させて(典型的に、蒸気を基板に向けて)基板の表面上にケイ素含有膜を形成する工程とを含む。
開示されるSi-N含有前駆体は、当業者に公知の任意の堆積方法を用いて、ケイ素含
有膜を堆積するのに使用され得る。好適な堆積方法の例としては、化学蒸着(CVD)または原子層堆積(ALD)が挙げられる。例示的なCVD方法としては、熱CVD、パルスCVD(PCVD)、低圧CVD(LPCVD)、減圧CVD(SACVD)または常圧CVD(APCVD)、ホットワイヤCVD(HWCVD、cat-CVDとしても知られており、ホットワイヤが堆積プロセスのエネルギー源として働く)、ラジカル援用(radicals incorporated)CVD、プラズマ促進CVD(PECVD)(流動性PECVDを含むがこれに限定されない)、およびそれらの組合せが挙げられる。例示的なALD方法としては、熱ALD、プラズマ促進ALD(PEALD)、空間隔離ALD、ホットワイヤALD(HWALD)、ラジカル援用ALD、およびそれらの組合せが挙げられる。超臨界流体の堆積も使用され得る。堆積方法は、好適なステップカバレッジおよび膜厚さ制御を提供するために、好ましくは、ALD、PE-ALD、または空間ALDである。
開示されるSi含有膜形成組成物は、Si-N含有前駆体のみからなり得る。あるいは、Si含有膜形成組成物は、トルエン、エチルベンゼン、キシレン、メシチレン、デカン、ドデカン、オクタン、ヘキサン、ペンタン、第3級アミン、アセトン、テトラヒドロフラン、エタノール、エチルメチルケトン、1,4-ジオキサン、またはその他などの溶媒をさらに含み得る。開示される前駆体は、溶媒中に様々な濃度で存在してもよい。例えば、得られる濃度は、約0.05M~約2Mの範囲であり得る。
Si含有膜形成組成物は、管および/または流量計などの従来の手段によって、蒸気形態で反応器中に送達される。蒸気形態の組成物は、直接気化、蒸留などの従来の気化工程に通して組成物を気化することによって、バブリングによって、またはXuらへのPCT公報国際公開第2009/087609号パンフレットに開示されているものなどの昇華装置を用いることによって生成され得る。組成物は、液体状態で気化器に供給されてもよく、気化器において、それは、気化されてから、反応器中に導入される。あるいは、組成物は、キャリアガスを、組成物を含む容器中に通すことによって、または組成物中へのキャリアガスのバブリングによって気化され得る。キャリアガスとしては、限定はされないが、Ar、He、またはN、およびそれらの混合物が挙げられ得る。キャリアガスによるバブリングは、組成物中に存在する任意の溶解された酸素も除去することができる。次に、キャリアガスおよび組成物は、蒸気として反応器中に導入される。
必要に応じて、容器は、組成物が液相中にあり、かつ十分な蒸気圧を有するのを可能にする温度に加熱され得る。容器は、例えば、0~150℃の範囲の温度に維持され得る。当業者は、容器の温度が、気化される組成物の量を制御するために、公知の方法で調整され得ることを認識する。
Si含有膜形成組成物の蒸気は、生成され、次に、基板を含む反応チャンバ中に導入される。反応チャンバ中の温度および圧力ならびに基板の温度が、基板上へのSi-N含有前駆体の少なくとも一部の蒸着に好適な条件に保持される。言い換えれば、反応チャンバ中への気化された組成物の導入後、反応チャンバ内の条件は、気化された前駆体の少なくとも一部が基板上に堆積されて、Si含有層を形成するように調整される。当業者は、「気化された化合物の少なくとも一部が堆積される」は、化合物の一部または全てが、基板と反応するかまたは基板に付着することを意味することを認識するであろう。本明細書において、反応剤も、Si含有層の形成を助けるために使用され得る。
反応チャンバは、限定はされないが、平行板型反応器、コールドウォール型反応器、ホットウォール型反応器、単一ウエハ反応器、複数ウエハ反応器、または他のこのようなタイプの堆積システムなどの、堆積方法が行われるデバイスの任意のエンクロージャまたはチャンバであり得る。これらの例示的な反応チャンバの全ては、ALDまたはCVD反応
チャンバとして働くことができる。反応チャンバは、全てのALDおよび減圧CVDについて、約0.5mトル~約20トルの範囲の圧力に維持され得る。減圧CVDおよび常圧CVDの圧力は、最大で760トル(大気圧)の範囲であり得る。さらに、反応チャンバ内の温度は、約20℃~約600℃の範囲であり得る。当業者は、温度が、所望の結果を得るために、実験によって最適化され得ることを認識するであろう。
反応器の温度は、基板ホルダーの温度を制御するか、または反応器壁の温度を制御することによって制御され得る。基板を加熱するのに使用されるデバイスは、当該技術分野において公知である。反応器壁は、十分な成長速度でならびに所望の物理的状態および組成を有する所望の膜を得るのに十分な温度に加熱される。反応器壁が加熱され得る非限定的な例示的な温度範囲は、約20℃~約600℃を含む。プラズマ蒸着プロセスが用いられるとき、堆積温度は、約20℃~約550℃の範囲であり得る。あるいは、熱プロセスが行われるとき、堆積温度は、約300℃~約600℃の範囲であり得る。
あるいは、基板は、十分な成長速度でならびに所望の物理的状態および組成を有する所望のケイ素含有膜を得るのに十分な温度に加熱され得る。基板が加熱され得る非限定的な例示的な温度範囲は、150℃~600℃を含む。好ましくは、基板の温度は、500℃以下のままである。
反応器は、上に膜が堆積されることになる1つまたは複数の基板を含有する。基板は、一般に、上でプロセスが行われる材料と定義される。基板は、半導体、光起電、フラットパネル、またはLCD-TFTデバイスの製造に使用される任意の好適な基板であり得る。好適な基板の例としては、ケイ素、シリカ、ガラス、またはGaAsウエハなどのウエハが挙げられる。ウエハは、前の製造工程からその上に堆積された異なる材料の1つまたは複数の層を有し得る。例えば、ウエハは、ケイ素層(結晶性、非晶質、多孔質など)、酸化ケイ素層、窒化ケイ素層、酸窒化ケイ素層、炭素ドープ酸化ケイ素(SiCOH)層、またはそれらの組合せを含み得る。さらに、ウエハは、銅層または貴金属層(例えば白金、パラジウム、ロジウム、または金)を含み得る。層は、MIM、DRAM、またはFeRam技術において誘電材料として使用される酸化物(例えば、ZrO系材料、HfO系材料、TiO系材料、希土類酸化物系材料、ストロンチウムルテニウム酸化物(SRO)などの三元酸化物系材料など)または銅と低誘電率層との間の酸素バリアとして使用される窒化物系膜(例えば、TaN)を含み得る。ウエハは、マンガン、酸化マンガンなどのバリア層を含み得る。ポリ(3,4-エチレンジオキシチオフェン)ポリ(スチレンスルホネート)(PEDOT:PSS)などのプラスチック層も使用され得る。層は、平面であるかまたはパターニングされ得る。例えば、層は、水素化炭素、例えばCH(ここで、xが、0より大きい)で作製されるパターニングされたフォトレジスト膜であり得る。開示されるプロセスは、ケイ素含有層を、ウエハ上に直接、またはウエハの上の1つまたは2つ以上の層上に直接(パターニングされた層が基板を形成する場合)堆積し得る。さらに、当業者は、本明細書において使用される「膜」または「層」という用語が、表面上に配置または塗布されたある材料の厚さを指し、表面は、トレンチまたはラインであり得ることを認識するであろう。本明細書および特許請求の範囲全体を通して、ウエハおよびその上の任意の関連する層は、基板と呼ばれる。しかしながら、多くの場合、用いられる好ましい基板は、銅、酸化ケイ素、フォトレジスト、水素化炭素、スズ、SRO、Ru、およびSi型基板(ポリシリコンまたは結晶シリコン基板など)から選択され得る。例えば、窒化ケイ素膜は、Si層上に堆積され得る。後続の処理において、交互の酸化ケイ素および窒化ケイ素層が、窒化ケイ素層上に堆積されて、3D NANDゲートに使用される複数のSiO/SiN層のスタックを形成し得る。さらに、基板は、パターニングされたまたはパターニングされていない有機または無機膜で被覆され得る。
開示されるSi含有膜形成組成物に加えて、反応剤も、反応器中に導入され得る。反応
剤は、O、O、HO、Hのうちの1つなどの酸化剤;酸素含有ラジカル(O・またはOH・、NO、NOなど);ギ酸、酢酸、プロピオン酸などのカルボン酸、NO、NO、アルコール、ジオールまたはカルボン酸のラジカル種;パラ-ホルムアルデヒド;およびそれらの混合物であり得る。好ましくは、酸化剤は、O、O、HO、H、それらの酸素含有ラジカル(O・またはOH・など)、およびそれらの混合物からなる群から選択される。好ましくは、ALDプロセスが行われるとき、反応剤は、プラズマ処理された酸素、オゾン、またはそれらの組合せである。酸化剤が使用されるとき、得られるケイ素含有膜も、酸素を含有することになる。
あるいは、反応剤は、N、NH、ヒドラジン(例えば、N、MeHNNH、MeHNNHMe)、有機アミン(例えば、N(CH)H、N(C)H、NCHH、N(CH、N(、N(C、(SiMeNH)、ピラゾリン、ピリジン、それらのラジカル、またはそれらの混合物のうちの1つなどの窒素含有種であり得る。N含有源作用物質が使用されるとき、得られるケイ素含有膜も、窒素を含有することになる。
、Hラジカル、または他のH含有ガスおよび前駆体(金属および半金属水素化物など)などの還元剤が使用されるとき、得られるケイ素含有膜は、SiCであり得る。
反応剤は、反応剤をそのラジカル形態へと分解するために、プラズマによって処理され得る。プラズマで処理されるとき、Nはまた、還元剤として用いられ得る。例えば、プラズマは、約50W~約500W、好ましくは、約100W~約200Wの範囲の電力で生成され得る。プラズマは、反応器自体の中で生成され、または存在し得る。あるいは、プラズマは、一般に、反応器から取り外された場所に、例えば、遠隔設置されたプラズマシステム中にあり得る。当業者は、このようなプラズマ処理に好適な方法および装置を認識するであろう。
開示されるSi含有膜形成組成物はまた、ハロシランまたはポリハロジシラン(ヘキサクロロジシラン、ペンタクロロジシラン、またはテトラクロロジシランなど)、および1つまたは複数の反応剤とともに使用されて、SiC、SiCN、またはSiCOH膜を形成し得る。全内容が全体的に本明細書に援用されるPCT公開番号国際公開第2011/123792号パンフレットには、アミノシラン前駆体とクロロシラン前駆体との組合せからSiN層を形成することが開示されている。開示されるSi含有膜形成組成物は、アミノシラン前駆体の代わりに使用され得る。
Si含有膜形成組成物および1つまたは複数の反応剤は、反応チャンバ中に、同時に(例えば、CVD)、連続して(例えば、ALD)、または他の組合せで導入され得る。例えば、Si-N含有前駆体は、1パルスで導入されてもよく、2つのさらなる金属源が、別のパルス(例えば、修正ALD)で一緒に導入され得る。あるいは、反応チャンバは、Si-N含有前駆体の導入の前に、反応剤を既に含有し得る。反応剤は、局在化されたまたは反応チャンバから離れたプラズマシステムに通され、ラジカルへと分解され得る。あるいは、Si-N含有前駆体は、反応チャンバに連続的に導入され得る一方、他の金属源が、パルスによって導入される(例えば、パルス-CVD)。それぞれの例において、パルスの後に、パージまたは排気工程が続いて、過剰な量の、導入された成分を除去し得る。それぞれの例において、パルスは、約0.01秒間~約10秒間、あるいは、約0.3秒間~約3秒間、あるいは、約0.5秒間~約2秒間の範囲の期間にわたって持続し得る。別の代替例において、Si-N含有前駆体および1つまたは複数の反応剤は、シャワーヘッドから同時に噴霧されてもよく、シャワーヘッドの下で、いくつかのウエハを保持するサセプタが回転される(例えば、空間ALD)。
1つの非限定的な例示的なALD型プロセスにおいて、Si含有膜形成組成物の気相が、反応チャンバ中に導入され、反応チャンバにおいて、それは、好適な基板と接触される。次に、過剰な組成物が、反応チャンバをパージおよび/または排気することによって、反応チャンバから除去され得る。酸素源が、反応チャンバ中に導入され、反応チャンバにおいて、それは、自己制御的に化学吸着または物理吸着されたSi-N含有前駆体と反応する。任意の過剰な酸素源が、反応チャンバをパージおよび/または排気することによって、反応チャンバから除去される。所望の膜が、オキシ炭化ケイ素膜である場合、この2工程プロセスが、所望の膜厚さを提供することができ、または必要な厚さを有する膜が得られるまで繰り返され得る。
別の代替例において、高密度のSiCN膜が、ヘキサクロロジシラン(HCDS)またはペンタクロロジシラン(PCDS)、開示されるSi含有膜形成組成物、およびアンモニア反応剤とともに、ALD方法を用いて堆積され得る。反応チャンバは、55sccmの、Arの連続流を用いて、5トル、550℃で制御され得る。約1sccmの流量でSi含有膜形成組成物の約10秒間の長さのパルスが、反応チャンバ中に導入される。Si含有膜形成組成物は、約30秒間にわたって、約55sccmの流量のArを用いて反応チャンバからパージされる。約1sccmの流量でHCDSの約10秒間のパルスが、反応チャンバ中に導入される。HCDSは、約30秒間にわたって、約55sccmの流量のArを用いて反応チャンバからパージされる。約50sccmの流量でNHの約10秒間の長さのパルスが、反応チャンバ中に導入される。NHは、約10秒間にわたって、約55sccmの流量のArを用いて反応チャンバからパージされる。これらの6工程は、堆積された層が好適な厚さを達成するまで繰り返される。当業者は、空間ALDデバイスを用いる場合、導入パルスが同時であり得ることを認識するであろう。PCT公開番号国際公開第2011/123792号パンフレットに記載されているように、前駆体の導入の順序は、変更されてもよく、堆積は、SiCN膜中の炭素および窒素の量を調整するために、NH反応剤を用いてまたは用いずに行われ得る。
さらに別の代替例において、ケイ素含有膜が、開示される化合物およびラジカル窒素含有または酸素含有反応剤を用いて、米国特許出願公開第2014/0051264号明細書に開示されている流動性PECVD方法によって堆積され得る。それぞれNHまたはHOなどの、ラジカル窒素含有または酸素含有反応剤は、遠隔プラズマシステムにおいて生成される。ラジカル反応剤および開示されるSi含有膜形成組成物の気相は、反応チャンバ中に導入され、反応チャンバにおいて、それらは、反応し、最初に基板上に流動性膜を堆積する。本出願人は、開示される化合物中の(RN-SiHR-(CR)-SiHR)リガンドおよびアミノ基の窒素原子が、堆積された膜の流動性をさらに向上させるのを助けて、より少ない隙間を有する膜をもたらすものと考える。本出願人は、NHプラズマを用いた流動性CVDプロセスにおいて、開示されるSi含有膜形成組成物を用いて堆積された膜が、十分なC含量を有する膜を提供する前駆体のSi-C-Si骨格のため、酸化ケイ素膜に対して所望のエッチング選択性を有するSiCN膜を生成するものと考える。
さらに別の実施形態において、流動性膜は、チャンバ中の前駆体の分圧で前駆体の露点より低い温度にウエハを保持することによって、凝縮のみによって堆積され得る(熱流動性CVD、またはT-FCVD)。このような適用例では、低い蒸気圧前駆体(典型的に、室温で<50トル、さらに好ましくは、室温で<10トル)を有することは、ウエハを極低温に冷却せずに前駆体の凝縮を促進するのに有益である。分子の置換もしくは非置換N(SiHR-CH-SiHR)およびRN(SiHR-CH-SiHR)ファミリーが、揮発性の好適な範囲を有する。次に、このような膜の架橋は、反応性ガス、プラズマ、光子、電子ビーム、中性粒子ビーム、または触媒への堆積された膜の曝露を含むがこれに限定されない様々な手段の1つまたはいくつかによって、インサイチュまた
はエクスサイチュで行うことができる。触媒は、予め堆積されるか(pre-deposited)、同時に堆積されるか(co-deposited)または後で堆積されてもよく(post-deposited)、加熱または光子への曝露などの手段によって活性化され得る。化学的に言えば、このような架橋は、限定はされないが、Si-H/N-H H脱離、ヒドロシリル化、アミン基の縮合によるシラザン形成、シラノール基の縮合によるシロキサン形成、開環重合、および/または脱水素カップリングの範囲の様々な化学反応によって行うことができる。
上述されるプロセスから得られるケイ素含有膜は、SiO、SiN、SiC、SiON、SiOC、SiNC、SiONC、SiBCN、SiCN、SiMCO(ここで、Mが、Hf、Zr、Ti、Nb、V、Ta、Al、またはGeである)を含んでもよく、ここで、SiC、SiOC、SiOCNおよびSiCNのそれぞれが、1~15%のHを含有する。当業者は、適切なSi含有膜形成組成物および反応剤の思慮深い選択によって、所望の膜組成物が得られ得ることを認識するであろう。
所望の膜厚さを得た後、膜は、熱アニール、炉アニール、高速熱アニール、UVまたはe-ビーム硬化、および/またはプラズマガスへの曝露などのさらなる処理に供され得る。当業者は、これらのさらなる処理工程を行うのに用いられるシステムおよび方法を認識している。例えば、ケイ素含有膜は、不活性雰囲気、H含有雰囲気、N含有雰囲気、O含有雰囲気、またはそれらの組合せ下で、約0.1秒間~約7200秒間の範囲の時間にわたって、約200℃~約1000℃の範囲の温度に曝され得る。最も好ましくは、温度は、反応性H含有雰囲気下で3600秒未満にわたって600℃である。得られる膜は、高密度化され得る。あるいは、基板は、反応チャンバから取り出されてもよく、アニール/フラッシュアニールプロセスは、別個の装置において行われる。特に熱アニールを除いて、上記の後処理方法のいずれも、窒素含量を減少させ、膜の湿式エッチ速度を向上させ、膜を高密度化するのに有効であることが分かった。
以下の非限定的な実施例が、本発明の実施形態をさらに例示するために提供される。しかしながら、実施例は、全てを含むことは意図されず、本明細書に記載される本発明の範囲を限定することは意図されない。
実施例1:出発材料1,2-ジシラプロパン(DSP)および1,3-ジシラブタン(DSB)の合成
3LiAlH+2SiClCHSiCl→2DSP+3LiAlCl
3LiAlH+2SiClCHCHSiCl→2DSB+3LiAlCl
水素化アルミニウムリチウムLiAlH(LAH)を、不活性雰囲気下で、機械的撹拌器を備えた4Lの容器に入れた。容器を-78℃に冷却し、次に、1Lの冷(約-30℃)ジグリム(HCOCOCOCH)(DSPの場合)またはジ-nブチルエーテル(HOC)(DSBの場合)を、容器にゆっくりと加えた。容器中の混合物を、撹拌しながら-10℃に温めた。反応混合物が20℃より温かくならないように、1,2-ビス(トリクロロシリル)メタンSiClCHSiClまたは1,2-ビス(トリクロロシリル)エタンSiClCHCHSiClを、温められた混合物に滴下して加えた。添加後、混合物を25℃に温め、2時間撹拌した。揮発性DSPまたはDSBを、30℃でトラップ(-78℃)中へと凝縮した。DSPは、82%の収率、ガスクロマトグラフィー(GC)によって示される96%の純度で単離された。DSBは、無色の液体として単離された。収率65%、GCによって示される98.8%の純度。
実施例2.NH(SiHCHSiH、N(SiHCHSiHおよ
びHSi(CH)SiHNHSiH(CH)SiHNHSiH(CH)SiHの合成
ビス((シリルメチル)シリル)アミン[NH(SiHCHSiH]、トリス((シリルメチル)シリル)アミン[N(SiHCHSiH]の合成を、Ru(0)/炭素によって触媒し、ジシラプロパンとアンモニアとの間の反応によって、加圧反応器中で行う。
Figure 0006993474000014
機械的撹拌器、熱電対、圧力計、圧力変換器および3つの絞り弁を備えた0.3Lのオートクレーブを、グローブボックスに入れた。1g(0.5mmolのRu)の、5重量%のRu/炭素触媒を、オートクレーブ中に導入した。その後、オートクレーブを、動的減圧下で140℃に着実に加熱し、3時間にわたってこの温度に保持した。室温に冷ました後、オートクレーブを、ヘリウム(800トル)で加圧し、ヘキサン(20mL)を導入した。次に、オートクレーブを液体窒素浴中で冷却した。大気中窒素を減圧下で除去し、次に、アンモニア(0.247g、0.015mol)およびジシラプロパン(10g、0.131mol)をオートクレーブ中に移した。次に、オートクレーブを60℃まで加熱した。19時間にわたって425rpmで撹拌し、室温(約23℃)に冷ました後、約24psiの圧力上昇が観察された。揮発性成分を、10トルの圧力に低下するまでステンレス鋼レクチャーボトル(SSLB)中でクライオトラッピングした。液体注入ガスクロマトグラフィー-質量分析法(GC-MS)による反応器の内容物の分析により、蒸留によって分離され得る、NH(SiHCHSiH、N(SiHCHSiHとHSi(CH)SiHNHSiH(CH)SiHNHSiH(CH)SiHとの混合物が示された。
本明細書において、生成物NH(SiHCHSiHは、アンモニア環境下で、CH(SiHと反応し続けて、HSi(CH)SiHNHSiH(CH)SiHNHSiH(CH)SiHを形成し得る。
実施例3.N,N’-ジイソプロピル-N-((シリルメチル)シリル)アセトイミドアミド[HSiCHSiH(NiPr Me-amd)または(NiPr Me-amd)HSiCHSiH(NiPr Me-amd)]の合成 N,N’-ジイソプロピル-N-((シリルメチル)シリル)アセトイミドアミドの合成を、Ru/炭素によって触媒し、ジシラプロパンとN,N’-ジイソプロピルアセトイミドアミドとの間の反応によって、加圧反応器中で行う。
グローブボックス中で、機械的撹拌器、熱電対、圧力計、圧力変換器および3つの絞り弁を備えた0.3Lのオートクレーブに、4.2g(2.1mmolのルテニウム)の、5重量%のRu/炭素触媒を充填した。その後、反応器を、動的減圧下で140℃に着実に加熱し、4時間にわたってこの温度に保持した。室温に冷ました後、反応器をヘリウム
(850トル)で加圧し、その直後に、N,N’-ジイソプロピルアセトイミドアミド(10g、0.07mol))をグローブボックス中に導入した。液体窒素浴中での反応器の浸漬の後、大気中窒素を減圧下で除去し、次に、1,3-ジシラプロパン(14.7g、0.193mol)を反応器に移した。次に、反応器を110℃まで着実に加熱した。5時間にわたって426rpmで撹拌し、室温に冷ました後、約25psiの圧力上昇が観察された。揮発性成分を、55トルの圧力に低下するまでSSLB中でクライオトラッピングした。液体注入GC-MSによる反応器の内容物の分析により、蒸留によって分離され得る、N,N’-ジイソプロピル-N-((シリルメチル)シリル)アセトイミドアミドとN,N’-ジイソプロピルアセトイミドアミドとの5:95混合物が示された。
本発明の実施形態が、示され、記載されてきたが、その変更が、本発明の趣旨または教示から逸脱せずに、当業者によって行われ得る。本明細書に記載される実施形態は、例示的なものに過ぎず、限定的なものではない。組成物および方法の多くの変形および変更が可能であり、本発明の範囲内である。したがって、保護の範囲は、本明細書に記載される実施形態に限定されず、以下の特許請求の範囲のみによって限定され、その範囲は、特許請求の範囲の主題の全ての均等物を含むものとする。

Claims (2)

  1. 式:
    N-SiHR-(CH-SiH
    (式中、n=1であり、RおよびRはHであり、RがHまたはC-Cアルキル基であり、Rが-C(R’’)=R’基(ここで、R’およびR’’がそれぞれ独立して、C アルキル基である)であり、-NR基がアミジナートN(R)-C(R’’)=R’を形成する)
    で表されるSi-N含有前駆体を含むSi含有膜形成組成物。
  2. 前記式中、 が、HまたはC-Cアルキル基である、請求項1に記載のSi含有膜形成組成物。
JP2020117629A 2015-03-30 2020-07-08 Si含有膜形成組成物 Active JP6993474B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562140415P 2015-03-30 2015-03-30
US62/140,415 2015-03-30

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2017548040A Division JP6732782B2 (ja) 2015-03-30 2016-03-30 アンモニア、アミンおよびアミジンによるカルボシランの触媒的脱水素カップリング

Publications (2)

Publication Number Publication Date
JP2020196714A JP2020196714A (ja) 2020-12-10
JP6993474B2 true JP6993474B2 (ja) 2022-01-13

Family

ID=57006316

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2017548040A Active JP6732782B2 (ja) 2015-03-30 2016-03-30 アンモニア、アミンおよびアミジンによるカルボシランの触媒的脱水素カップリング
JP2020117629A Active JP6993474B2 (ja) 2015-03-30 2020-07-08 Si含有膜形成組成物

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2017548040A Active JP6732782B2 (ja) 2015-03-30 2016-03-30 アンモニア、アミンおよびアミジンによるカルボシランの触媒的脱水素カップリング

Country Status (6)

Country Link
US (1) US10544506B2 (ja)
EP (1) EP3277699B1 (ja)
JP (2) JP6732782B2 (ja)
KR (1) KR102579982B1 (ja)
TW (2) TWI706957B (ja)
WO (1) WO2016160991A1 (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6578353B2 (ja) * 2014-09-23 2019-09-18 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Si含有膜堆積用カルボシラン置換アミン前駆体及びその方法
TWI706957B (zh) * 2015-03-30 2020-10-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
TWI724141B (zh) * 2016-03-23 2021-04-11 法商液態空氣喬治斯克勞帝方法硏究開發股份有限公司 形成含矽膜之組成物及其製法與用途
KR102548405B1 (ko) * 2017-04-20 2023-06-28 (주)디엔에프 다이실릴아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
JP6805347B2 (ja) * 2017-07-13 2020-12-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP2020534324A (ja) * 2017-09-20 2020-11-26 モメンティブ パフォーマンス マテリアルズ インコーポレイテッドMomentive Performance Materials Inc. オルガノヒドリドクロロシランの製造方法
US11482412B2 (en) * 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
KR20210104123A (ko) * 2018-12-21 2021-08-24 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 550℃ 이상의 온도에서 ald를 사용하여 si-함유 막을 증착시키기 위한 전구체 및 방법
US11499014B2 (en) * 2019-12-31 2022-11-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cureable formulations for forming low-k dielectric silicon-containing films using polycarbosilazane
WO2023086905A1 (en) * 2021-11-15 2023-05-19 Versum Materials Us, Llc Multilayered silicon nitride film

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150087139A1 (en) 2013-09-20 2015-03-26 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
JP2018511585A (ja) 2015-03-30 2018-04-26 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード アンモニア、アミンおよびアミジンによるカルボシランの触媒的脱水素カップリング
JP6578353B2 (ja) 2014-09-23 2019-09-18 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Si含有膜堆積用カルボシラン置換アミン前駆体及びその方法

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62108719A (ja) * 1985-11-08 1987-05-20 Noritake Co Ltd 窒化ケイ素の製造方法
US4883107A (en) 1987-12-04 1989-11-28 Keys Kenneth B Air inlet and automatic pressure adjustment device for a tire
JP2637265B2 (ja) * 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
US6841256B2 (en) 1999-06-07 2005-01-11 Honeywell International Inc. Low dielectric constant polyorganosilicon materials generated from polycarbosilanes
US6489030B1 (en) 2000-04-14 2002-12-03 Honeywell International, Inc. Low dielectric constant films used as copper diffusion barrier
JP4196246B2 (ja) 2000-11-17 2008-12-17 株式会社トリケミカル研究所 膜形成材料、膜形成方法、及び素子
JP2002167438A (ja) 2000-11-29 2002-06-11 Jsr Corp ケイ素ポリマー、膜形成用組成物および絶縁膜形成用材料
US6586612B2 (en) * 2001-11-16 2003-07-01 Crompton Corporation Process for the preparation of secondary and tertiary amino-functional silanes, iminoorganosilanes and/or imidoorganosilanes
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6940173B2 (en) 2003-01-29 2005-09-06 International Business Machines Corporation Interconnect structures incorporating low-k dielectric barrier films
US7579496B2 (en) 2003-10-10 2009-08-25 Advanced Technology Materials, Inc. Monosilane or disilane derivatives and method for low temperature deposition of silicon-containing films using the same
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US7098150B2 (en) 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US20060012014A1 (en) 2004-07-15 2006-01-19 International Business Machines Corporation Reliability of low-k dielectric devices with energy dissipative layer
US7358317B2 (en) 2004-09-22 2008-04-15 Jsr Corporation Polycarbosilane and method of producing the same
JP4756128B2 (ja) 2004-10-20 2011-08-24 日揮触媒化成株式会社 半導体加工用保護膜形成用塗布液、その調製方法およびこれより得られる半導体加工用保護膜
US20060228903A1 (en) * 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
ES2265291B1 (es) 2005-07-22 2008-03-01 Universidad De Alcala Nuevos dendrimeros carbosilanos, su preparacion y sus usos.
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7442822B2 (en) 2006-09-01 2008-10-28 Air Products And Chemicals, Inc. Stabilization of nitrogen-containing and oxygen-containing organosilanes using weakly basic ion-exchange resins
US20080124815A1 (en) 2006-11-03 2008-05-29 International Business Machines Corporation Method for post cap ild/imd repair with uv irradiation
US20090096106A1 (en) 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
WO2009060125A1 (en) 2007-11-06 2009-05-14 Braggone Oy Carbosilane polymer compositions for anti-reflective coatings
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
JP5317089B2 (ja) 2008-01-23 2013-10-16 独立行政法人物質・材料研究機構 成膜方法および絶縁膜
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
KR101226876B1 (ko) 2010-04-01 2013-01-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 아미노-금속 및 할로겐화 금속 전구체의 조합을 사용한 금속 질화물 함유 필름 퇴적
US8575033B2 (en) * 2011-09-13 2013-11-05 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9633863B2 (en) * 2012-07-11 2017-04-25 Cabot Microelectronics Corporation Compositions and methods for selective polishing of silicon nitride materials
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US10279959B2 (en) 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
KR101583232B1 (ko) 2012-12-31 2016-01-07 제일모직 주식회사 중합체 제조 방법 및 실리카계 절연막 형성용 조성물
US9796739B2 (en) 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US10023958B2 (en) 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US9233990B2 (en) 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
CN103881101A (zh) 2014-03-18 2014-06-25 天津大学 一种碳氮化硅陶瓷用聚碳硅氮烷前驱体及其制备方法
SG10202000545RA (en) * 2014-10-24 2020-03-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing films
TWI585230B (zh) * 2015-02-06 2017-06-01 氣體產品及化學品股份公司 用於碳摻雜的含矽膜的組合物及其方法
CN113936994A (zh) * 2015-05-01 2022-01-14 应用材料公司 使用表面封端化学性质的薄膜电介质的选择性沉积
TWI724141B (zh) * 2016-03-23 2021-04-11 法商液態空氣喬治斯克勞帝方法硏究開發股份有限公司 形成含矽膜之組成物及其製法與用途

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150087139A1 (en) 2013-09-20 2015-03-26 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
JP6578353B2 (ja) 2014-09-23 2019-09-18 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Si含有膜堆積用カルボシラン置換アミン前駆体及びその方法
JP2018511585A (ja) 2015-03-30 2018-04-26 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード アンモニア、アミンおよびアミジンによるカルボシランの触媒的脱水素カップリング

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
HUBERT SCHMIDBAUR ET AL.,Z. NATURFORSCH.,1990年,Vol.45,Pages 1679-1683

Also Published As

Publication number Publication date
WO2016160991A1 (en) 2016-10-06
JP2020196714A (ja) 2020-12-10
TW202043246A (zh) 2020-12-01
JP6732782B2 (ja) 2020-07-29
US20180087150A1 (en) 2018-03-29
EP3277699A4 (en) 2018-11-14
US10544506B2 (en) 2020-01-28
KR20170132203A (ko) 2017-12-01
TWI706957B (zh) 2020-10-11
JP2018511585A (ja) 2018-04-26
TW201718605A (zh) 2017-06-01
TWI716333B (zh) 2021-01-11
KR102579982B1 (ko) 2023-09-18
EP3277699A1 (en) 2018-02-07
EP3277699B1 (en) 2020-12-02

Similar Documents

Publication Publication Date Title
JP6993474B2 (ja) Si含有膜形成組成物
JP7320544B2 (ja) Si含有膜形成組成物およびその使用方法
JP6500014B2 (ja) 蒸着用前駆体およびその作製方法
JP6242026B2 (ja) Ald/cvdシリコン含有膜用のオルガノシラン前駆体
JP7193478B2 (ja) 高成長速度ケイ素含有膜の前駆体としての官能化シクロシラザン

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200708

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210824

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211105

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20211130

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211209

R150 Certificate of patent or registration of utility model

Ref document number: 6993474

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150