JP6500014B2 - 蒸着用前駆体およびその作製方法 - Google Patents

蒸着用前駆体およびその作製方法 Download PDF

Info

Publication number
JP6500014B2
JP6500014B2 JP2016517387A JP2016517387A JP6500014B2 JP 6500014 B2 JP6500014 B2 JP 6500014B2 JP 2016517387 A JP2016517387 A JP 2016517387A JP 2016517387 A JP2016517387 A JP 2016517387A JP 6500014 B2 JP6500014 B2 JP 6500014B2
Authority
JP
Japan
Prior art keywords
branched
sih
reaction
linear
reaction mixture
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016517387A
Other languages
English (en)
Other versions
JP2016537305A (ja
Inventor
サンチェス アントニオ
サンチェス アントニオ
ジラール ジャン−マルク
ジラール ジャン−マルク
イトヴ ゲナディ
イトヴ ゲナディ
カンデルワル マニッシュ
カンデルワル マニッシュ
ダミアン スティーブンズ マシュー
ダミアン スティーブンズ マシュー
ペン チャン
ペン チャン
Original Assignee
レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード filed Critical レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Publication of JP2016537305A publication Critical patent/JP2016537305A/ja
Application granted granted Critical
Publication of JP6500014B2 publication Critical patent/JP6500014B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/16Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of arsenic, antimony, bismuth, vanadium, niobium, tantalum, polonium, chromium, molybdenum, tungsten, manganese, technetium or rhenium
    • B01J23/24Chromium, molybdenum or tungsten
    • B01J23/26Chromium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/38Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of noble metals
    • B01J23/40Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of noble metals of the platinum group metals
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/38Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of noble metals
    • B01J23/40Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of noble metals of the platinum group metals
    • B01J23/46Ruthenium, rhodium, osmium or iridium
    • B01J23/462Ruthenium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/70Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of the iron group metals or copper
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/16Catalysts comprising hydrides, coordination complexes or organic compounds containing coordination complexes
    • B01J31/1616Coordination complexes, e.g. organometallic complexes, immobilised on an inorganic support, e.g. ship-in-a-bottle type catalysts
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/21Cyclic compounds having at least one ring containing silicon, but no carbon in the ring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/442Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using fluidised bed process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Low-Molecular Organic Synthesis Reactions Using Catalysts (AREA)
  • Catalysts (AREA)

Description

本出願は、2013年9月27日付けで出願された米国仮特許出願第61/883,452号に対する優先権を主張するものである。この米国仮特許出願の優先権が主張される。
ケイ素−ヘテロ原子及びゲルマニウムヘテロ原子結合を形成するための文献中に見られるプロセスの殆どは、クロロシラン及び求核試薬(アミン、ホスフィン等)の反応を伴う。これらの反応は正味の(net)脱ハロゲン化水素に基づくため、塩基により除去する必要がある1当量のハロゲン化水素を形成し、濾別する必要がある大量の塩を形成する。また、これにより反応の範囲が塩基適合基板に制限され、塩素及びアミノハロゲン等のハロゲンで汚染された生成物が生じる。
モノシラン、ジシラン及びトリシラン等のシラン化合物は様々な用途で使用される。半導体分野では、シラン化合物は例えば窒化ケイ素、酸化ケイ素又は酸窒化ケイ素のケイ素ベース誘電体又は半導体膜の化学蒸着(CVD)による作製に出発物質としてよく使用される。より具体的には、シラン化合物はアンモニア等の窒素含有反応ガスとの反応によって窒化ケイ素、酸素等の酸素含有ガスとの反応によって酸化ケイ素、窒素含有ガス及び酸素含有ガスとの反応によって酸窒化ケイ素を生じ得る。
現在、窒化ケイ素膜をCVDにより作製する標準的な方法は、アンモニアガス又は他のアミン(アミノ化合物)とクロロシラン等のハロシラン(シラン化合物)との間で反応を誘導することを含む。しかしながら、塩化アンモニウム又はアミン塩酸塩がこの反応により副生成物として生成する。塩化アンモニウムは白色固体であり、それ自体がCVD反応装置の排気ラインに蓄積し、詰まらせる。アミン塩酸塩はCVDチャンバ中の金属と反応し、半導体材料の電気的特性を低下させるか又は他のタイプの欠陥を生じる可能性があるため、電気的用途で使用されるアミノシランにおいて極めて望ましくない汚染物質である。それだけでなく、これらの塩はHClを生じる解離−再結合プロセスによって昇華することが知られている。塩化水素は、CVDチャンバにおいて行われる任意のプロセス及びチャンバ自体を損なう可能性がある腐食性ガスである。これらの及び任意の他の供給源に由来する反応性塩素は、これらの有害作用を引き起こし得る。
したがってCVD法では、ハロゲン無含有である出発物質を用いることが望まれる。
典型的な反応装置を示す図であり、ここで反応槽は撹拌器が取り付けられ、真空及び反応物を供給することが可能なマニホールドに接続されたオートクレーブ反応器である。 典型的な反応装置を示す図であり、ここで反応容器には水素放出を可能にする凝縮器、及び生成物の除去のためのフィルターを有する浸漬管が取り付けられている。
ハロゲン塩副生成物を形成せずにケイ素−窒素結合を有する化合物を合成する方法を開発した。本明細書で記載及び特許請求する合成方法により作製される全ての化合物は、本明細書で規定されるように「ハロゲン無含有」である。この手法は、ガス及び窒素ケイ素結合を形成する、触媒による水素のデヒドロカップリングに基づいている。このプロセス
は遷移金属触媒により触媒される。触媒は不均一であってもよいし、又は均一であってもよい。第二級アミンの一般反応を方程式1に示す。一般反応を方程式1に示す。方程式1の反応は発熱性である。反応は溶媒中で行ってもよいし、又は溶媒を使わずに行ってもよい。反応はバッチ式反応器又は連続流式反応器中で行うことができる。バッチ式反応器を使用した場合、反応器はクライオトラップに接続した凝縮器に取り付けてもよい(図2)。この集成装置では、反応が起こる際に形成された水素を排気することができる。圧力は凝縮器とクライオトラップとの間に取り付けた背圧制御弁により制御することができる。クライオトラップは、反応中及び/又は反応後に水素を系の外に送ることを可能とする排気ラインに接続してもよい。フィルターを有する浸漬管により容器からの生成物の取り出しが容易になる。
特に断りのない限り、「アミン」という用語は、本明細書で使用する場合、常に第二級アミンを指す。
1.(HSi)N+nHNR+mHNR+kHNR=(RN)(RN)(RN)(NSi(9−n−m−k))+(n+m+k+)H
(式中、n=1〜3であり、m=0〜3であり、k=0〜3であり、R、R、及びRは独立してH、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルの群から選択され、RN、RN及びRNはアジリジン、アゼチジン、ピペリジン、ピロリジン、ピロール、イミダゾール、ピラゾール、インドールを含む環状第二級アミン又は該環状第二級アミンの任意のC置換誘導体とすることができ、R、R、及びRは独立して直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリル、アジリジン、アゼチジン、ピペリジン、ピロリジン、ピロール、イミダゾール、ピラゾール、インドールを含む環状第二級アミン又は該環状第二級アミンの任意のC置換誘導体の群から選択される)。
アルキル置換基の成員の非限定的なリストにはメチル、エチル、プロピル、イソプロピル、ブチル、tert−ブチル、sec−ブチル、イソブチル、ペンチル、ネオペンチル、イソペンチル、ヘキシル、イソヘキシルが含まれる。アリール置換基の成員の非限定的なリストにはフェニル、トリル、キシリル、ナフチル、ピリジルが含まれる。
アルケニルは、1つの水素原子を除去することによるアルケンに由来する任意の一価脂肪族炭化水素ラジカルC2n−1(2−ブテニルCHCH:CHCH−等)として規定される。ここでn=2〜8である。
アルキニルは、炭素間三重結合及び一般式C2n−2を有する一連の開鎖炭化水素のいずれかとして規定される。ここでn=2〜8である。
アミン化合物の構造及びSi化合物の構造及びSiとNとのモル比に応じて、Si−N結合を含有する多数の分子を形成することができる。Si−N結合を含有するこれらの分子は直鎖又は分岐であり得る。直鎖及び分岐並びに組合せ、並びに各々を合成する方法の例を記載する。
式:
(RN)(RN)(RN)(NSi(9−n−m−k))(式中、n=1〜3であり、m=0〜3であり、k=0〜3であり、R、R、及びRは独立してH、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルの群から選択され、R、R、及びRは独立して直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルの群から選択され、RN、RN及びRNは独立してアジリジン、アゼチジン、ピペリジン、ピロリジン、ピロール、イミダゾール、ピラゾール、インドールの群から選択される環状第二級アミン又は該環状第二級アミンの任意のC置換誘導体とすることができる)を有する化合物。R、R、又はRがHである場合、アミンは第一級アミンである。R、R、又はRがHである場合に、対応するR、R、又はRが独立して直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリル、アジリジン、アゼチジン、ピペリジン、ピロリジン、ピロール、イミダゾール、ピラゾール、インドールを含む環状第二級アミン又は該環状第二級アミンの任意のC置換誘導体の群から選択されれば、多置換を避けることができる。
現行の技術は、本明細書で記載する一般構造の範囲内にある化合物を記載している。かかる化合物の全ては、本発明の化合物の請求項から除外される。W. M. Scantlin and A. D. Norman Inorganic Chemistry, Vol. 11, No. 12, 3082-3084, 1972に見出される化合物[(SiHN]SiHは除外される。
式:
(式中、n=1〜3であり、m=0〜3であり、k=0〜3であり、R、R、及びRは独立してH、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルの群から選択され、R、R、及びRは独立して直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルの群から選択され、RN、RN及びRNは独立してアジリジン、アゼチジン、ピペリジン、ピロリジン、ピロール、イミダゾール、ピラゾール、インドールの群から選択される環状第二級アミン又は該環状第二級アミンの任意のC置換誘導体とすることができる)を有する化合物(R、R、又はRがHである場合、アミンは第一級アミンである。R、R、又はRがHである場合に、対応するR、R、又はRが独立して直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリル、アジリジン、アゼチジン、ピペリジン、ピロリジン、ピロール、イミダゾール、ピラゾール、インドールを含む環状第二級アミン又は該環状第二級アミンの任意のC置換誘導体の群から選択されれば、多置換を避けることができる)を作製する方法であって、
a)反応物TSA及びnRNH及びmRNH及びkRNHを遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
b)任意に上記反応混合物に溶媒を添加することと、
c)約0℃〜約250℃の温度に上記反応混合物を維持することと、
d)反応を進行させて、(RN)(RN)(RN)(NSi(9−n−m−k))を形成することと、
e)上記(RN)(RN)(RN)(NSi(9−n−m−k))を上記反応混合物から分離することと、
を含み、上記反応混合物の温度は合成中に変動し得るが、該反応混合物の温度が約0℃を下回らず、約250℃を超えないように維持される、方法。
式:
(RN)(RN)(RN)(NSi(15−n−m−k)
(式中、n=1〜3であり、m=0〜3であり、k=0〜3であり、R、R、及びRは独立してH、直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルの群から選択され、R、R、及びRは独立して直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルの群から選択され、RN、RN及びRNは独立してアジリジン、アゼチジン、ピペリジン、ピロリジン、ピロール、イミダゾール、ピラゾール、インドールを含む環状第二級アミン又は該環状第二級アミンの任意のC置換誘導体とすることができる)を有する化合物を作製する方法であって、
a)反応物TDSA及びnRNH及びmRNH及びkRNHを遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
b)任意に上記反応混合物に溶媒を添加することと、
c)約0℃〜約250℃の温度に上記反応混合物を維持することと、
d)反応を進行させて、(RN)(RN)(RN)(NSi(15−n−m−k))を形成することと、
e)上記生成物(RN)(RN)(RN)(NSi(15−n−m−k))を上記反応混合物から分離することと、
を含み、上記反応の温度は合成中に変動し得るが、上記反応混合物の温度が約0℃を下回らず、約250℃を超えないように維持される、方法。
式:
(式中、R、Rは独立して直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリルの群から選択され、RNはアジリジン、アゼチジン、ピペリジン、ピロリジン、ピロール、イミダゾール、ピラゾール、インドールの群から選択される環状第二級アミン又は該環状第二級アミンの任意のC置換誘導体とすることができ、R、R、及びRは独立してH及び直鎖若しくは分岐C〜Cアルキル、直鎖若しくは分岐C〜Cアルケニル、直鎖若しくは分岐C〜Cアルキニル、C〜C10アリール、直鎖若しくは分岐C〜Cアルキルエーテル、シリル、トリメチルシリル、又は直鎖若しくは分岐C〜Cアルキル置換シリル、アジリジン、アゼチジン、ピペリジン、ピロリジン、ピロール、イミダゾール、ピラゾール、インドールの群から選択される環状第二級アミン又は該環状第二級アミンの任意のC置換誘導体の群から選択され、ここでRは直鎖又は分岐C〜Cアルキル、直鎖又は分岐C〜Cアルケニル、直鎖又は分岐C〜Cアルキニル、C〜C10アリール、直鎖又は分岐C〜Cアルキルエーテルの群から選択される)を有する化合物を作製する方法であって、
a)反応物N[(SiH)(SiH)(SiH)]及びHNRを遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
b)任意に上記反応混合物に溶媒を添加することと、
c)約0℃〜約250℃の温度に上記反応混合物を維持することと、
d)反応を進行させて、N[(SiH)(SiH)(SiHRNR)]を形成することと、
e)上記生成物N[(SiH)(SiH)(SiHRNR)]を上記反応混合物から分離することと、
を含み、上記反応混合物の温度は合成中に変動し得るが、該反応混合物の温度が約0℃を下回らず、約250℃を超えないように維持される、方法。
及びR=エチル、R、R及びR=Hの場合の例示構造:
式:
を有する化合物を作製する方法であって、
a)反応物TSA及びHN(CHCHを遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
b)任意に溶媒を反応混合物に添加することと、
c)反応混合物を約0℃〜約250℃の温度に維持することと、
d)反応を進行させ、HSiN(CHCHを形成することと、
e)HSiN(CHCHを反応混合物から分離することと、
を含み、反応温度は合成中に変動し得るが、反応混合物の温度が約0℃を下回らず、約250℃を超えないように維持される、方法。
本明細書で塩素無含有、ハロゲン無含有、アミノ塩素無含有及びアミノハロゲン無含有という用語は、5ppm未満のハロゲン、好ましくは3ppm未満のハロゲン、更に好ましくは1ppm未満のハロゲンを含有する化合物を規定するのに用いられる。ハロゲン及びハロゲン化物という用語はフッ素、塩素、臭素及びヨウ素を包含する。ハロゲン無含有生成物は、本発明の出発反応物、触媒及び任意の溶媒がハロゲン無含有であるため本合成において達成される。アミノハロゲン化物及びアミノハロゲンという用語は、限定されないが、ハロゲンと会合しているアンモニア及び有機アミンを含む任意のアミンを指す。この会合は、塩、錯体又は化学結合であってもよい。本明細書で「反応槽」及び「反応器」という用語は、同じ器具を指し、同じ意味を持ち、区別なく用いられる。反応器はバッチ式合成用容器又は連続合成を促進する流動容器であってもよい。「反応混合物」という用語は、生成物を形成するように反応を起こす、反応物と、触媒と、任意に溶媒との組合せを指す。「ハロゲン化物無含有」及び「ハロゲン無含有」という用語は、本開示及び特許請求の範囲で使用する場合、限定されないが、ハロゲンイオン、結合ハロゲン及びアミノハロゲン等の全ての供給源に存在するハロゲンの濃度に言及している。
以下の方法により、
a)アミン及びTSA反応物を遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
b)任意に上記反応混合物に溶媒を添加することと、
c)約0℃〜約250℃の温度に上記反応混合物を維持することと、
d)反応を進行させて、生成物を形成することと、
e)上記生成物を上記反応混合物から分離することと、
を含むアミノ−トリシリルアミンの合成が示される。
本明細書で使用される「温度に〜を維持する」という用語は、指定の最小温度及び最大温度内の温度を生じるように必要に応じて加熱又は冷却することを意味する。反応槽へのアミン及びシランの添加順序は、アミンが先であっても又はシランが先であってもよい。出発物質がハロゲン無含有である場合、生成物はハロゲン及びアミノハロゲン無含有となる。
本発明において好適な不均一触媒としては、遷移金属触媒及び希土類元素が挙げられる。触媒はSc、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Zn、Y、Zr、Nb、Mo、Tc、Ru、Rh、Pd、Ag、Cd、La、Hf、Ta、W、Re、Os、Ir、Pt、Au、Hg、Yb及びUからなる群から選択される。好ましい触媒はRu、Pd、Rh、Ir、Fe、Ni、Pt、Cr、Cu及びAuからなる群から選択される。より好ましい触媒はRh、Pd、Ru及びPtからなる群から選択される。最も好ましい触媒はRu及びRu/炭素である。更に好ましい触媒はPd/MgOである。
本発明の触媒は支持体に固定されるのが好ましい。支持体は高表面積の固体である。典型的な支持体材料としては、アルミナ、MgO、ゼオライト、炭素、モノリスコージライト、珪藻土、シリカゲル、シリカ/アルミナ、ZrO及びTiOが挙げられるが、これらに限定されない。好ましい支持体は炭素、アルミナ、シリカ及びMgOである。より好ましい支持体は炭素である。支持体は約1m/g〜約3000m/gの範囲のBET表面積を有する。好ましい範囲は約100m/g〜約2000m/gである。触媒の金属負荷は約0.01重量パーセント〜約50重量パーセントの範囲である。好ましい範囲は約0.5重量パーセント〜約20重量パーセントである。より好ましい範囲は約0.5重量パーセント〜約10重量パーセントである。触媒は多数の既知の方法によって活性化することができる。真空下での触媒の加熱が好ましい方法である。触媒は反応槽に添加する前、又は反応物の添加前に反応槽内で活性化することができる。
触媒は助触媒を含有していてもよい。助触媒はそれ自体が触媒ではないが、活性触媒と少量混合することでそれらの効率(活性及び/又は選択性)を増大する物質である。助触媒は通常はMn、Ce、Mo、Li、Re、Ga、Cu、Ru、Pd、Rh、Ir、Fe、Ni、Pt、Cr、Cu及びAu等の金属及び/又はそれらの酸化物である。これらは反応容器に別個に添加しても、又は触媒自体の一部であってもよい。例えば、Ru/Mn/C(マンガンによって促進されるルテニウム/炭素)又はPt/CeO/Ir/SiO(セリア及びイリジウムによって促進される白金/シリカ)が挙げられる。幾つかの助触媒は単独で触媒として作用し得るが、主触媒と組み合わせて使用することにより主触媒の活性を改善することができる。触媒は他の触媒の助触媒としても作用し得る。この状況で、触媒は二金属(又は多金属)触媒とも称され得る。例えば、Ru/Rh/Cはルテニウム/炭素及びロジウム二金属触媒又はロジウムによって促進されるルテニウム/炭素とも称され得る。活性触媒は特定の化学反応で触媒として作用する物質である。
触媒は、真空下又は不活性ガス若しくは水素等の還元ガス若しくはヘリウム下で高温にて通常行われる活性化を必要とする場合がある。典型的には、約125℃、約−14psig(約1Torr)で触媒を活性化する。動的真空とは、本明細書で使用する場合、約1Torrの真空を指す。活性化条件は選択される触媒により幾らか異なる。様々な触媒を活性化する条件は当該技術分野で既知である。活性化された触媒はその後の使用のために保管することができる。
本発明で溶媒が使用される場合、反応物と非反応性の溶媒が選択される。溶媒は無水であり、触媒を不活性化(汚染)しない。かかる溶媒の非限定的なリストとしては、C〜C20直鎖、分岐又は環状アルカン及びそれらの混合物等のアルカン、1−オクタデセン、シクロオクタジエン及びシクロヘキセン等のアルケン、塩化メチレン及び塩化エチレン等のクロロアルカン、トルエン、キシレン、メシチレン及びナフタレン等のアレーン、並びにキノリン及びピリジン等の複素環、並びにそれらの混合物が挙げられる。好ましい溶媒はn−オクタデカンである。溶媒をその沸点が生成化合物の沸点と約10℃異なるように選択するのが好ましい。
本発明で使用される不活性ガスは反応条件下で反応性でない。不活性ガスの非限定的な
リストとしては、ヘリウム、アルゴン及び窒素が挙げられる。好ましいガスはヘリウムである。
機械撹拌器(mechanical stirred)を備えたParrのオートクレーブは反応槽に好適である。一置換トリシリルアミン及び一置換トリジシリルアミンの合成では、反応開始時のアミンに対するTSA又はTDSAのモル比は約0.5〜約5、好ましくは約1〜約3の範囲内にある。多置換TSA及び多置換TDSAでは、反応開始時のアミンに対するTSA又はTDSAのモル比は約0.1〜約0.9の範囲内にある。
クライオトラッピングという用語は、クライオトラップ中でガス状物質を凝縮することを意味する。
実施例1−加圧反応器中での市販のルテニウム/炭素触媒により触媒されるトリシリルアミン(TSA)とジエチルアミンとの間の反応によるジエチルアミノシリル−ビス−ジシリルアミン合成:機械撹拌器、熱電対、圧力計及び圧力変換器並びに3つの絞り弁を備えた0.3L容のオートクレーブに5.3g(0.0025mmolのルテニウム)の5重量%のルテニウム/炭素触媒を投入した。その後反応器を動的真空下で約125℃において3時間加熱した。この場合に用いる動的真空とは、約1Torrの真空を指す。室温に冷却後、14.8g(0.202mol)のジエチルアミンを反応器に添加し、引き続き反応器を液体窒素浴中で約−130℃に冷却した。40g(0.372mol)のトリシリルアミンを反応器に移した。その後反応器を約100℃に徐々に加熱した。約400rpmで65分撹拌した後、圧力は約300psi上昇した。圧力は形成される水素(及び生成物)の量に比例して増加するため、圧力は反応の規模によって変わる。反応は、圧力が増加しなくなった時に完了する。反応が完了する前に反応を停止するのが望ましい場合がある。反応器を室温(「RT」)に冷却した。揮発性物質をSSLB中の液体窒素温度のクライオトラップ中で集めた。反応器の圧力は50Torrに減少した。
1−ジエチルアミノシリル−ビス−ジシリルアミンを反応槽から回収した。
得られた溶液は30%(11.3g)のジエチルアミノシリル−ビス−ジシリルアミンを含有していた。非単離収率は30%であった。
構造:ジエチルアミノシリル−ビス−ジシリルアミン:
一般合成 TSAアミン化合物:
a)アミン置換TSA化合物は、加圧反応器中で遷移金属触媒により触媒されるトリシリルアミン(TSA)とアミンとの間の反応により合成される:機械撹拌器、熱電対、圧力計及び圧力変換器並びに3つの絞り弁を備えたオートクレーブ又は同様な加圧反応容器に遷移金属触媒を投入する。その後反応器を動的真空下で約125℃において3時間加熱し、触媒を活性化する。触媒を反応槽に添加する前に、又は反応槽中で反応物を添加する前
に活性化してもよい。触媒を反応槽に添加する前に活性化した場合は、触媒を活性化するための事前の約125℃、動的真空下での3時間の加熱工程を省く。室温に冷却後、アミンを反応器に添加し、引き続き反応器を液体窒素浴中で−130℃に冷却する。適切な量のトリシリルアミンを反応器に移す。反応器の温度を約0℃より下がらないように、また約250℃を超えないように維持する。約5分〜約2日撹拌することにより、反応器の圧力は反応が完了するまで増加する。圧力は形成される水素(及び生成物)の量に比例して増加する。したがって、反応器の圧力は各反応物の規模、量と、反応の規模、量と、反応器の大きさとによって異なってくる。反応は、圧力が増加しなくなった時に完了する。反応が完了した後、反応器をRTに冷却する。揮発性物質をSSLB中の液体窒素温度のクライオトラップ中で集め、反応器の圧力は約40Torr〜約100Torrに減少する。TSA/アミンモル比は、一置換TSA/アミン化合物を作製するために約0.5〜約5、より好ましくは約1〜約3である。TSA/アミンモル比は、多置換TSA/アミン化合物を作製するために約0.1〜約0.9である。比が小さければ小さいほど、TSA化合物におけるアミンの置換度は大きくなる。
好ましいTSA/アミン化合物合成用触媒はRu、Pd、Rh、Ir、Fe、Ni、Pt、Cr、Cu及びAuからなる群から選択される。最も好ましい触媒はルテニウムである。
一般合成 TDSAアミン化合物:
a)アミン置換TDSA化合物は、加圧反応器中で遷移金属触媒により触媒されるトリジシリルアミン(TDSA)とアミンとの間の反応により合成される:機械撹拌器、熱電対、圧力計及び圧力変換器並びに3つの絞り弁を備えたオートクレーブ又は同様な加圧反応容器に遷移金属触媒を投入する。その後反応器を動的真空下で約125℃において3時間加熱し、触媒を活性化する。触媒を反応槽に添加する前に、又は反応槽中で反応物を添加する前に活性化してもよい。触媒を反応槽に添加する前に活性化した場合は、触媒を活性化するための事前の約125℃、動的真空下での3時間の加熱工程を省く。室温に冷却後、アミンを反応器に添加し、引き続き反応器を液体窒素浴中で−130℃に冷却する。適切な量のトリシリルアミンを反応器に移す。反応器の温度を約0℃より下がらないように、また約250℃を超えないように維持する。約5分〜約2日撹拌することにより、反応器の圧力は反応が完了するまで増加する。圧力は形成される水素(及び生成物)の量に比例して増加する。したがって、反応器の圧力は各反応物の規模、量と、反応の規模、量と、反応器の大きさとによって異なってくる。反応は、圧力が増加しなくなった時に完了する。反応が完了した後、反応器をRTに冷却する。揮発性物質をSSLB中の液体窒素温度のクライオトラップ中で集め、反応器の圧力は約40Torr〜約100Torrに減少する。TSA/アミンモル比は、一置換TDSA/アミン化合物を作製するために約0.5〜約5、より好ましくは約1〜約3である。TSA/アミンモル比は、多置換TDSA/アミン化合物を作製するために約0.1〜約0.9である。比が小さければ小さいほど、TDSA化合物におけるアミンの置換度は大きくなる。
好ましいTDSA/アミン化合物合成用触媒はRu、Pd、Rh、Ir、Fe、Ni、Pt、Cr、Cu及びAuからなる群から選択される。最も好ましい触媒はルテニウムである。
「非単離収率」という用語は、収率を反応粗生成物の重量を量り、生成物の量をそのクロマトグラムより推定することにより求めることを意味する。「単離収率」という用語は、生成物を精製及び秤量し、秤量された量が表す理論パーセントによってパーセント収率を決定することを意味する。
本発明のアミノトリシリルアミン及びアミノトリジシリルアミンは、蒸着法の前駆体と
して使用する。開示の前駆体を蒸着法に使用する方法を本明細書に開示する。開示の方法はケイ素含有膜の堆積への前駆体の使用をもたらす。開示の方法は半導体、光起電、LCD−TFT又はフラットパネル型のデバイスの製造に有用であり得る。この方法は、開示の前駆体の蒸気を少なくとも1つの基板が中に配置された反応器に導入することと、蒸着プロセスを用いて開示の前駆体の少なくとも一部を基板上に堆積させ、Si含有層を形成することと、を含む。
開示の方法は、蒸着プロセスを用いた基板上でのバイメタル含有層の形成、より具体的にはSiMN膜及びSiMO膜(ここで、xは0〜4である)並びにSiMO膜(ここで、x+yは0〜4であり、MはTa、Hf、Zr、Ti、Ni、Mn、Ge、B、Nb、Mg、Al、Sr、Y、Ba、Ca、As、Sb、Bi、Sn、Pb、Co、ランタニド(Er等)又はそれらの組合せの群の金属である)の堆積ももたらす。SiMO又はSiMOの一般用語は、Si/(Si+M)の範囲が約5%〜約95%の様々な相対濃度のSi及びMを包含する。
ケイ素含有層を基板上に形成する開示の方法は半導体、光起電、LCD−TFT又はフラットパネル型のデバイスの製造に有用であり得る。開示の前駆体により、当該技術分野で既知の任意の蒸着法を用いてSi含有膜を堆積させることができる。好適な蒸着法の例としては、化学蒸着(CVD)又は原子層堆積(ALD)が挙げられる。例示的なCVD法としては、熱CVD、プラズマ促進CVD(PECVD)、パルスCVD(PCVD)、低圧CVD(LPCVD)、減圧CVD(SACVD)又は大気圧CVD(APCVD)、ホットワイヤCVD(熱線を堆積プロセスのエネルギー源とするcat−CVDとしても知られるHWCVD)、リモートプラズマCVD(RP−CVD)、UV支援CVD、流動性CVD(FCVD)、ラジカル援用(radicals incorporated)CVD及びそれらの組合せが挙げられる。例示的なALD法としては、熱ALD、プラズマ支援ALD(PEALD)、空間隔離ALD、ホットワイヤALD(HWALD)、ラジカル援用ALD、UV支援ALD及びそれらの組合せが挙げられる。超臨界流体堆積を用いてもよい。開示の方法は、Applied Materials, Inc.の米国特許出願公開第2014/0051264号(その内容全体が引用することにより本明細書の一部をなすものとする)に記載の流動性PECVD堆積プロセスに用いることもできる。堆積法は好ましくはALD、空間ALD、PE−ALD又は流動性CVD(F−CVD)である。
前駆体の蒸気を少なくとも1つの基板の入った反応チャンバに導入する。反応チャンバ内の温度及び圧力並びに基板の温度は、基板上への前駆体の少なくとも一部の蒸着に好適な条件に保持される。言い換えると、蒸発前駆体をチャンバに導入した後、チャンバ内の条件を蒸発前駆体の少なくとも一部が基板に堆積し、ケイ素含有膜を形成するようにする。Si含有層の形成を助けるために共反応物を使用してもよい。共反応物は前駆体と同時に又は別個に順次に導入することができ、O、O、Oラジカル及びイオン、NO、NO、HO、H、CO、CO、カルボン酸、ホルマリン、アルコール、ジオール、NH、ヒドラジン(置換又は非置換、UDMH、テルブチルヒドラジン(terbutylhydrazine)等)、アミン(DMA、TMA、DEA、TEA、TB、NH等)、ジアミン、Nラジカル及びイオン、H、並びにそれらの混合物から選択される。
反応チャンバは、限定されるものではないが平行板型反応器、コールドウォール型反応器、ホットウォール型の反応器、単一ウエハー反応器、マルチウエハー反応器、又は空間ALDチャンバ、ロールツーロールALDチャンバ等のこのようなタイプの他の堆積システムのような堆積法が行われるデバイスの任意のエンクロージャ又はチャンバであり得る。これらの例示的な反応チャンバは全て、ALD反応チャンバとすることが可能である。反応チャンバは約1mTorr〜約760Torrの範囲の圧力に維持され得る。加えて、反応チャンバ内の温度は約20℃〜約600℃の範囲であり得る。所望の結果を達成す
るために単なる実験により温度を最適化することができることが当業者に認識される。
反応器の温度は基板ホルダーの温度を制御するか、反応器壁の温度を制御するか、又は基板自体の温度を制御することによって制御することができる。基板の加熱に用いられるデバイスは当該技術分野で既知である。反応器壁は、所望の膜を十分な成長速度並びに所望の物理状態及び組成で得るのに十分な温度に加熱される。反応器壁を加熱することができる非限定的な例示的な温度範囲としては、およそ20℃〜およそ600℃が挙げられる。プラズマ堆積プロセスを利用する場合、堆積温度はおよそ20℃〜およそ550℃の範囲であり得る。代替的には、熱プロセスを行う場合、堆積温度はおよそ200℃〜およそ600℃の範囲であり得る。
代替的には、基板は所望のケイ素含有膜を十分な成長速度並びに所望の物理状態及び組成で得るのに十分な温度に加熱することができる。基板を加熱することができる非限定的な例示的な温度範囲としては150℃〜600℃が挙げられる。基板の温度を500℃以下に保つのが好ましい。
ケイ素含有膜を堆積させる基板のタイプは最終使用目的に応じて異なる。基板は概して、プロセスが行われる材料と規定される。基板は半導体、光起電、フラットパネル又はLCD−TFTデバイスの製造に使用される任意の好適な基板であり得る。好適な基板の例としては、ケイ素、シリカ、ガラス、Ge又はGaAsウエハー等のウエハーが挙げられる。ウエハーは先の製造工程により異なる材料を堆積させた1つ又は複数の層を有し得る。例えば、ウエハーはケイ素層(結晶性、非晶質、多孔質等)、酸化ケイ素層、窒化ケイ素層、酸窒化ケイ素層、炭素ドープ酸化ケイ素(SiCOH)層、多孔質炭素ドープ酸化ケイ素層、炭窒化ケイ素、水素化(hydrogenerated)炭化ケイ素又はそれらの組合せを含み得る。さらに、ウエハーは銅層、タングステン層又は金属層(例えば白金、パラジウム、ニッケル、ロジウム、金、コバルト、ゲルマニウム、アンチモン、テルル、スズ、ルテニウム及びそれらの合金)を含み得る。ウエハーはマンガン、酸化マンガン、Ta、W、Ti、V、Zr、Hg、Nb、Mo、Mn及びRuの窒化物等の障壁層を含み得る。窒化物はCドープ窒化物であってもよい。ポリ(3,4−エチレンジオキシチオフェン)ポリ(スチレンスルホネート)[PEDOT:PSS]等のプラスチック層を使用してもよい。膜はフォトレジスト層、非晶質炭素層又はポリイミド膜等の有機膜上に堆積させることができる。層は平面又はパターン化であり得る。幾つかの実施形態では、基板は、MIM、DRAM、RERAM、相変化RAM又はFeRam技術において誘電材料として使用される酸化物の層(例えば、Zr、Hg、Ti、Nb、Mo、Al、Ta、ランタニド、希土類元素、及びそれらの混合三元酸化物又は二元酸化物)、又は銅と低k層との間の接着障壁として使用される窒化物ベース膜(例えばTaN)を含み得る。開示のプロセスにより、ケイ素含有層をウエハー上に直接、又はウエハー上部の1つ若しくは2つ以上の層上に直接(パターン化層が基板を形成する場合)堆積することができる。さらに、本明細書で使用される「膜」又は「層」という用語が表面上に配置又は塗布された或る材料の厚さを指し、表面がホール及びトレンチ又はライン等の3Dパターン又は微細構造を有し得ることが当業者に認識される。堆積は基板上の特定の領域に対して選択的であるか、又は幾つかの露出材料に対して選択的であり得る。例えば、自己整合単分子層(「SAM」)で覆われた基板の幾つかの部分で成長を阻害してもよい。本明細書及び特許請求の範囲の全体を通して、ウエハー及びその上の任意の関連層は基板と称される。
開示の前駆体は、純粋な(neat)形態又はトルエン、エチルベンゼン、キシレン、メシチレン、デカン、ドデカン、オクタン、ヘキサン、ペンタン、第三級アミン、テトラヒドロフラン、エチルメチルケトン、デカリン等の好適な溶媒とのブレンドで供給することができる。開示の前駆体は様々な濃度で溶媒中に存在し得る。例えば、得られる濃度はおよそ0.05M〜およそ2Mの範囲であり得る。
純粋な又はブレンドした前駆体はチューブ及び/又は流量計等の従来の手段によって蒸気形態で反応器に導入される。蒸気形態の前駆体は、純粋な又はブレンドした前駆体の溶液をバブリング、蒸気吸引等の従来の気化工程によって、又はXu et alの国際公開第2009/087609号に開示されるもののような昇華装置を使用して気化することによって生成することができる。純粋な又はブレンドした前駆体は液体状態で気化器に供給し、そこで気化させた後、反応器(直接的な液体注入)に導入することができる。存在する場合、キャリアガスとしてAr、He、N又はH及びそれらの混合物を挙げることができるが、これらに限定されない。次いで、キャリアガス及び前駆体を蒸気として反応器に導入する。
必要に応じて、容器を前駆体がその液相又は固相中に存在し、十分な蒸気圧を有することを可能にする温度まで加熱してもよい。容器は例えば0℃〜150℃の範囲の温度に維持することができる。容器の温度を、気化させた前駆体の蒸気圧及びプロセスチャンバにおける濃度を制御する既知の方法で調整することができることが当業者に認識される。
蒸着法によって得られる膜をアニーリング、反応性アニーリング、UV硬化、電子線硬化及びラジカルアニーリング等の様々な方法で更に処理することができる。膜の組成及び構造はこの工程の影響を顕著に受ける可能性がある。
本発明の性質を説明するために本明細書に記載及び例示された詳細、材料、工程及び部品配置について多くの更なる変更を、当業者が添付の特許請求の範囲に表される本発明の原理及び範囲内で行うことができることを理解されたい。よって、本発明は、上記に挙げられる実施例及び/又は添付の図面における具体的な実施形態に限定されることが意図されるものではない。
上記の説明は多くの特異性を有するが、これらは本発明の範囲を限定するものではなく、単に現在好ましい本発明の実施形態の幾つかの実例を提示するものと解釈される。様々な他の実施形態及び派生形態(ramifications)がその範囲で可能である。本発明の性質を説明するために本明細書に記載及び例示された詳細、材料、工程及び部品配置について多くの更なる変更を、当業者が添付の特許請求の範囲に表される本発明の原理及び範囲内で行うことができることを理解されたい。

Claims (12)

  1. 式:
    (式中、
    NR が炭素数3〜8個の飽和環状アミン又は不飽和環状アミンであるか、
    又は、
    、Rは独立してH、メチル、エチル、イソプロピル、及びt−ブチルからなる群から選択されがHの場合は、がメチル又はエチルではなく、
    、R、及びRはHである)を有する蒸着用前駆体。
  2. 式:
    を有する、請求項1に記載の蒸着用前駆体。
  3. 、Rがイソプロピルである、請求項1に記載の蒸着用前駆体。
  4. 請求項1に記載の蒸着用前駆体を作製する方法であって、
    a)反応物N[(SiH)(SiH)(SiH)]及びHNRを遷移金属触媒の存在下で接触させ、反応混合物を形成することと、
    b)任意に前記反応混合物に溶媒を添加することと、
    c)約0℃〜約250℃の温度に前記反応混合物を維持することと、
    d)反応を進行させて、生成物N[(SiH)(SiH)(SiHNR)]を形成することと、
    e)前記生成物N[(SiH)(SiH)(SiHNR)]を前記反応混合物から分離することと、
    を含み、
    前記反応混合物の温度は合成中に変動し得るが、該反応混合物の温度が約0℃を下回らず、約250℃を超えないように維持される、方法。
  5. 前記遷移金属触媒がRu、Pd、Rh、Ir、Fe、Ni、Pt、Cr、Cu及びAuからなる群から選択される、請求項4に記載の方法。
  6. 5ppm未満のハロゲンを含む、請求項1に記載の蒸着用前駆体。
  7. 前駆体が請求項1〜3のいずれか一項に記載の蒸着用前駆体又は[(SiHN]SiHから選択される、Si含有薄膜を蒸着法により堆積する方法。
  8. 前記蒸着法がALD、PEALD又はFCVDから選択される、請求項7に記載の方法。
  9. 5ppm未満のハロゲンを含む、請求項2に記載の蒸着用前駆体。
  10. 5ppm未満のハロゲンを含む、請求項3に記載の蒸着用前駆体。
  11. がHであり、Rがt−ブチルである、請求項1に記載の蒸着用前駆体。
  12. 5ppm未満のハロゲンを含む、請求項11に記載の蒸着用前駆体。
JP2016517387A 2013-09-27 2014-09-19 蒸着用前駆体およびその作製方法 Active JP6500014B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361883452P 2013-09-27 2013-09-27
US61/883,452 2013-09-27
PCT/US2014/056618 WO2015047914A1 (en) 2013-09-27 2014-09-19 Amine substituted trisilylamine and tridisilylamine compounds

Publications (2)

Publication Number Publication Date
JP2016537305A JP2016537305A (ja) 2016-12-01
JP6500014B2 true JP6500014B2 (ja) 2019-04-10

Family

ID=52740773

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2016517387A Active JP6500014B2 (ja) 2013-09-27 2014-09-19 蒸着用前駆体およびその作製方法
JP2016517351A Active JP6529184B2 (ja) 2013-09-27 2014-09-25 触媒脱水素カップリングによるアミノシランの無ハロゲン合成

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2016517351A Active JP6529184B2 (ja) 2013-09-27 2014-09-25 触媒脱水素カップリングによるアミノシランの無ハロゲン合成

Country Status (8)

Country Link
US (8) US9920077B2 (ja)
EP (2) EP3049499B1 (ja)
JP (2) JP6500014B2 (ja)
KR (2) KR102326396B1 (ja)
CN (3) CN105849221B (ja)
SG (3) SG11201602301WA (ja)
TW (2) TWI657092B (ja)
WO (2) WO2015047914A1 (ja)

Families Citing this family (238)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6500014B2 (ja) * 2013-09-27 2019-04-10 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 蒸着用前駆体およびその作製方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR101956587B1 (ko) * 2014-05-30 2019-03-11 다우 실리콘즈 코포레이션 다이아미노실란 화합물
WO2015184201A1 (en) * 2014-05-30 2015-12-03 Dow Corning Corporation Monoaminosilane compounds
KR102461078B1 (ko) 2014-10-02 2022-10-28 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 응용을 위한 오르가노디실란 전구체
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
SG10202000545RA (en) * 2014-10-24 2020-03-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing films
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR20180095561A (ko) * 2015-12-18 2018-08-27 다우 실리콘즈 코포레이션 오가노아미노실란의 제조 방법 및 오가노아미노실란으로부터 실릴아민을 제조하는 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI724141B (zh) * 2016-03-23 2021-04-11 法商液態空氣喬治斯克勞帝方法硏究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10647578B2 (en) 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
EP3562829B1 (en) * 2016-12-27 2021-11-03 Nata Semiconductor Materials Co., Ltd. Catalysis of dehydrocoupling reactions between amines and silanes
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102548405B1 (ko) * 2017-04-20 2023-06-28 (주)디엔에프 다이실릴아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
TWI784022B (zh) 2017-07-31 2022-11-21 中國大陸商南大光電半導體材料有限公司 1,1,1-參(二甲胺基)二矽烷及其製備方法
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP7143124B2 (ja) * 2017-08-09 2022-09-28 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ge含有Co膜形成材料、Ge含有Co膜およびその成膜方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US11739220B2 (en) 2018-02-21 2023-08-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Perhydropolysilazane compositions and methods for forming oxide films using same
TWI793262B (zh) * 2018-02-21 2023-02-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 全氫聚矽氮烷組成物和用於使用其形成氮化物膜之方法
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11874276B2 (en) 2018-04-05 2024-01-16 Dana-Farber Cancer Institute, Inc. STING levels as a biomarker for cancer immunotherapy
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
CN112041323B (zh) * 2018-05-23 2024-01-05 美国陶氏有机硅公司 制备有机氨基硅烷的方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11273432B2 (en) 2018-05-31 2022-03-15 Arizona Board Of Regents On Behalf Of Arizona State University Beta-diketiminate manganese catalysts for hydrosilylation, hydroboration, and dehydrogenative pnictogen-silicon and pnictogen-boron bond formation
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
CN109739070B (zh) * 2019-03-07 2021-11-30 中山职业技术学院 一种高分辨率高透光度半导体用3d打印式正性光刻胶
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11649560B2 (en) * 2019-06-20 2023-05-16 Applied Materials, Inc. Method for forming silicon-phosphorous materials
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
WO2021041532A1 (en) 2019-08-26 2021-03-04 Dana-Farber Cancer Institute, Inc. Use of heparin to promote type 1 interferon signaling
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
WO2021171466A1 (ja) * 2020-02-27 2021-09-02 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TWI797640B (zh) * 2020-06-18 2023-04-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 基於矽之自組裝單層組成物及使用該組成物之表面製備
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2907785A (en) 1957-10-07 1959-10-06 Du Pont Organic compounds of silicon and phosphorus and their preparation
DE1158972B (de) 1961-03-25 1963-12-12 Franz Josef Carduck Dipl Chem Verfahren zur Herstellung von Trisilylaminen
GB1006803A (en) 1963-05-10 1965-10-06 Standard Telephones Cables Ltd Improvements in or relating to semiconductor devices
US3532728A (en) 1965-01-27 1970-10-06 Monsanto Co Process for preparing high temperature resistant 1,3 - diaza-2-sila-cycloalkane derivatives
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
JPS61234534A (ja) 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作成方法
US4675424A (en) 1986-03-19 1987-06-23 Union Carbide Corporation Method for making polysilazanes
US4720395A (en) 1986-08-25 1988-01-19 Anicon, Inc. Low temperature silicon nitride CVD process
EP0264104B1 (en) 1986-10-14 1995-12-27 Minolta Co., Ltd. Electrophotographic photosensitive member having an overcoat layer
JPH0211587A (ja) * 1988-06-29 1990-01-16 Shin Etsu Chem Co Ltd シリル化方法
US5211888A (en) 1991-07-26 1993-05-18 Fmc Corporation Catalyzed hydrocarbyllithium process
JP2551901B2 (ja) 1991-07-26 1996-11-06 エフ エム シー コーポレーション 接触アルキル化方法
US5340507A (en) 1991-07-26 1994-08-23 Fmc Corporation Catalyzed hydrocarbyllithium process
EP0551771B1 (en) 1992-01-08 1997-07-30 Nippon Oil Co. Ltd. Process for producing polysilanes
JPH06132284A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
JP2508581B2 (ja) 1993-05-28 1996-06-19 日本電気株式会社 化学気相成長法
FR2708924B1 (fr) 1993-08-12 1995-10-20 Saint Gobain Vitrage Int Procédé de dépôt d'une couche de nitrure métallique sur un substrat transparent.
US5413813A (en) 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5663398A (en) 1996-05-17 1997-09-02 Fmc Corporation Processes for preparing functionalized alkyllithium compounds
WO1998010463A1 (en) * 1996-09-05 1998-03-12 Regents Of The University Of Michigan Germanes and doping with germanes
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
JPH1174485A (ja) 1997-06-30 1999-03-16 Toshiba Corp 半導体装置およびその製造方法
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6566281B1 (en) 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US5968611A (en) 1997-11-26 1999-10-19 The Research Foundation Of State University Of New York Silicon nitrogen-based films and method of making the same
AU3964199A (en) 1998-04-07 1999-10-25 Euv Limited Liability Corporation Thin layer imaging process for microlithography using radiation at strongly attenuated wavelengths
US6365231B2 (en) 1998-06-26 2002-04-02 Kabushiki Kaisha Toshiba Ammonium halide eliminator, chemical vapor deposition system and chemical vapor deposition process
JP2001168092A (ja) 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
US6645884B1 (en) 1999-07-09 2003-11-11 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
JP2002009072A (ja) 2000-06-23 2002-01-11 Tokyo Electron Ltd シリコン窒化膜の形成方法及び形成装置
US7049308B2 (en) 2000-10-26 2006-05-23 Duke University C-nitroso compounds and use thereof
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
JP4021653B2 (ja) 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
DE10208822A1 (de) 2002-03-01 2003-09-11 Solvent Innovation Gmbh Halogenfreie ionische Flüssigkeiten
WO2003084971A1 (en) 2002-04-04 2003-10-16 Degussa Ag Bisphosphines as bidentate ligands
KR100464649B1 (ko) * 2002-04-23 2005-01-03 주식회사 하이닉스반도체 이중 유전막 구조를 가진 반도체소자의 캐패시터 및 그제조방법
US7091159B2 (en) * 2002-09-06 2006-08-15 Halliburton Energy Services, Inc. Compositions for and methods of stabilizing subterranean formations containing clays
JP4358492B2 (ja) * 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7531679B2 (en) * 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
JP2004179196A (ja) * 2002-11-22 2004-06-24 L'air Liquide Sa Pour L'etude & L'exploitation Des Procedes Georges Claude 化学気相成長法によるシリコン窒化物系絶縁膜の製造方法および製造装置
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
JP4265409B2 (ja) 2003-02-13 2009-05-20 三菱マテリアル株式会社 Si−Si結合を有する有機Si含有化合物を用いたSi含有薄膜の形成方法
JP4954448B2 (ja) * 2003-04-05 2012-06-13 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
JP4403824B2 (ja) 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP4259247B2 (ja) 2003-09-17 2009-04-30 東京エレクトロン株式会社 成膜方法
US6974781B2 (en) 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
TW200526804A (en) 2003-10-30 2005-08-16 Tokyo Electron Ltd Method of manufacturing semiconductor device, film-forming apparatus, and storage medium
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050181633A1 (en) 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
JP2006016641A (ja) 2004-06-30 2006-01-19 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 金属シリコンオキサイドの製造方法、金属シリコンオキシナイトライドの製造方法、およびシリコンドープされた金属ナイトライドの製造方法
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US8163261B2 (en) 2005-04-05 2012-04-24 Voltaix, Llc System and method for making Si2H6 and higher silanes
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US20070049766A1 (en) * 2005-06-06 2007-03-01 Belot John A Synthesis of tetrakis(dialkylamino)silanes
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
JP4554446B2 (ja) 2005-06-21 2010-09-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR101283835B1 (ko) * 2005-06-29 2013-07-08 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 3원 막의 증착 방법
US8501762B2 (en) 2005-07-08 2013-08-06 Shanghai Institute Of Materia Medica, Chinese Academy Of Sciences Tetrahydroprotoberberine compounds, the synthetic method and the use thereof
KR20080028963A (ko) 2005-07-08 2008-04-02 에비자 테크놀로지, 인크. 실리콘 함유 필름의 증착 방법
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
JP5888831B2 (ja) 2005-10-05 2016-03-22 シン フィルム エレクトロニクス エーエスエー 架橋済みポリマー及びその製造方法
DE602006019499D1 (de) 2006-04-03 2011-02-17 Air Liquide Eine pentakis(dimethylamino)disilanvorstufe enthaltende verbindung, und verfahren zu deren herstellung
US8377511B2 (en) 2006-04-03 2013-02-19 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for depositing silicon nitride films and/or silicon oxynitride films by chemical vapor deposition
US8530361B2 (en) * 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7638645B2 (en) 2006-06-28 2009-12-29 President And Fellows Of Harvard University Metal (IV) tetra-amidinate compounds and their use in vapor deposition
BRPI0603210A (pt) 2006-08-15 2008-04-08 Petroleo Brasileiro Sa método de preparação de lìquidos iÈnicos isentos de halogenetos e lìquidos iÈnicos assim preparados
KR20120118060A (ko) 2006-11-02 2012-10-25 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 박막의 cvd/ald용으로 유용한 안티몬 및 게르마늄 착체
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
US20080268642A1 (en) 2007-04-20 2008-10-30 Kazutaka Yanagita Deposition of transition metal carbide containing films
JP5437594B2 (ja) 2007-06-05 2014-03-12 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
US7605092B2 (en) 2007-06-29 2009-10-20 Silicon Storage Technology, Inc. Passive elements, articles, packages, semiconductor composites, and methods of manufacturing same
JP2010539730A (ja) 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法
US7960205B2 (en) 2007-11-27 2011-06-14 Air Products And Chemicals, Inc. Tellurium precursors for GST films in an ALD or CVD process
US20090162973A1 (en) 2007-12-21 2009-06-25 Julien Gatineau Germanium precursors for gst film deposition
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US8193388B2 (en) 2008-04-15 2012-06-05 American Air Liquide, Inc. Compounds for depositing tellurium-containing films
JP2011521778A (ja) 2008-05-21 2011-07-28 ザ リージェンツ オブ ザ ユニバーシティ オブ コロラド,ア ボディー コーポレイト イオン液体およびその使用方法
WO2009142663A1 (en) * 2008-05-21 2009-11-26 The Regents Of The University Of Colorado Ionic liquids and methods for using same
WO2010055423A2 (en) 2008-05-29 2010-05-20 L'air Liquide - Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Tellurium precursors for film deposition
US8636845B2 (en) 2008-06-25 2014-01-28 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal heterocyclic compounds for deposition of thin films
US8236381B2 (en) 2008-08-08 2012-08-07 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal piperidinate and metal pyridinate precursors for thin film deposition
KR101120065B1 (ko) * 2009-01-08 2012-03-23 솔브레인 주식회사 신규의 아미딘 유도체를 가지는 게르마늄 화합물 및 이의 제조 방법
EP2437880B1 (en) 2009-06-04 2018-09-12 Air Liquide Advanced Materials LLC Method for the production of silylamines
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8415259B2 (en) 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US9315896B2 (en) 2009-10-26 2016-04-19 Asm Ip Holding B.V. Synthesis and use of precursors for ALD of group VA element containing thin films
EP2363512A1 (en) * 2010-02-04 2011-09-07 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US9228120B2 (en) 2010-06-07 2016-01-05 Central Glass Company, Limited Liquid chemical for forming protecting film
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
KR101970850B1 (ko) 2011-10-07 2019-04-19 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 트리실릴아민의 응축상 제조 장치 및 방법
JP6044545B2 (ja) 2011-10-20 2016-12-14 東レバッテリーセパレータフィルム株式会社 多孔質膜の製造方法及びその多孔質膜、電池用セパレーター及び電池
US20130143018A1 (en) * 2011-12-02 2013-06-06 Sabic Innovative Plastics Ip B.V. Coated Polymer Films
WO2013109401A1 (en) * 2012-01-19 2013-07-25 Christian Dussarrat Silicon containing compounds for ald deposition of metal silicate films
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US8912101B2 (en) * 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
JP5874546B2 (ja) 2012-06-21 2016-03-02 富士通株式会社 半導体装置の実装構造
KR101361454B1 (ko) 2012-08-23 2014-02-21 이근수 반도체 소자의 실리콘 산화막 형성 방법
KR20140057908A (ko) 2012-11-05 2014-05-14 삼성전자주식회사 무선 통신 시스템에서 섹터 스위핑을 수행하는 방법 및 장치
US10279959B2 (en) 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
US9245740B2 (en) 2013-06-07 2016-01-26 Dnf Co., Ltd. Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same
WO2014196827A2 (en) 2013-06-07 2014-12-11 Dnf Co., Ltd. Novel amino-silyl amine compound, method for perparing the 'same and silicon-containing thin-film using the same
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
JP6500014B2 (ja) * 2013-09-27 2019-04-10 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 蒸着用前駆体およびその作製方法
WO2015105337A1 (en) 2014-01-08 2015-07-16 Dnf Co.,Ltd. Novel trisilyl amine derivative, method for preparing the same and silicon-containing thin film using the same
KR101720017B1 (ko) 2014-01-08 2017-03-27 (주)디엔에프 신규한 트리실릴아민 유도체, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
US9233990B2 (en) 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
WO2015190749A1 (en) 2014-06-11 2015-12-17 Dnf Co., Ltd. Novel amino-silyl amine compound and the manufacturing method of dielectric film containing si-n bond by using atomic layer deposition
SG10202000545RA (en) 2014-10-24 2020-03-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing films
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
JP6929279B2 (ja) 2015-10-22 2021-09-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated SiOおよびSiNを含む流動性膜を堆積させる方法
CN109072426B (zh) 2016-02-26 2021-12-03 弗萨姆材料美国有限责任公司 组合物和使用其沉积含硅膜的方法
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition

Also Published As

Publication number Publication date
JP2016536276A (ja) 2016-11-24
KR102291427B1 (ko) 2021-08-18
US20160362429A1 (en) 2016-12-15
WO2015048237A2 (en) 2015-04-02
US10494387B2 (en) 2019-12-03
SG10201804678TA (en) 2018-07-30
CN108766872A (zh) 2018-11-06
WO2015047914A1 (en) 2015-04-02
WO2015048237A3 (en) 2015-11-05
KR102326396B1 (ko) 2021-11-12
TW201522355A (zh) 2015-06-16
US20220153762A1 (en) 2022-05-19
EP3049421A2 (en) 2016-08-03
TWI657092B (zh) 2019-04-21
US20180162883A1 (en) 2018-06-14
KR20160071402A (ko) 2016-06-21
CN105849221B (zh) 2019-06-18
TW201522356A (zh) 2015-06-16
US9453035B2 (en) 2016-09-27
US20150094470A1 (en) 2015-04-02
EP3049421B1 (en) 2020-07-01
SG11201602301WA (en) 2016-04-28
JP2016537305A (ja) 2016-12-01
EP3049499A1 (en) 2016-08-03
US11780859B2 (en) 2023-10-10
CN108766872B (zh) 2022-11-01
CN105849221A (zh) 2016-08-10
US9920077B2 (en) 2018-03-20
US20160237099A1 (en) 2016-08-18
US9920078B2 (en) 2018-03-20
JP6529184B2 (ja) 2019-06-12
CN105793270B (zh) 2019-09-27
US20160215003A1 (en) 2016-07-28
US11274112B2 (en) 2022-03-15
US9382269B2 (en) 2016-07-05
KR20160062145A (ko) 2016-06-01
SG11201602190PA (en) 2016-04-28
US10501484B2 (en) 2019-12-10
TWI658044B (zh) 2019-05-01
EP3049421A4 (en) 2017-05-03
EP3049499A4 (en) 2017-05-31
US20200040013A1 (en) 2020-02-06
EP3049499B1 (en) 2020-07-22
CN105793270A (zh) 2016-07-20
US20180230171A1 (en) 2018-08-16

Similar Documents

Publication Publication Date Title
JP6500014B2 (ja) 蒸着用前駆体およびその作製方法
JP6993474B2 (ja) Si含有膜形成組成物
TW201509799A (zh) 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
JP2015525773A (ja) Ald/cvdシリコン含有膜用のオルガノシラン前駆体
KR20210057825A (ko) 이성질체 풍부 고급 실란의 제조 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170707

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180427

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180530

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180821

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180925

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181119

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190212

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190306

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190318

R150 Certificate of patent or registration of utility model

Ref document number: 6500014

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250