JP2010539730A - シリコン含有膜を形成する方法 - Google Patents
シリコン含有膜を形成する方法 Download PDFInfo
- Publication number
- JP2010539730A JP2010539730A JP2010525945A JP2010525945A JP2010539730A JP 2010539730 A JP2010539730 A JP 2010539730A JP 2010525945 A JP2010525945 A JP 2010525945A JP 2010525945 A JP2010525945 A JP 2010525945A JP 2010539730 A JP2010539730 A JP 2010539730A
- Authority
- JP
- Japan
- Prior art keywords
- silicon
- reaction chamber
- sih
- reactant
- gas
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 title claims abstract description 94
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 title claims abstract description 89
- 229910052710 silicon Inorganic materials 0.000 title claims abstract description 89
- 239000010703 silicon Substances 0.000 title claims abstract description 89
- 238000006243 chemical reaction Methods 0.000 claims abstract description 166
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims abstract description 141
- 239000007789 gas Substances 0.000 claims abstract description 133
- 238000010926 purge Methods 0.000 claims abstract description 100
- 239000000376 reactant Substances 0.000 claims abstract description 93
- 239000002210 silicon-based material Substances 0.000 claims abstract description 93
- 239000000758 substrate Substances 0.000 claims abstract description 72
- 239000011261 inert gas Substances 0.000 claims abstract description 52
- 229910052757 nitrogen Inorganic materials 0.000 claims abstract description 41
- 229910052581 Si3N4 Inorganic materials 0.000 claims abstract description 25
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims abstract description 25
- 239000002356 single layer Substances 0.000 claims abstract description 8
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 70
- 229910052760 oxygen Inorganic materials 0.000 claims description 68
- 239000001301 oxygen Substances 0.000 claims description 65
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 60
- 238000000151 deposition Methods 0.000 claims description 37
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 claims description 35
- 229910021529 ammonia Inorganic materials 0.000 claims description 35
- 230000008021 deposition Effects 0.000 claims description 35
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 claims description 32
- 239000000203 mixture Substances 0.000 claims description 32
- FZHAPNGMFPVSLP-UHFFFAOYSA-N silanamine Chemical compound [SiH3]N FZHAPNGMFPVSLP-UHFFFAOYSA-N 0.000 claims description 32
- 238000000231 atomic layer deposition Methods 0.000 claims description 25
- 150000001875 compounds Chemical class 0.000 claims description 25
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 17
- 229910052739 hydrogen Inorganic materials 0.000 claims description 17
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 16
- 229910052799 carbon Inorganic materials 0.000 claims description 16
- 239000010410 layer Substances 0.000 claims description 16
- 239000004065 semiconductor Substances 0.000 claims description 16
- 229910000077 silane Inorganic materials 0.000 claims description 16
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 15
- -1 disilylamine Chemical compound 0.000 claims description 14
- YGTNKWDUDNNONJ-UHFFFAOYSA-N pyrrolidin-1-ylsilane Chemical compound [SiH3]N1CCCC1 YGTNKWDUDNNONJ-UHFFFAOYSA-N 0.000 claims description 13
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 12
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 11
- 238000002347 injection Methods 0.000 claims description 10
- 239000007924 injection Substances 0.000 claims description 10
- OWKFQWAGPHVFRF-UHFFFAOYSA-N n-(diethylaminosilyl)-n-ethylethanamine Chemical compound CCN(CC)[SiH2]N(CC)CC OWKFQWAGPHVFRF-UHFFFAOYSA-N 0.000 claims description 10
- 150000003254 radicals Chemical class 0.000 claims description 10
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 claims description 9
- 238000005229 chemical vapour deposition Methods 0.000 claims description 9
- 238000004519 manufacturing process Methods 0.000 claims description 9
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 8
- VOSJXMPCFODQAR-UHFFFAOYSA-N ac1l3fa4 Chemical compound [SiH3]N([SiH3])[SiH3] VOSJXMPCFODQAR-UHFFFAOYSA-N 0.000 claims description 7
- MWUXSHHQAYIFBG-UHFFFAOYSA-N Nitric oxide Chemical compound O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 claims description 6
- 229910052786 argon Inorganic materials 0.000 claims description 6
- KPUWHANPEXNPJT-UHFFFAOYSA-N disiloxane Chemical compound [SiH3]O[SiH3] KPUWHANPEXNPJT-UHFFFAOYSA-N 0.000 claims description 6
- 229910052734 helium Inorganic materials 0.000 claims description 6
- 239000001307 helium Substances 0.000 claims description 6
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 6
- VYIRVGYSUZPNLF-UHFFFAOYSA-N n-(tert-butylamino)silyl-2-methylpropan-2-amine Chemical compound CC(C)(C)N[SiH2]NC(C)(C)C VYIRVGYSUZPNLF-UHFFFAOYSA-N 0.000 claims description 6
- OOXOBWDOWJBZHX-UHFFFAOYSA-N n-(dimethylaminosilyl)-n-methylmethanamine Chemical compound CN(C)[SiH2]N(C)C OOXOBWDOWJBZHX-UHFFFAOYSA-N 0.000 claims description 5
- VEZYCISMGXNHOV-UHFFFAOYSA-N [dimethyl-[(trimethylsilylamino)silylamino]silyl]methane Chemical compound C[Si](C)(C)N[SiH2]N[Si](C)(C)C VEZYCISMGXNHOV-UHFFFAOYSA-N 0.000 claims description 4
- WZUCGJVWOLJJAN-UHFFFAOYSA-N diethylaminosilicon Chemical compound CCN([Si])CC WZUCGJVWOLJJAN-UHFFFAOYSA-N 0.000 claims description 4
- 239000003446 ligand Substances 0.000 claims description 4
- 150000002831 nitrogen free-radicals Chemical class 0.000 claims description 4
- OQKVIDVWJRHHHX-UHFFFAOYSA-N piperidin-1-ylsilicon Chemical compound [Si]N1CCCCC1 OQKVIDVWJRHHHX-UHFFFAOYSA-N 0.000 claims description 4
- YBRBMKDOPFTVDT-UHFFFAOYSA-N tert-butylamine Chemical compound CC(C)(C)N YBRBMKDOPFTVDT-UHFFFAOYSA-N 0.000 claims description 4
- BIVNKSDKIFWKFA-UHFFFAOYSA-N N-propan-2-yl-N-silylpropan-2-amine Chemical compound CC(C)N([SiH3])C(C)C BIVNKSDKIFWKFA-UHFFFAOYSA-N 0.000 claims description 3
- YVQRICZYVAAUML-UHFFFAOYSA-N N-tert-butyl-2-methyl-N-silylpropan-2-amine Chemical compound CC(C)(C)N([SiH3])C(C)(C)C YVQRICZYVAAUML-UHFFFAOYSA-N 0.000 claims description 3
- PIJGSKJHPWMSCC-UHFFFAOYSA-N [SiH3]N1CCCCC1 Chemical compound [SiH3]N1CCCCC1 PIJGSKJHPWMSCC-UHFFFAOYSA-N 0.000 claims description 3
- 239000011521 glass Substances 0.000 claims description 3
- 238000010438 heat treatment Methods 0.000 claims description 3
- 239000004973 liquid crystal related substance Substances 0.000 claims description 3
- 125000003808 silyl group Chemical group [H][Si]([H])([H])[*] 0.000 claims description 3
- BABPEPRNSRIYFA-UHFFFAOYSA-N silyl trifluoromethanesulfonate Chemical compound FC(F)(F)S(=O)(=O)O[SiH3] BABPEPRNSRIYFA-UHFFFAOYSA-N 0.000 claims description 3
- 125000000026 trimethylsilyl group Chemical group [H]C([H])([H])[Si]([*])(C([H])([H])[H])C([H])([H])[H] 0.000 claims description 3
- 238000002513 implantation Methods 0.000 claims description 2
- 239000010408 film Substances 0.000 description 122
- 229910001873 dinitrogen Inorganic materials 0.000 description 68
- 235000012431 wafers Nutrition 0.000 description 36
- 229910004298 SiO 2 Inorganic materials 0.000 description 16
- 230000008569 process Effects 0.000 description 15
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 10
- 238000004891 communication Methods 0.000 description 9
- 239000012530 fluid Substances 0.000 description 9
- 239000007788 liquid Substances 0.000 description 9
- 230000015572 biosynthetic process Effects 0.000 description 7
- 125000001449 isopropyl group Chemical group [H]C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 7
- 229910052751 metal Inorganic materials 0.000 description 7
- 239000002184 metal Substances 0.000 description 7
- 238000004458 analytical method Methods 0.000 description 6
- 239000001257 hydrogen Substances 0.000 description 6
- 238000000678 plasma activation Methods 0.000 description 6
- 230000008901 benefit Effects 0.000 description 5
- 230000005587 bubbling Effects 0.000 description 5
- 238000007254 oxidation reaction Methods 0.000 description 5
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 4
- 101100023111 Schizosaccharomyces pombe (strain 972 / ATCC 24843) mfc1 gene Proteins 0.000 description 4
- 238000001784 detoxification Methods 0.000 description 4
- 239000000463 material Substances 0.000 description 4
- 229910044991 metal oxide Inorganic materials 0.000 description 4
- 150000004706 metal oxides Chemical class 0.000 description 4
- 230000003647 oxidation Effects 0.000 description 4
- 238000010586 diagram Methods 0.000 description 3
- 229910052741 iridium Inorganic materials 0.000 description 3
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 description 3
- 238000012545 processing Methods 0.000 description 3
- 230000009257 reactivity Effects 0.000 description 3
- 238000001179 sorption measurement Methods 0.000 description 3
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 2
- KAESVJOAVNADME-UHFFFAOYSA-N Pyrrole Chemical compound C=1C=CNC=1 KAESVJOAVNADME-UHFFFAOYSA-N 0.000 description 2
- 230000015556 catabolic process Effects 0.000 description 2
- 229910001882 dioxygen Inorganic materials 0.000 description 2
- 230000009977 dual effect Effects 0.000 description 2
- 230000005284 excitation Effects 0.000 description 2
- 150000002431 hydrogen Chemical class 0.000 description 2
- 238000011534 incubation Methods 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 125000006850 spacer group Chemical group 0.000 description 2
- SIBYMQKIYXUYQO-UHFFFAOYSA-N C1CCN(C1)[SiH2]N1CCCC1 Chemical compound C1CCN(C1)[SiH2]N1CCCC1 SIBYMQKIYXUYQO-UHFFFAOYSA-N 0.000 description 1
- 238000005033 Fourier transform infrared spectroscopy Methods 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000008033 biological extinction Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 239000003054 catalyst Substances 0.000 description 1
- 238000002485 combustion reaction Methods 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 125000004122 cyclic group Chemical group 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 238000010304 firing Methods 0.000 description 1
- 239000007943 implant Substances 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 238000005502 peroxidation Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 150000004756 silanes Chemical class 0.000 description 1
- 150000003377 silicon compounds Chemical class 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000012686 silicon precursor Substances 0.000 description 1
- 239000000243 solution Substances 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- VEDJZFSRVVQBIL-UHFFFAOYSA-N trisilane Chemical compound [SiH3][SiH2][SiH3] VEDJZFSRVVQBIL-UHFFFAOYSA-N 0.000 description 1
- 238000011144 upstream manufacturing Methods 0.000 description 1
- 239000006200 vaporizer Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/3141—Deposition using atomic layer deposition techniques [ALD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02219—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
- H01L21/02222—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31608—Deposition of SiO2
- H01L21/31612—Deposition of SiO2 on a silicon body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/318—Inorganic layers composed of nitrides
- H01L21/3185—Inorganic layers composed of nitrides of siliconnitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/324—Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02219—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Plasma & Fusion (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
Description
本出願は、2007年9月18日に出願された米国仮特許出願第60/973,210号の利益を主張し、その開示は参照によってここに組入れられる。
本発明は、一般に半導体製造の分野、より具体的にはシリコン含有膜を形成する方法に関する。とりわけ、本発明はシリコン前駆体およびガス状共反応物質を用いてシリコン含有膜を形成する方法に関する。
相補的金属酸化物半導体(CMOS)デバイスの製造の初期段階において、窒化シリコン(SiN)のような保護膜が各金属酸化物半導体(MOS)トランジスタのゲート電極上に形成される。このSiN膜は、各トランジスタの絶縁破壊電圧を増大させるために、(多結晶シリコンまたは金属層のような)ゲート電極の上面および側面上に蒸着される。このようなSiN膜の蒸着温度を400℃を超えない温度に至るまで下げるための試みがなされている。しかしながら、400℃未満の温度で蒸着されたSiN膜は、通常、粗悪な膜品質を呈する。この問題を解決するために、二酸化ケイ素(SiO2)膜を使用してSiN膜の特性を強化し(すなわち、「デュアルスペーサー」)、それによりデバイス性能を著しく向上させ得る有用な電気バリア層を作ることができた。
ここで開示されるものは、シリコン含有膜を形成する方法であって、
a) 反応チャンバーに基板を供給すること、
b) 前記反応チャンバー中に少なくとも1つのシリコン含有化合物を注入すること、
c) 前記反応チャンバー中に少なくとも1つのガス状共反応物質を注入すること、および
d) 前記基板、シリコン含有化合物、およびガス状共反応物質を550℃以下の温度で反応させ、前記基板上に蒸着されたシリコン含有膜を得ること
を含む方法である。
シリコンウェーハを反応チャンバーに導入すること、
シリコン含有化合物を前記反応チャンバー中に導入すること、
前記反応チャンバーをイナートガスでパージすること、および
窒素を含有するガス状共反応物質を、前記シリコンウェーハ上に窒化シリコンの単分子層を形成するために適切な条件下で、前記反応チャンバーに導入すること
を含む方法である。
シリコンウェーハを反応チャンバーに導入すること、
シリコン含有化合物を前記反応チャンバーに導入すること、
前記反応チャンバーをイナートガスでパージすること、および
酸素を含有するガス状共反応物質を、前記シリコンウェーハ上に酸化シリコンの単分子層を形成するために適切な条件下で、前記反応チャンバーに導入すること
を含む方法である。
特定のシステムの要素に言及するために、いくつかの用語が以下の明細書および請求項を通して使用される。この文書は、名称は異なるが機能は異ならない要素の間の区別を意図していない。
図1〜3に示す膜形成装置10が以下の例1A-Fにおいて使用された。
シリコンウェーハが反応チャンバー11中のサセプタ上に配置され、500℃まで加熱された。以下の条件を用いて、1) 窒素ガスパージ、2) シリコン含有化合物ガスのパルス、3) 窒素ガスパージ、および4) ここで先述されたオゾン+酸素混合ガスのパルスの工程を含むサイクルを繰り返すことによって、酸化シリコン膜が形成された。
・反応チャンバー内の圧力:3 torr
・窒素ガス供給の流量:130 sccm
・窒素ガスパージ時間:6 秒
2) シリコン含有化合物ガスのパルス
・反応チャンバー内の圧力:3 torr
・Si化合物ガス:ビス(ジエチルアミノ)シラン (BDEAS)のガス
・BDEASガス供給流量:2 sccm
・BDEASのパルス時間:1秒
3) 窒素ガスパージ
・反応チャンバー内の圧力:3 torr
・窒素ガス供給流量:130 sccm
・窒素ガスパージ時間:6秒
4) オゾン+酸素混合ガスのパルス
・反応チャンバー内の圧力:3 torr
・オゾン+酸素混合ガス(5 %オゾン濃度)の供給流量:20 sccm
・混合ガスのパルス時間:2秒。
シリコンウェーハが反応チャンバー11中のサセプタ上に配置され、550℃まで加熱された。以下の条件を用いて、1) 窒素ガスパージ、2) シリコン含有化合物ガスのパルス、3) 窒素ガスパージ、および4) ここで先述されたヒドラジン+アンモニア混合ガスのパルスの工程を含むサイクルを繰り返すことによって、窒化シリコン膜が形成された。
・反応チャンバー内の圧力:3 torr
・窒素ガス供給流量:130 sccm
・窒素ガスパージ時間:6秒
2) シリコン含有化合物ガスのパルス
・反応チャンバー内の圧力:3 torr
・シリコン含有ガス化合物ガス:ビス(ジエチルアミノ)シラン (BDEAS)のガス
・BDEASガス供給流量:2 sccm
・BDEASのパルス時間:1秒
3) 窒素ガスパージ
・反応チャンバー内の圧力:3 torr
・窒素ガス供給流量:130 sccm
・窒素ガスパージ時間:6秒
4) ヒドラジン+アンモニア混合ガスのパルス
・反応チャンバー内の圧力:3 torr
・ヒドラジン+アンモニア混合ガス(3 %オゾン濃度)の供給流量:20 sccm
・混合ガスパルス時間:2秒。
シリコンウェーハが反応チャンバー11中のサセプタ上に配置され、500℃まで加熱された。以下の条件を用いて、1) 窒素ガスパージ、2) シリコン含有化合物ガスのパルス、3) 窒素ガスパージ、および4) ここで先述された、プラズマをオンにしている間の酸素パルスの工程を含むサイクルを繰り返すことによって、酸化シリコン膜が形成された。
・反応チャンバー内の圧力:3 torr
・窒素ガス供給流量:130 sccm
・窒素ガスパージ時間:6秒
2) シリコン含有化合物ガスのパルス
・反応チャンバー内の圧力:3 torr
・Si化合物ガス:ビス(ジエチルアミノ)シラン (BDEAS)のガス
・BDEASガス供給流量:2 sccm
・BDEASのパルス時間:1秒
3) 窒素ガスパージ
・反応チャンバー内の圧力:3 torr
・窒素ガス供給流量:130 sccm
・窒素ガスパージ時間:6秒
4) 酸素パルス
・反応チャンバー内の圧力:3 torr
・酸素混合ガスの供給流量:20 sccm
・酸素パルス時間:2秒
・プラズマ出力:100 W。
シリコンウェーハが反応チャンバー11中のサセプタ上に配置され、550℃まで加熱された。以下の条件を用いて、1) 窒素ガスパージ、2) シリコン含有化合物ガスのパルス、3) 窒素ガスパージ、および4) ここで先述された、プラズマをオンにしている間のアンモニアパルスの工程を含むサイクルを繰り返すことによって、窒化シリコン膜が形成された。
・反応チャンバー内の圧力:3 torr
・窒素ガス供給流量:130 sccm
・窒素ガスパージ時間:6秒
2) シリコン含有化合物ガスのパルス
・反応チャンバー内の圧力:3 torr
・シリコン含有化合物ガス:ビス(ジエチルアミノ)シラン (BDEAS)のガス
・BDEASガス供給流量:2 sccm
・BDEASのパルス時間:1秒
3) 窒素ガスパージ
・反応チャンバー内の圧力:3 torr
・窒素ガス供給流量:130 sccm
・窒素ガスパージ時間:6秒
4) アンモニアパルス
・反応チャンバー内の圧力:3 torr
・アンモニアの供給流量:20 sccm
・混合ガスのパルス時間:2秒
・プラズマ出力:350 W。
シリコンウェーハが反応チャンバー11中のサセプタ上に配置され、150℃まで加熱された。以下の条件を用いて、1) シリコン含有化合物ガスのパルス、2) 窒素ガスパージ、および3) ここで先述された、プラズマをオンにする工程を含むサイクルを繰り返すことによって、酸化シリコン膜が形成された。
・反応チャンバー内の圧力:1 torr
・シリコン含有化合物ガス:ビス(ジエチルアミノ)シラン (BDEAS)のガス
・BDEASガスの供給流量:2 sccm
・BDEASパルス時間:1秒
2) 窒素ガスパージ
・反応チャンバー内の圧力:1 torr
・窒素ガスの供給流量:130 sccm
・窒素ガスパージ時間:6秒
3) プラズマオン
・反応チャンバー内の圧力:1 torr
プラズマオンの時間:2秒
・プラズマ出力:100 W。
シリコンウェーハが反応チャンバー11中のサセプタ上に配置され、500℃まで加熱された。以下の条件を用いて、1) シリコン含有化合物ガスのパルス、2) 窒素ガスパージ、および3) ここで先述されたプラズマをオンにする工程を含むサイクルを繰り返すことによって、窒化シリコン膜が形成された。
・反応チャンバー内の圧力:1 torr
・シリコン含有化合物ガス:ビス(ジエチルアミノ)シラン (BDEAS)のガス
・BDEASガスの供給流量:2 sccm
・BDEASのパルス時間:1秒
2) 窒素ガスパージ
・反応チャンバー内の圧力:1 torr
・窒素ガス供給の流量:130 sccm
・窒素ガスパージ時間:6秒
3) プラズマオン
・反応チャンバー内の圧力:1 torr
・プラズマオンの時間:2秒
・プラズマ出力:350 W。
例1A-Fに記載したものと類似の方法を用いてシリコン含有膜が形成されたが、400℃まで加熱された反応チャンバー11内のサセプタ上にシリコンウェーハを配置することによって、シリコンウェーハが加熱された。
例1A-Fに記載したものと類似の方法を用いてシリコン含有膜が形成されたが、300℃まで加熱された反応チャンバー11内のサセプタ上にシリコンウェーハを配置することによって、シリコンウェーハが加熱された。
BDEASおよびオゾンを用いたSiO2膜のALD蒸着が検討された。図1〜3に示したような膜形成装置を用いて、BDEASおよびオゾン/酸素の混合物を用いたALDによって、シリコンおよびイリジウム上に、膜が首尾良く蒸着された。
・93 sccm O2
・BDEAS:1 sccm (1〜7 sccmの範囲)
・N2:50 sccm
・温度範囲200〜400℃
・操作圧力:1 Torr (0.1〜5 Torrの範囲)
・パージおよびパルス時間は各々、典型的に5 秒に設定された
・サイクルの数は、典型的には600サイクルに設定された。
シリルピロリジンおよびオゾンを使用したSiO2膜のALD蒸着が、例4に記載したものと類似の条件を使用して検討された。高品質膜が、1 Torr、300〜350℃で、1.6 Å/サイクルの蒸着速度で得られた。
ジエチルアミノシランおよびオゾンを使用したSiO2膜のALD蒸着が、例4に記載したものと類似の条件を使用して検討された。高品質膜が、1 Torr、250〜300℃で、1.4 Å/サイクルの蒸着速度で得られた。
シリルピロリジンおよびヒドラジンを使用したSiN膜のALD蒸着が検討された。シリルピロリジン、N2、およびヒドラジン/アンモニア混合物を交互に導入することによるALDを使用して、シリコンウェーハ上に膜が首尾良く蒸着された。
・96.8 sccmアンモニア
・シリルピロリジン:1 sccm
・N2:50 sccm
・300〜550℃の温度範囲
・操作圧力:1 Torr (0.1〜5 Torrの範囲)
・パージおよびパルス時間は各々、典型的に5秒に設定された
・サイクルの数は典型的に600サイクルに設定された。
BDEASおよびアンモニアを用いたSiN膜のプラズマ強化ALD (PEALD)が検討された。連続的にアンモニアを流し、かつ、BDEASの導入、N2によるパージ、およびプラズマ出力のオンを交互にするALDを使用して、膜がシリコン上に首尾良く蒸着された。アンモニア由来種は、プラズマの消失の後に非常に短い寿命を有するので、プラズマがオフにされた後にパージが不要であり、それによりサイクル時間が短縮され、スループットが向上する。
・BDEAS:1 sccm
・N2:50 sccm
・300〜550℃の温度範囲
・操作圧力:1 Torr
・プラズマ出力:350 W
・パージおよびパルス時間は各々、典型的に5秒に設定された
・サイクルの数は典型的に400サイクルに設定された。
BDEASおよび酸素を用いたSiO2膜のPEALD蒸着が検討された。酸素を連続的に流し、かつ、BDEASの導入、N2によるパージ、およびプラズマをオンにすることを交互にするALDを用いて、シリコン上に膜が首尾良く蒸着された。酸素由来種はプラズマの消失後に非常に短い寿命を有するので、プラズマがオフにされた後にパージが不要であり、サイクル時間を短縮し、それ故にスループットが向上する。
・BDEAS:1 sccm
・N2:50 sccm
・100〜400℃の温度範囲
・操作圧力:1 Torr
・プラズマ出力:100 W
・パージおよびパルス時間は各々、典型的に5秒に設定された
・サイクルの数は典型的に400サイクルに設定された。
BDEASおよび窒素を使用したSiN膜のPEALD蒸着が検討された。窒素を連続的に流し、かつ、BDEASの導入、N2によるパージ、プラズマ出力をオンにすることを交互にするALDを用いて、シリコン上に膜が首尾良く蒸着された。窒素由来種はプラズマの消失後に非常に短い寿命を有するので、プラズマがオフにされた後にパージが不要であり、サイクル時間を短縮し、それ故にスループットが向上する。
・N2:150 sccm
・300〜550℃の温度範囲
・操作圧力:1 Torr
・プラズマ出力:450 W
・パージおよびパルス時間は典型的に各々5秒に設定された
・サイクルの数は典型的に500サイクルに設定された。
シリルピロリジンおよびH2O2を用いたSiO2膜のCVD蒸着が検討された。以下の条件を用いて、シリルピロリジンおよびH2O2を連続的に流すことによるCVDを用いて膜をシリコン上に首尾良く蒸着した。
・H2O2:10 sccm
・N2:20 sccm
・100〜500℃の温度範囲
・操作圧力:300 Torr。
Claims (33)
- シリコン含有膜を形成する方法であって、
a) 反応チャンバーに基板を供給すること、
b) 前記反応チャンバー中に少なくとも1つのシリコン含有化合物を注入すること、
c) 前記反応チャンバー中に、少なくとも1つのガス状共反応物質を注入すること、
d) 前記基板、シリコン含有化合物、およびガス状共反応物質を550℃以下の温度で反応させ、前記基板上に蒸着されたシリコン含有膜を得ること
を含む方法。 - 前記シリコン含有化合物は、アミノシラン、ジシリルアミン、シラン、またはその組合せを含む請求項1の方法。
- 前記アミノシランは、式(R1 R2 N)x SiH4-xを持つ化合物を含み、ここでR1およびR2は独立にH、C1-C6の直鎖、分岐鎖もしくは環状炭素鎖であるか、またはトリメチルシリルのようなシリル基であり、xは1または2のいずれかである請求項2の方法。
- 前記アミノシランは、式SiH4-xを持つ化合物を含み、ここでLはC3-C12の環状アミノ配位子であり、xは1または2のいずれかである請求項2の方法。
- 前記ジシリルアミンは、式(SiH3)2NRを持つジシリルアミン化合物を含み、ここでRは独立にH、C C1-C6の直鎖、分岐鎖または環状炭素鎖である請求項2の方法。
- 前記シランは、式(SiH3)nRを持つ化合物を含み、ここでnは1〜4に含まれ、RはH、N、NH、O、SO3CF3、CH2、C2H4、SiH2、SiHおよびSiからなる群より選択される請求項2の方法。
- 前記共反応物質が、酸素含有ガス、窒素含有ガス、酸素と窒素の両方を含むガス、または酸素と窒素の両方を含むガスの混合物を含む請求項1の方法。
- 前記酸素含有ガスが、オゾン、酸素、水蒸気、過酸化水素、またはその組合せを含む請求項7の方法。
- 前記窒素含有ガスが、アンモニア、窒素、ヒドラジンまたはその組合せを含む請求項7の方法。
- 前記ガスの混合物が、アンモニアおよび酸素を含む請求項7の方法。
- 前記共反応物質が一酸化窒素を含む請求項1の方法。
- 酸素ラジカルまたは窒素ラジカルを含む共反応物質を発生させることをさらに含む請求項1の方法。
- 前記共反応物質の発生が、酸素ラジカルまたは窒素ラジカルの発生に適切な条件下で、酸素含有化合物または窒素含有化合物をプラズマに暴露することを含む請求項12の方法。
- 工程a、b、c、dまたはその組合せの後に、イナートガスで前記反応チャンバーをパージすることをさらに含む請求項1の方法。
- 前記イナートガスが、窒素、アルゴン、ヘリウム、またはその組合せを含む請求項14の方法。
- 所望のシリコン含有膜の厚さが得られるまで工程b)〜d)を繰り返すことをさらに含む請求項1の方法。
- 工程b)、c)、および/またはd)の実行に先立って、前記反応チャンバー中に導入した後に前記基板を加熱することをさらに含む請求項1の方法。
- 前記基板が、前記反応チャンバーの温度以下の温度まで加熱される請求項17の方法。
- 前記基板が、半導体デバイスの製造のために使用されるシリコンウェーハ(またはSOI)、その上に堆積される層、液晶ディスプレイデバイスの製造に使用されるガラス基板、またはその上に堆積される層を含む請求項1の方法。
- 工程b)、c)または両方が、前記少なくとも1つの化合物および/またはガスの不連続的な注入によって実行される請求項1の方法。
- パルス化学気相蒸着または原子層蒸着が前記反応チャンバー中で実行される請求項1の方法。
- 前記シリコン含有化合物および前記ガス状共反応物質の同時の注入が前記反応チャンバー中で実行される請求項1の方法。
- 前記シリコン含有化合物および前記ガス状共反応物質の交互の注入が前記反応チャンバー中で実行される請求項1の方法。
- 前記シリコン含有化合物または前記ガス状共反応物質が、他の化合物および/または少なくとも1つのガス状共反応物質の注入に先立って前記基板の表面上に吸着される請求項1の方法。
- 前記シリコン含有膜が、1 Å/サイクル以上の蒸着速度で形成される請求項1の方法。
- 前記反応チャンバーの圧力が0.1〜1000 torr (13〜1330 kPa)である請求項1の方法。
- 前記ガス状共反応物質が、酸素に対するオゾンの比率が20体積%未満である酸素およびオゾンを含むガス混合物である請求項1の方法。
- 前記ガス状共反応物質が、アンモニアに対するヒドラジンの比率が15体積%未満であるアンモニアおよびヒドラジンを含むガス混合物である請求項1の方法。
- 前記シリコン含有化合物が、トリシリルアミン(TSA) (SiH3)3N、ジシロキサン(DSO) (SiH3)2、ジシリルメチルアミン(DSMA) (SiH3)2NMe、ジシリルエチルアミン(DSEA) (SiH3)2NEt、ジシリルイソプロピルアミン(DSIPA) (SiH3)2N(iPr)、ジシリル第三ブチルアミン(DSTBA) (SiH3)2N(tBu)、ジエチルアミノシランSiH3NEt2、ジイソプロピルアミノシランSiH3N(iPr)2、ジ-第三ブチルアミノシランSiH3N(tBu)2、シリルピペリジンまたはピペリジノシランSiH3(pip)、シリルピロリジンまたはピロリジノシランSiH3(pyr)、ビス(ジエチルアミノ)シラン(BDEAS) SiH2(NEt2)2、ビス(ジメチルアミノ)シラン(BDMAS) SiH2(NMe2)2、ビス(tert-ブチルアミノ)シラン(BTBAS) SiH2(NHtBu)2、ビス(トリメチルシリルアミノ)シラン(BITS) SiH2(NHSiMe3)2、ビスピペリジノシランSiH2(pip)2、ビスピロリジノシランSiH2(pyr)2、シリルトリフラートSiH3(OTf)、ジトリフラートシランSiH2(OTf)2、またはその組合せからなる群より選択される化合物を含む請求項1の方法。
- 前記反応チャンバー中でプラズマを発生させることをさらに含む請求項1の方法。
- 前記反応チャンバーにラジカルを供給すること、前記反応チャンバー中でラジカルを発生させること、またはその両方をさらに含む請求項1の方法。
- 窒化シリコン膜を調製する方法であって、
シリコンウェーハを反応チャンバーに導入すること、
シリコン含有化合物を前記反応チャンバーに導入すること、
前記反応チャンバーをイナートガスでパージすること、および
窒素を含有するガス状共反応物質を、前記シリコンウェーハ上に窒化シリコン膜の単分子層を形成するために適切な条件下で前記反応チャンバーに導入すること
を含む方法。 - 酸化シリコン膜を調製する方法であって、
シリコンウェーハを反応チャンバーに導入すること、
シリコン含有化合物を前記反応チャンバーに導入すること、
前記反応チャンバーをイナートガスでパージすること、および
酸素を含有するガス状共反応物質を、前記シリコンウェーハ上に酸化シリコン膜の単分子層を形成するために適切な条件下で、前記反応チャンバーに導入すること
を含む方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US97321007P | 2007-09-18 | 2007-09-18 | |
PCT/US2008/076810 WO2009039251A1 (en) | 2007-09-18 | 2008-09-18 | Method of forming silicon-containing films |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2010539730A true JP2010539730A (ja) | 2010-12-16 |
Family
ID=40010952
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2010525945A Pending JP2010539730A (ja) | 2007-09-18 | 2008-09-18 | シリコン含有膜を形成する方法 |
Country Status (7)
Country | Link |
---|---|
US (1) | US20090075490A1 (ja) |
EP (1) | EP2193541A1 (ja) |
JP (1) | JP2010539730A (ja) |
KR (2) | KR20150036815A (ja) |
CN (1) | CN101889331A (ja) |
TW (1) | TWI489547B (ja) |
WO (1) | WO2009039251A1 (ja) |
Cited By (20)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2012248844A (ja) * | 2011-05-24 | 2012-12-13 | Air Products & Chemicals Inc | 有機アミノシラン前駆体、並びにその製造方法及び使用方法 |
US8357619B2 (en) | 2008-02-01 | 2013-01-22 | Tokyo Electron Limited | Film formation method for forming silicon-containing insulating film |
KR20130053273A (ko) * | 2011-11-15 | 2013-05-23 | 주식회사 원익아이피에스 | 기판처리장치 및 그 동작 방법 |
JP2013236073A (ja) * | 2012-04-12 | 2013-11-21 | Air Products & Chemicals Inc | 酸化ケイ素薄膜の高温原子層堆積 |
JP2014007378A (ja) * | 2012-06-02 | 2014-01-16 | Tokyo Electron Ltd | 成膜方法及び成膜装置 |
JP2014523638A (ja) * | 2011-06-03 | 2014-09-11 | エア プロダクツ アンド ケミカルズ インコーポレイテッド | 炭素ドープケイ素含有膜を堆積するための組成物及び方法 |
KR20150079470A (ko) * | 2013-12-30 | 2015-07-08 | 램 리써치 코포레이션 | 펄싱된 플라즈마 노출을 사용하여 플라즈마 강화된 원자층 증착 |
KR101842901B1 (ko) * | 2011-10-18 | 2018-03-29 | 삼성전자주식회사 | 반도체 장치의 형성방법 |
JP2018518598A (ja) * | 2015-03-30 | 2018-07-12 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | ケイ素と窒素とを含有する薄膜を形成するための蒸着プロセス |
KR20190049906A (ko) * | 2011-09-23 | 2019-05-09 | 노벨러스 시스템즈, 인코포레이티드 | 플라즈마 활성화된 컨포멀 유전체 막 증착 |
US10361076B2 (en) | 2010-04-15 | 2019-07-23 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US10559468B2 (en) | 2010-04-15 | 2020-02-11 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US10679848B2 (en) | 2016-07-01 | 2020-06-09 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US10741458B2 (en) | 2012-11-08 | 2020-08-11 | Novellus Systems, Inc. | Methods for depositing films on sensitive substrates |
US10804099B2 (en) | 2014-11-24 | 2020-10-13 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US10957514B2 (en) | 2016-06-30 | 2021-03-23 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
WO2021241152A1 (ja) * | 2020-05-29 | 2021-12-02 | 大陽日酸株式会社 | 混合ガス供給装置、金属窒化膜の製造装置、及び金属窒化膜の製造方法 |
JP2022516238A (ja) * | 2018-12-21 | 2022-02-25 | レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | 550℃以上の温度でALDを使用してSi含有膜を堆積させるための前駆体及びプロセス |
US11646198B2 (en) | 2015-03-20 | 2023-05-09 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US12040181B2 (en) | 2019-05-01 | 2024-07-16 | Lam Research Corporation | Modulated atomic layer deposition |
Families Citing this family (403)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2006261434A (ja) | 2005-03-17 | 2006-09-28 | L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude | シリコン酸化膜の形成方法 |
US7875556B2 (en) * | 2005-05-16 | 2011-01-25 | Air Products And Chemicals, Inc. | Precursors for CVD silicon carbo-nitride and silicon nitride films |
US7875312B2 (en) * | 2006-05-23 | 2011-01-25 | Air Products And Chemicals, Inc. | Process for producing silicon oxide films for organoaminosilane precursors |
US8530361B2 (en) | 2006-05-23 | 2013-09-10 | Air Products And Chemicals, Inc. | Process for producing silicon and oxide films from organoaminosilane precursors |
US8232176B2 (en) | 2006-06-22 | 2012-07-31 | Applied Materials, Inc. | Dielectric deposition and etch back processes for bottom up gapfill |
US7867923B2 (en) * | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
US8357435B2 (en) * | 2008-05-09 | 2013-01-22 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
JP5616591B2 (ja) * | 2008-06-20 | 2014-10-29 | 株式会社日立国際電気 | 半導体装置の製造方法及び基板処理装置 |
US20100081293A1 (en) * | 2008-10-01 | 2010-04-01 | Applied Materials, Inc. | Methods for forming silicon nitride based film or silicon carbon based film |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8765233B2 (en) * | 2008-12-09 | 2014-07-01 | Asm Japan K.K. | Method for forming low-carbon CVD film for filling trenches |
EP2406267B1 (en) * | 2009-03-10 | 2019-02-20 | L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Cyclic amino compounds for low-k silylation |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8980382B2 (en) * | 2009-12-02 | 2015-03-17 | Applied Materials, Inc. | Oxygen-doping for non-carbon radical-component CVD films |
US8741788B2 (en) * | 2009-08-06 | 2014-06-03 | Applied Materials, Inc. | Formation of silicon oxide using non-carbon flowable CVD processes |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
KR101732187B1 (ko) * | 2009-09-03 | 2017-05-02 | 에이에스엠 저펜 가부시기가이샤 | 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법 |
US8449942B2 (en) * | 2009-11-12 | 2013-05-28 | Applied Materials, Inc. | Methods of curing non-carbon flowable CVD films |
US20110159213A1 (en) * | 2009-12-30 | 2011-06-30 | Applied Materials, Inc. | Chemical vapor deposition improvements through radical-component modification |
US8629067B2 (en) * | 2009-12-30 | 2014-01-14 | Applied Materials, Inc. | Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio |
US8329262B2 (en) | 2010-01-05 | 2012-12-11 | Applied Materials, Inc. | Dielectric film formation using inert gas excitation |
SG182336A1 (en) * | 2010-01-06 | 2012-08-30 | Applied Materials Inc | Flowable dielectric using oxide liner |
SG182333A1 (en) | 2010-01-07 | 2012-08-30 | Applied Materials Inc | In-situ ozone cure for radical-component cvd |
US8703625B2 (en) * | 2010-02-04 | 2014-04-22 | Air Products And Chemicals, Inc. | Methods to prepare silicon-containing films |
US8563445B2 (en) | 2010-03-05 | 2013-10-22 | Applied Materials, Inc. | Conformal layers by radical-component CVD |
US8236708B2 (en) * | 2010-03-09 | 2012-08-07 | Applied Materials, Inc. | Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor |
US8741394B2 (en) | 2010-03-25 | 2014-06-03 | Novellus Systems, Inc. | In-situ deposition of film stacks |
US8709551B2 (en) * | 2010-03-25 | 2014-04-29 | Novellus Systems, Inc. | Smooth silicon-containing films |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9373500B2 (en) | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9076646B2 (en) * | 2010-04-15 | 2015-07-07 | Lam Research Corporation | Plasma enhanced atomic layer deposition with pulsed plasma exposure |
US8956983B2 (en) | 2010-04-15 | 2015-02-17 | Novellus Systems, Inc. | Conformal doping via plasma activated atomic layer deposition and conformal film deposition |
US8728956B2 (en) * | 2010-04-15 | 2014-05-20 | Novellus Systems, Inc. | Plasma activated conformal film deposition |
US9390909B2 (en) | 2013-11-07 | 2016-07-12 | Novellus Systems, Inc. | Soft landing nanolaminates for advanced patterning |
US20110294075A1 (en) * | 2010-05-25 | 2011-12-01 | United Microelectronics Corp. | Patterning method |
US8912353B2 (en) | 2010-06-02 | 2014-12-16 | Air Products And Chemicals, Inc. | Organoaminosilane precursors and methods for depositing films comprising same |
US8343881B2 (en) | 2010-06-04 | 2013-01-01 | Applied Materials, Inc. | Silicon dioxide layer deposited with BDEAS |
JP5687547B2 (ja) * | 2010-06-28 | 2015-03-18 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法および基板処理装置 |
US9685320B2 (en) | 2010-09-23 | 2017-06-20 | Lam Research Corporation | Methods for depositing silicon oxide |
US9285168B2 (en) | 2010-10-05 | 2016-03-15 | Applied Materials, Inc. | Module for ozone cure and post-cure moisture treatment |
US8664127B2 (en) | 2010-10-15 | 2014-03-04 | Applied Materials, Inc. | Two silicon-containing precursors for gapfill enhancing dielectric liner |
WO2012067455A1 (ko) * | 2010-11-17 | 2012-05-24 | 주식회사 유피케미칼 | 실리콘 전구체 화합물을 이용한 박막 증착 방법 |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8450191B2 (en) | 2011-01-24 | 2013-05-28 | Applied Materials, Inc. | Polysilicon films by HDP-CVD |
US20130330936A1 (en) * | 2011-02-07 | 2013-12-12 | Technische Universiteit Eindhoven | METHOD OF DEPOSITION OF Al2O3/SiO2 STACKS, FROM ALUMINIUM AND SILICON PRECURSORS |
US9163310B2 (en) | 2011-02-18 | 2015-10-20 | Veeco Ald Inc. | Enhanced deposition of layer on substrate using radicals |
US8716154B2 (en) | 2011-03-04 | 2014-05-06 | Applied Materials, Inc. | Reduced pattern loading using silicon oxide multi-layers |
US8647993B2 (en) * | 2011-04-11 | 2014-02-11 | Novellus Systems, Inc. | Methods for UV-assisted conformal film deposition |
US8445078B2 (en) | 2011-04-20 | 2013-05-21 | Applied Materials, Inc. | Low temperature silicon oxide conversion |
US8466073B2 (en) | 2011-06-03 | 2013-06-18 | Applied Materials, Inc. | Capping layer for reduced outgassing |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US9404178B2 (en) | 2011-07-15 | 2016-08-02 | Applied Materials, Inc. | Surface treatment and deposition for reduced outgassing |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US8617989B2 (en) | 2011-09-26 | 2013-12-31 | Applied Materials, Inc. | Liner property improvement |
US8551891B2 (en) | 2011-10-04 | 2013-10-08 | Applied Materials, Inc. | Remote plasma burn-in |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8586487B2 (en) | 2012-01-18 | 2013-11-19 | Applied Materials, Inc. | Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films |
US8592328B2 (en) | 2012-01-20 | 2013-11-26 | Novellus Systems, Inc. | Method for depositing a chlorine-free conformal sin film |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US8889566B2 (en) | 2012-09-11 | 2014-11-18 | Applied Materials, Inc. | Low cost flowable dielectric films |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9355839B2 (en) | 2012-10-23 | 2016-05-31 | Lam Research Corporation | Sub-saturated atomic layer deposition and conformal film deposition |
SG2013083241A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Conformal film deposition for gapfill |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
TW201443274A (zh) * | 2013-03-14 | 2014-11-16 | Applied Materials Inc | 使用二矽氧烷先質之膜的沉積 |
CN103450801A (zh) * | 2013-09-09 | 2013-12-18 | 南京工业大学 | 一种制备微纳米硅基超疏水涂层的方法及其用途 |
WO2015047914A1 (en) | 2013-09-27 | 2015-04-02 | Antonio Sanchez | Amine substituted trisilylamine and tridisilylamine compounds |
TWI649803B (zh) * | 2013-09-30 | 2019-02-01 | 蘭姆研究公司 | 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充 |
US9905415B2 (en) | 2013-10-03 | 2018-02-27 | Versum Materials Us, Llc | Methods for depositing silicon nitride films |
JP5852147B2 (ja) * | 2014-01-23 | 2016-02-03 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体 |
KR102339803B1 (ko) * | 2014-01-24 | 2021-12-14 | 어플라이드 머티어리얼스, 인코포레이티드 | 산화제 없이 규소 및 산-함유 막들을 증착시키는 방법 |
US9214334B2 (en) | 2014-02-18 | 2015-12-15 | Lam Research Corporation | High growth rate process for conformal aluminum nitride |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9583337B2 (en) * | 2014-03-26 | 2017-02-28 | Ultratech, Inc. | Oxygen radical enhanced atomic-layer deposition using ozone plasma |
US9412581B2 (en) | 2014-07-16 | 2016-08-09 | Applied Materials, Inc. | Low-K dielectric gapfill by flowable deposition |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9478411B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS |
US9478438B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9214333B1 (en) | 2014-09-24 | 2015-12-15 | Lam Research Corporation | Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD |
US9875888B2 (en) | 2014-10-03 | 2018-01-23 | Applied Materials, Inc. | High temperature silicon oxide atomic layer deposition technology |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102300403B1 (ko) * | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US9589790B2 (en) | 2014-11-24 | 2017-03-07 | Lam Research Corporation | Method of depositing ammonia free and chlorine free conformal silicon nitride film |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
CN107430991A (zh) | 2015-02-23 | 2017-12-01 | 应用材料公司 | 用于形成高质量薄膜的循环连续工艺 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US9748093B2 (en) | 2015-03-18 | 2017-08-29 | Applied Materials, Inc. | Pulsed nitride encapsulation |
US9646818B2 (en) | 2015-03-23 | 2017-05-09 | Applied Materials, Inc. | Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor |
US11124876B2 (en) | 2015-03-30 | 2021-09-21 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Si-containing film forming precursors and methods of using the same |
US9502238B2 (en) | 2015-04-03 | 2016-11-22 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10526701B2 (en) | 2015-07-09 | 2020-01-07 | Lam Research Corporation | Multi-cycle ALD process for film uniformity and thickness profile modulation |
WO2017023693A1 (en) | 2015-07-31 | 2017-02-09 | Air Products And Chemicals, Inc. | Compositions and methods for depositing silicon nitride films |
CN105185693A (zh) * | 2015-08-20 | 2015-12-23 | 上海华力微电子有限公司 | 半导体衬底上二氧化硅介质层的形成方法 |
US9601693B1 (en) | 2015-09-24 | 2017-03-21 | Lam Research Corporation | Method for encapsulating a chalcogenide material |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US20170178899A1 (en) | 2015-12-18 | 2017-06-22 | Lam Research Corporation | Directional deposition on patterned structures |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10312432B2 (en) * | 2016-04-06 | 2019-06-04 | Varian Semiconductor Equipment Associates, Inc. | Magnetic memory device and techniques for forming |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10629435B2 (en) | 2016-07-29 | 2020-04-21 | Lam Research Corporation | Doped ALD films for semiconductor patterning applications |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US10074543B2 (en) | 2016-08-31 | 2018-09-11 | Lam Research Corporation | High dry etch rate materials for semiconductor patterning applications |
US9865455B1 (en) | 2016-09-07 | 2018-01-09 | Lam Research Corporation | Nitride film formed by plasma-enhanced and thermal atomic layer deposition process |
US11332824B2 (en) * | 2016-09-13 | 2022-05-17 | Lam Research Corporation | Systems and methods for reducing effluent build-up in a pumping exhaust system |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10832908B2 (en) | 2016-11-11 | 2020-11-10 | Lam Research Corporation | Self-aligned multi-patterning process flow with ALD gapfill spacer mask |
US10454029B2 (en) | 2016-11-11 | 2019-10-22 | Lam Research Corporation | Method for reducing the wet etch rate of a sin film without damaging the underlying substrate |
US10134579B2 (en) | 2016-11-14 | 2018-11-20 | Lam Research Corporation | Method for high modulus ALD SiO2 spacer |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
US10192734B2 (en) | 2016-12-11 | 2019-01-29 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude | Short inorganic trisilylamine-based polysilazanes for thin film deposition |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
KR20180110612A (ko) * | 2017-03-29 | 2018-10-10 | (주)디엔에프 | 비스(아미노실릴)알킬아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법 |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US9984869B1 (en) * | 2017-04-17 | 2018-05-29 | Asm Ip Holding B.V. | Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10361112B2 (en) * | 2017-06-29 | 2019-07-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | High aspect ratio gap fill |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
WO2019103613A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | A storage device for storing wafer cassettes for use with a batch furnace |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
EP3737779A1 (en) | 2018-02-14 | 2020-11-18 | ASM IP Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
WO2019169335A1 (en) | 2018-03-02 | 2019-09-06 | Lam Research Corporation | Selective deposition using hydrolysis |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR20210024462A (ko) | 2018-06-27 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체 |
CN112292477A (zh) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构 |
TWI751420B (zh) | 2018-06-29 | 2022-01-01 | 荷蘭商Asm知識產權私人控股有限公司 | 薄膜沉積方法 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
KR102126466B1 (ko) * | 2018-09-27 | 2020-06-24 | 크린팩토메이션 주식회사 | 이에프이엠 |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
JP7436054B2 (ja) * | 2018-11-15 | 2024-02-21 | ユーピー ケミカル カンパニー リミテッド | シリコン前駆体化合物、製造方法、及びこれを利用するシリコン含有膜の形成方法 |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US11211243B2 (en) * | 2018-11-21 | 2021-12-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of filling gaps with carbon and nitrogen doped film |
CN111211088B (zh) * | 2018-11-21 | 2023-04-25 | 台湾积体电路制造股份有限公司 | 半导体器件及其形成方法 |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI776109B (zh) * | 2018-12-21 | 2022-09-01 | 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 | 在550°C或更高的溫度下使用ALD沈積含Si膜之先質及製程 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TWI838458B (zh) | 2019-02-20 | 2024-04-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於3d nand應用中之插塞填充沉積之設備及方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
KR102638425B1 (ko) | 2019-02-20 | 2024-02-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치 |
JP7509548B2 (ja) | 2019-02-20 | 2024-07-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための周期的堆積方法および装置 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024420A (ko) * | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US20220333241A1 (en) * | 2019-09-10 | 2022-10-20 | Versum Materials Us, Llc | Compositions and methods using same for non-conformal deposition of silicon containing films |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
CN112635282A (zh) | 2019-10-08 | 2021-04-09 | Asm Ip私人控股有限公司 | 具有连接板的基板处理装置、基板处理方法 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
US11482414B2 (en) | 2019-12-18 | 2022-10-25 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Ultra-low temperature ALD to form high-quality Si-containing film |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
JP7436253B2 (ja) * | 2020-03-23 | 2024-02-21 | 株式会社Screenホールディングス | 熱処理方法および熱処理装置 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
TW202140831A (zh) | 2020-04-24 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含氮化釩層及包含該層的結構之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
JP2021177545A (ja) | 2020-05-04 | 2021-11-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板を処理するための基板処理システム |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR20210145080A (ko) | 2020-05-22 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
CN114622183A (zh) * | 2020-12-11 | 2022-06-14 | 湖南红太阳光电科技有限公司 | 一种制备氧化硅薄膜的方法 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
US11862468B2 (en) * | 2021-01-29 | 2024-01-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US20220359192A1 (en) * | 2021-04-21 | 2022-11-10 | Entegris, Inc. | Silicon precursor compounds and method for forming silicon-containing films |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Citations (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS54163792A (en) * | 1978-05-24 | 1979-12-26 | Hughes Aircraft Co | Manufacture of silicon nitride membrane |
JPH01143221A (ja) * | 1987-11-27 | 1989-06-05 | Nec Corp | 絶縁薄膜の製造方法 |
JPH06132284A (ja) * | 1992-10-22 | 1994-05-13 | Kawasaki Steel Corp | 半導体装置の保護膜形成方法 |
WO2006036538A2 (en) * | 2004-09-28 | 2006-04-06 | Praxair Technology, Inc. | Organometallic precursor compounds |
WO2006097525A2 (en) * | 2005-03-17 | 2006-09-21 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Method of forming silicon oxide containing films |
WO2007002040A2 (en) * | 2005-06-21 | 2007-01-04 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
JP2007189173A (ja) * | 2006-01-16 | 2007-07-26 | Tokyo Electron Ltd | 成膜方法、成膜装置及び記憶媒体 |
JP2007318142A (ja) * | 2006-05-23 | 2007-12-06 | Air Products & Chemicals Inc | 有機アミノシラン前駆体から酸化ケイ素膜を製造するための方法 |
JP2008258591A (ja) * | 2007-02-27 | 2008-10-23 | Air Products & Chemicals Inc | ケイ素含有膜の周期的プラズマ化学気相堆積 |
Family Cites Families (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5728602A (en) * | 1996-06-03 | 1998-03-17 | Vlsi Technology, Inc. | Semiconductor wafer manufacturing process with high-flow-rate low-pressure purge cycles |
US6153261A (en) * | 1999-05-28 | 2000-11-28 | Applied Materials, Inc. | Dielectric film deposition employing a bistertiarybutylaminesilane precursor |
US6348420B1 (en) * | 1999-12-23 | 2002-02-19 | Asm America, Inc. | Situ dielectric stacks |
JP4371543B2 (ja) * | 2000-06-29 | 2009-11-25 | 日本電気株式会社 | リモートプラズマcvd装置及び膜形成方法 |
US6843858B2 (en) * | 2002-04-02 | 2005-01-18 | Applied Materials, Inc. | Method of cleaning a semiconductor processing chamber |
KR20050069986A (ko) * | 2002-08-18 | 2005-07-05 | 에비자 테크놀로지, 인크. | 실리콘 산화물 및 산질화물의 저온 증착 |
US20060153995A1 (en) * | 2004-05-21 | 2006-07-13 | Applied Materials, Inc. | Method for fabricating a dielectric stack |
US20060045986A1 (en) * | 2004-08-30 | 2006-03-02 | Hochberg Arthur K | Silicon nitride from aminosilane using PECVD |
US20060051975A1 (en) * | 2004-09-07 | 2006-03-09 | Ashutosh Misra | Novel deposition of SiON dielectric films |
US7498273B2 (en) * | 2006-05-30 | 2009-03-03 | Applied Materials, Inc. | Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes |
US20080173917A1 (en) * | 2007-01-19 | 2008-07-24 | Matthias Patz | Selective deposition method |
-
2008
- 2008-09-18 US US12/233,057 patent/US20090075490A1/en not_active Abandoned
- 2008-09-18 KR KR20157006436A patent/KR20150036815A/ko not_active Application Discontinuation
- 2008-09-18 TW TW097135763A patent/TWI489547B/zh active
- 2008-09-18 KR KR1020107008189A patent/KR101542267B1/ko active IP Right Grant
- 2008-09-18 EP EP08831726A patent/EP2193541A1/en not_active Withdrawn
- 2008-09-18 JP JP2010525945A patent/JP2010539730A/ja active Pending
- 2008-09-18 WO PCT/US2008/076810 patent/WO2009039251A1/en active Application Filing
- 2008-09-18 CN CN2008801163507A patent/CN101889331A/zh active Pending
Patent Citations (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS54163792A (en) * | 1978-05-24 | 1979-12-26 | Hughes Aircraft Co | Manufacture of silicon nitride membrane |
JPH01143221A (ja) * | 1987-11-27 | 1989-06-05 | Nec Corp | 絶縁薄膜の製造方法 |
JPH06132284A (ja) * | 1992-10-22 | 1994-05-13 | Kawasaki Steel Corp | 半導体装置の保護膜形成方法 |
WO2006036538A2 (en) * | 2004-09-28 | 2006-04-06 | Praxair Technology, Inc. | Organometallic precursor compounds |
WO2006097525A2 (en) * | 2005-03-17 | 2006-09-21 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Method of forming silicon oxide containing films |
WO2007002040A2 (en) * | 2005-06-21 | 2007-01-04 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
JP2007189173A (ja) * | 2006-01-16 | 2007-07-26 | Tokyo Electron Ltd | 成膜方法、成膜装置及び記憶媒体 |
JP2007318142A (ja) * | 2006-05-23 | 2007-12-06 | Air Products & Chemicals Inc | 有機アミノシラン前駆体から酸化ケイ素膜を製造するための方法 |
JP2008258591A (ja) * | 2007-02-27 | 2008-10-23 | Air Products & Chemicals Inc | ケイ素含有膜の周期的プラズマ化学気相堆積 |
Cited By (34)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8357619B2 (en) | 2008-02-01 | 2013-01-22 | Tokyo Electron Limited | Film formation method for forming silicon-containing insulating film |
US11133180B2 (en) | 2010-04-15 | 2021-09-28 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US11011379B2 (en) | 2010-04-15 | 2021-05-18 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US10559468B2 (en) | 2010-04-15 | 2020-02-11 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US10361076B2 (en) | 2010-04-15 | 2019-07-23 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
JP2012248844A (ja) * | 2011-05-24 | 2012-12-13 | Air Products & Chemicals Inc | 有機アミノシラン前駆体、並びにその製造方法及び使用方法 |
JP2015233153A (ja) * | 2011-06-03 | 2015-12-24 | エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated | ケイ素含有膜を堆積するための方法 |
JP2014523638A (ja) * | 2011-06-03 | 2014-09-11 | エア プロダクツ アンド ケミカルズ インコーポレイテッド | 炭素ドープケイ素含有膜を堆積するための組成物及び方法 |
US10319584B2 (en) | 2011-06-03 | 2019-06-11 | Versum Materials Us, Llc | Compositions and processes for depositing carbon-doped silicon-containing films |
KR20190049906A (ko) * | 2011-09-23 | 2019-05-09 | 노벨러스 시스템즈, 인코포레이티드 | 플라즈마 활성화된 컨포멀 유전체 막 증착 |
KR102084901B1 (ko) | 2011-09-23 | 2020-03-05 | 노벨러스 시스템즈, 인코포레이티드 | 플라즈마 활성화된 컨포멀 유전체 막 증착 |
KR101842901B1 (ko) * | 2011-10-18 | 2018-03-29 | 삼성전자주식회사 | 반도체 장치의 형성방법 |
KR101925580B1 (ko) * | 2011-11-15 | 2019-02-28 | 주식회사 원익아이피에스 | 기판처리장치 및 그 동작 방법 |
KR20130053273A (ko) * | 2011-11-15 | 2013-05-23 | 주식회사 원익아이피에스 | 기판처리장치 및 그 동작 방법 |
US10242864B2 (en) | 2012-04-12 | 2019-03-26 | Versum Materials Us, Llc | High temperature atomic layer deposition of silicon oxide thin films |
JP2017028313A (ja) * | 2012-04-12 | 2017-02-02 | エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated | 酸化ケイ素薄膜の高温原子層堆積 |
US9460912B2 (en) | 2012-04-12 | 2016-10-04 | Air Products And Chemicals, Inc. | High temperature atomic layer deposition of silicon oxide thin films |
JP2013236073A (ja) * | 2012-04-12 | 2013-11-21 | Air Products & Chemicals Inc | 酸化ケイ素薄膜の高温原子層堆積 |
JP2014007378A (ja) * | 2012-06-02 | 2014-01-16 | Tokyo Electron Ltd | 成膜方法及び成膜装置 |
US10741458B2 (en) | 2012-11-08 | 2020-08-11 | Novellus Systems, Inc. | Methods for depositing films on sensitive substrates |
KR102384484B1 (ko) * | 2013-12-30 | 2022-04-07 | 램 리써치 코포레이션 | 펄싱된 플라즈마 노출을 사용하여 플라즈마 강화된 원자층 증착 |
KR20150079470A (ko) * | 2013-12-30 | 2015-07-08 | 램 리써치 코포레이션 | 펄싱된 플라즈마 노출을 사용하여 플라즈마 강화된 원자층 증착 |
JP2015144268A (ja) * | 2013-12-30 | 2015-08-06 | ラム リサーチ コーポレーションLam Research Corporation | パルスプラズマ暴露を伴うプラズマ原子層堆積 |
KR102648013B1 (ko) * | 2014-02-28 | 2024-03-14 | 램 리써치 코포레이션 | 3차원 ic 트랜지스터들의 핀-형상 채널 영역들을 도핑하기 위한 캡핑된 ald 막들 |
KR20220079806A (ko) * | 2014-02-28 | 2022-06-14 | 램 리써치 코포레이션 | 3차원 ic 트랜지스터들의 핀-형상 채널 영역들을 도핑하기 위한 캡핑된 ald 막들 |
US10804099B2 (en) | 2014-11-24 | 2020-10-13 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US11646198B2 (en) | 2015-03-20 | 2023-05-09 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
JP2018518598A (ja) * | 2015-03-30 | 2018-07-12 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | ケイ素と窒素とを含有する薄膜を形成するための蒸着プロセス |
US10957514B2 (en) | 2016-06-30 | 2021-03-23 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10679848B2 (en) | 2016-07-01 | 2020-06-09 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
JP2022516238A (ja) * | 2018-12-21 | 2022-02-25 | レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | 550℃以上の温度でALDを使用してSi含有膜を堆積させるための前駆体及びプロセス |
JP7164789B2 (ja) | 2018-12-21 | 2022-11-02 | レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | 550℃以上の温度でALDを使用してSi含有膜を堆積させるための前駆体及びプロセス |
US12040181B2 (en) | 2019-05-01 | 2024-07-16 | Lam Research Corporation | Modulated atomic layer deposition |
WO2021241152A1 (ja) * | 2020-05-29 | 2021-12-02 | 大陽日酸株式会社 | 混合ガス供給装置、金属窒化膜の製造装置、及び金属窒化膜の製造方法 |
Also Published As
Publication number | Publication date |
---|---|
US20090075490A1 (en) | 2009-03-19 |
KR20100061733A (ko) | 2010-06-08 |
EP2193541A1 (en) | 2010-06-09 |
TW200931520A (en) | 2009-07-16 |
WO2009039251A1 (en) | 2009-03-26 |
KR20150036815A (ko) | 2015-04-07 |
CN101889331A (zh) | 2010-11-17 |
KR101542267B1 (ko) | 2015-08-06 |
TWI489547B (zh) | 2015-06-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR101542267B1 (ko) | 규소 함유 막의 형성 방법 | |
KR100961805B1 (ko) | 산화규소 함유 필름의 형성 방법 | |
KR101454603B1 (ko) | 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치 | |
KR101749413B1 (ko) | 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램 | |
KR101060911B1 (ko) | Ald 또는 cvd 공정을 통한 금속 함유 막의 제조 | |
KR101827620B1 (ko) | 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램 | |
KR20150095215A (ko) | 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20110623 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20120412 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20120508 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20120808 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20120815 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20121108 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20130305 |