KR20190049906A - 플라즈마 활성화된 컨포멀 유전체 막 증착 - Google Patents

플라즈마 활성화된 컨포멀 유전체 막 증착 Download PDF

Info

Publication number
KR20190049906A
KR20190049906A KR1020197012231A KR20197012231A KR20190049906A KR 20190049906 A KR20190049906 A KR 20190049906A KR 1020197012231 A KR1020197012231 A KR 1020197012231A KR 20197012231 A KR20197012231 A KR 20197012231A KR 20190049906 A KR20190049906 A KR 20190049906A
Authority
KR
South Korea
Prior art keywords
film
dopant
reactant
plasma
cfd
Prior art date
Application number
KR1020197012231A
Other languages
English (en)
Other versions
KR102084901B1 (ko
Inventor
샹카르 스와미나탄
존 헨리
데니스 엠. 하우스만
프라모드 수브라모니움
만디암 스리람
비쉬와나단 란가라잔
키르티 케이. 케이티지
슈라벤디크 바트 제이. 반
앤드류 제이. 맥케로우
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/242,084 external-priority patent/US8637411B2/en
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20190049906A publication Critical patent/KR20190049906A/ko
Application granted granted Critical
Publication of KR102084901B1 publication Critical patent/KR102084901B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

기판 표면 상에 막을 증착하는 방법은 막이 반응물 흡착 및 반응의 하나 이상의 사이클에 걸쳐서 성장하는 표면 매개형 반응들을 포함한다. 일 양태에서, 이 방법은 흡착 및 반응의 사이클들 간에 막으로 도펀트 종들을 단속적으로 전달하는 것을 특징으로 한다.

Description

플라즈마 활성화된 컨포멀 유전체 막 증착{PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION}
관련 출원에 대한 교차 참조
본원은 2010년 4월 15일자에 출원된 미국 가 특허 출원 번호 61/324,710; 2010년 8월 10일자에 출원된 미국 가 특허 출원 번호 61/372,367; 2010년 9월 1일자에 출원된 미국 가 특허 출원 번호 61/379,081; 및 2010년 11월 29일자에 출원된 미국 가 특허 출원 번호 61/417,807 을 우선권으로 주장하는, 2011년 4월 11일자에 출원된 미국 특허 출원 번호 13/084,399의 일부 추가 계속 출원으로서의 우선권을 35 U.S.C.§120 하에서 주장한다. 이러한 출원 문헌들은 각각 그 전체 내용이 모든 목적을 위해서 본 명세서에서 참조로서 인용된다. 또한, 본원은 2011년 4월 11일자에 출원된 미국 특허 출원 번호 13/084,305의 일부 추가 계속 출원이며, 이 출원 문헌도 그 전체 내용이 본 명세서에서 참조로서 인용된다.
반도체 디바이스들 (devices) 을 위한 다양한 박막 층들이 ALD (atomic layer depostion) 공정들을 사용하여서 증착될 수 있다. 그러나, 기존의 ALD 공정들은 매우 컨포멀한 (highly conformal) 유전체 막들을 증착하는데 적합하지 않을 수 있다.
본 명세서에서 개시된 다양한 양태들은 기판 표면 상에 막을 증착하는 방법들 및 장치에 관한 것이다. 특정 실시예들에서, 이 방법은 하나 이상의 반응물 흡착 및 반응 사이클에 걸쳐서 막이 증착되는, 표면 매개된 반응들 (surface mediated reactions) 에 의해서 막을 증착하는 바를 포함한다. 일 양태에서, 이 방법은 흡착 및 반응 사이클들 간에서 막에 도펀트 종들을 단속적으로 전달하는 것을 특징으로 한다. 몇몇 시점에서, 도펀트 종들이 기판의 영역들을 도핑하도록 기판 표면에 걸쳐서 추동될 (driven) 수 있다.
일 양태에서, 개시된 방법은 반응 챔버 내에서 기판 표면 상에 막을 증착한다. 이 방법은 (a) 제 1 반응물이 상기 기판 표면 상으로 흡착되게 하는 조건들 하에서 상기 제 1 반응물을 상기 반응 챔버 내로 도입시키는 동작; (b) 상기 제 1 반응물이 상기 기판 표면 상에 흡착된 동안에 상기 반응 챔버 내에 제 2 반응물을 도입시키는 동작; (c) 상기 막의 일부를 형성하도록 상기 기판 표면 상의 상기 제 1 반응물과 상기 제 2 반응물 간의 반응을 일으키게 상기 기판 표면을 플라즈마에 노출시키는 동작; (d) (a) 내지 (c) 를 적어도 1 회 반복하는 단계; (e) (a) 내지 (d) 동안에 도입되지 않은 도펀트 함유 재료가 상기 막의 노출된 표면과 접촉되게 하는 조건들 하에서 상기 도펀트 함유 재료를 상기 반응 챔버 내로 도입시키는 동작; 및 (f) 상기 도펀트 함유 재료로부터의 도펀트를 상기 막 내로 도입시키는 동작을 포함하는 것을 특징으로 할 수도 있다. 상기 도펀트를 상기 막 내로 도입시키는 동작은 상기 도펀트 함유 재료를 플라즈마에 노출시키는 동작을 포함한다.
다양한 구현예들에서, 이 방법은 상기 막으로부터의 상기 도펀트를 상기 막이 상주하는 상기 기판 표면의 피처들 내로 추동시키는 (driving) 동작을 더 포함한다. 상기 막으로부터의 상기 도펀트를 추동시키는 동작은 상기 막을 어닐링함으로써 달성될 수도 있다. 몇몇 애플리케이션들에서, 상기 막은 기판 표면의 3차원 피처 상에 상주하며, 상기 막으로부터 도펀트를 추동시키는 동작은 피처 내로의 도펀트의 컨포멀 확산을 제공한다. 특정 애플리케이션에서, 피처는 약 40 나노미터보다 크지 않은 폭을 갖는다.
특정 구현예들에서, 상기 막은 유전체 막이다. 몇몇 경우에, 총 막 두께는 약 10 내지 100 옹스트롬이다. 다양한 실시예들에서, 상기 막 내의 도펀트의 농도는 약 0.01 내지 10 중량 퍼센트이다.
특정 실시예들에서, 이 양태의 방법은 (e) 또는 (f) 후에 (a) 내지 (c) 를 반복하는 동작을 더 포함한다. 특정 실시예들에서, 이 양태의 방법은 (a) 내지 (e) 를 반복하는 동작을 더 포함한다. 몇몇 구현예들에서, (a) 내지 (c) 동안에 증착된 막의 양은 약 0.5 내지 1 옹스트롬이다.
특정 실시예들에서, 이 방법은 상기 기판 표면을 플라즈마에 노출시키는 동작 이전에 상기 반응 챔버로부터 상기 제 2 반응물을 퍼지 (purge) 하는 동작을 더 포함한다. 상기 퍼지하는 동작은 산화제를 포함하는 가스를 상기 반응 챔버 내로 흐르게 함으로써 달성될 수 있다. 몇몇 구현예들에서, 상기 제 1 반응물 및 상기 제 2 반응물은 상기 반응 챔버 내에 기상 (vapor phase) 으로 공존하며, 상기 제 1 반응물 및 상기 제 2 반응물은 (c) 에서 플라즈마에 노출될 때까지 상기 반응 챔버 내에서 인식할 수 있을 정도로 (appreciably) 서로 반응하지 않는다.
특정 실시예들에서, 상기 제 1 반응물은 예를 들어 아산화질소와 같은 산화제이다. 특정 실시예들에서, (i) 상기 제 2 반응물은 SiHx(NR2)4-x이며 x = 1 내지 3이며 R은 알킬 그룹들을 포함하는 알킬아미노 실란들 (alkylamino silanes) ; 또는 (ii) SiHxY4-x이며 x = 1 내지 3이며 Y 는 Cl, Br, 및 I를 포함하는 할로실란들 (halosilanes) 와 같은 유전체 프리커서이다. 특정 실시예에서, 상기 제 2 반응물은 BTBAS이다. 특정 실시예들에서, 상기 도펀트 함유 재료는 포스핀, 아르신, 알킬보란, 알킬 갈란 (gallane), 알킬포스핀, 할로겐화 인 (phosphorus halide), 할로겐화 비소 (arsenic halide), 할로겐화 갈륨 (gallium halide), 할로겐화 붕소 (boron halide), 알킬보란 (alkylborane) 또는 디보란이다.
다른 양태에서, 개시된 방법은 반응 챔버 내에서 기판 표면 상에 유전체 막을 증착한다. 이 방법은 (a) 제 1 반응물이 상기 기판 표면 상으로 흡착되게 하는 조건들 하에서 산화제를 상기 반응 챔버 내로 도입시키는 동작; (b) 상기 산화제가 상기 반응 챔버로 흐르는 동안에 상기 반응 챔버 내에 유전체 프리커서를 도입시키는 동작; (c) 상기 유전체 막의 일부를 형성하도록 상기 기판 표면 상의 상기 산화제와 상기 유전체 프리커서 간의 반응을 일으키게 상기 기판 표면을 플라즈마에 노출시키는 동작; (d) (a) 내지 (c) 동안에 도입되지 않은 도펀트 함유 재료가 상기 막의 노출된 표면과 접촉되게 하는 조건들 하에서 상기 도펀트 함유 재료를 상기 반응 챔버 내로 도입시키는 동작; 및 (e) 상기 도펀트 함유 재료로부터의 도펀트를 상기 유전체 막 내로 포함시키는 동작을 포함하는 것을 특징으로 할 수 있다. 일 구현예에서, 상기 유전체 프리커서는 BTBAS이거나 선행 양태에서 특정된 바와 같은 다른 프리커서이다.
또한, 이 방법은 동작 (a) 내지 동작 (c) 가 1 회 이상 반복되는 것을 요구할 수도 있다. 특정 실례에서, 상기 산화제는 (a) 가 처음 수행되는 때에 질소에 대한 산소의 제 1 비를 포함하며, 상기 산화제는 (a) 가 후속하여서 수행된 때에 질소에 대한 산소의 제 2 비를 포함한다. 상기 제 2 비는 상기 제 1 비보다 작다. 예를 들어서, 상기 산화제는 (a) 가 처음 수행되는 때에 원소 산소 (elemental oxygen) 를 포함하며, 상기 산화제는 (a) 가 반복되는 때에 아산화질소를 포함한다. 몇몇 실시예들에서, 상기 기판은 (c) 가 처음 수행되는 때에 제 1 온도에 있으며, 상기 기판은 (c) 가 반복되는 때에 제 2 온도에 있으며, 상기 제 2 온도는 상기 제 1 온도보다 높다.
몇몇 경우들에서, 이 방법은 상기 도펀트를 상기 유전체 막으로부터 상기 기판 내로 추동시키는 동작을 더 포함한다. 몇몇 실시예들에서, 이 방법은 (a) 이전에 상기 기판 표면을 상기 도펀트 함유 재료와 접촉시키는 동작을 더 포함한다.
다른 양태에서, 개시된 방법은 동작들에 따라서 반응 챔버 내에서 기판 표면 상에 유전체 막을 증착하는데, 상기 동작들은 (a) 유전체 프리커서가 상기 기판 표면 상으로 흡착되게 하는 조건들 하에서 상기 유전체 프리커서를 상기 반응 챔버 내로 도입시키는 동작; (b) 이후에, 상기 유전체 프리커서가 상기 기판 표면 상에 흡착된 상태에서 상기 반응 챔버로부터 상기 유전체 프리커서를 퍼지하는 동작; (c) 상기 유전체 막의 일부를 형성하도록 상기 기판 표면 상의 상기 유전체 프리커서의 반응을 일으키게 상기 기판 표면을 플라즈마에 노출시키는 동작; 및 (d) (a) 내지 (c) 동안에 도입되지 않은 도펀트 프리커서가 상기 유전체 막의 일부와 접촉되게 하는 조건들 하에서 상기 도펀트 프리커서를 상기 반응 챔버 내로 도입시키는동작을 포함한다. 몇몇 구현예들에서, 이 방법은 (a) 내지 (c) 이전 및 동안에 산화제를 상기 반응 챔버 내로 흐르게 하는 동작을 더 포함한다. 몇몇 경우들에서, 이 방법은 상기 유전체 막 내로 도펀트를 포함시키도록 상기 도펀트 프리커서를 반응시키는 동작을 더 포함한다.
또 다른 양태는 기판 표면 상에 도핑된 막을 증착하기 위한 장치에 관한 것이다. 이 장치는 도핑된 유전체 막의 증착 동안에 기판을 홀딩하기 위한 디바이스를 포함하는 반응 챔버; 상기 반응 챔버에 연통된 하나 이상의 프로세스 가스 유입구들; 및 제어기와 같은 특징부들을 포함하는 것을 특징으로 할 수 있다. 상기 제어기는 상기 장치로 하여금 동작들을 수행하게 하도록 구성되거나 설계되며, 상기 동작들은 (a) 제 1 반응물이 상기 기판 표면 상으로 흡착되게 하는 조건들 하에서 상기 제 1 반응물을 상기 반응 챔버 내로 도입시키는 동작; (b) 상기 제 1 반응물이 상기 기판 표면 상에 흡착된 동안에 상기 반응 챔버 내에 제 2 반응물을 도입시키는 동작; (c) 상기 막의 일부를 형성하도록 상기 기판 표면 상의 상기 제 1 반응물과 상기 제 2 반응물 간의 반응을 일으키게 상기 기판 표면을 플라즈마에 노출시키는 동작; (d) (a) 내지 (c) 를 적어도 1 회 반복하는 동작; (e) (a) 내지 (d) 동안에 도입되지 않은 도펀트 함유 재료가 상기 막의 노출된 표면과 접촉되게 하는 조건들 하에서 상기 도펀트 함유 재료를 상기 반응 챔버 내로 도입시키는 동작; 및 (f) 상기 도펀트 함유 재료로부터 도펀트를 상기 막 내로 도입시키는 동작을 포함한다. 제어기는 다른 양태들에 따라서 논의된 바와 같은 것들과 같은 다른 방법들을 직접적으로 수행하도록 설계 또는 구성될 수도 있다.
특정 실시예들에서, 상기 제어기는 상기 장치로 하여금 (a) 내지 (d) 이전 및 동안에 산화제를 상기 반응 챔버 내로 흐르게 하도록 더 설계되거나 구성된다. 특정 실시예들에서, 상기 제어기는 (e) 또는 (f) 후에 (a) 내지 (c) 를 반복하는 동작이 발생하게 더 설계되거나 구성된다. 특정 실시예들에서, 상기 제어기는 상기 막으로부터의 상기 도펀트를 상기 막이 상주하는 상기 기판 표면의 피처들 내로 추동시키는 (driving) 동작이 발생하게 더 설계되거나 구성된다. 상기 막으로부터 상기 도펀트를 추동시키는 동작은 상기 막을 어닐링함으로써 달성될 수도 있다. 몇몇 구현예들에서, 상기 제어기는 (e) 가 (a) 내지 (d) 의 하나 이상의 반복들 간의 인터벌들에서 수행되게 하도록 더 설계되거나 구성되며, 상기 인터벌들은 상기 막을 증착하는 과정에 걸쳐서 변한다.
다양한 (various) 구현예들에서, 상기 제어기는 상기 기판 표면을 플라즈마에 노출시키기 이전에 상기 제 2 반응물을 상기 반응 챔버로부터 퍼지시키도록 더 설계되거나 구성된다. 일 실례에서, 상기 퍼지는 산화제를 포함하는 가스를 상기 반응 챔버 내로 흐르게 함으로써 달성된다.
이러한 특징들 및 다른 특징들은 관련 도면들을 참조하여서 이하에서 보다 상세하게 기술될 것이다.
도 1은 본 개시의 실시예에 따른 예시적인 컨포멀 막 증착 (CFD) 공정의 타이밍 도면을 개략적으로 도시한다.
도 2는 본 개시의 실시예에 따른 다른 예시적인 CFD 공정의 타이밍 도면을 개략적으로 도시한다.
도 3은 본 개시의 실시예에 따른 다른 예시적인 CFD 공정의 타이밍 도면을 개략적으로 도시한다.
도 4는 본 개시의 실시예에 따른 플라즈마 처리 사이클을 포함하는 예시적인 CFD 공정의 타이밍 도면을 개략적으로 도시한다.
도 5는 본 개시의 실시예에 따라 증착된 막들에 대한 습식 에칭 레이트 비와 증착 온도 간의 예시적인 상관 관계를 도시한다.
도 6은 본 개시의 실시예에 따라 증착된 막들에 대한 습식 에칭 레이트 비와 막 응력 간의 예시적인 상관 관계를 도시한다.
도 7은 본 개시의 실시예에 따라 증착된 막들에 대한 막 오염 농도와 증착 온도 간의 예시적인 상관 관계를 도시한다.
도 8은 복수의 갭들을 포함하는 비평면형 기판의 예시적인 단면의 개략도이다.
도 9는 본 개시의 실시예에 따른 PECVD로의 천이를 포함하는 예시적인 CFD 공정의 타이밍 도면을 개략적으로 도시한다.
도 10은 열쇠 구멍 형상 보이드 (keyhole void) 를 포함하는 갭 충진물의 예시적인 단면의 개략도이다.
도 11은 본 개시의 실시예에 따른 인-시츄 에칭을 포함하는 예시적인 CFD 공정의 타이밍 도면을 개략적으로 도시한다.
도 12a는 재차 들어간 갭 충진 프로파일의 예시적인 단면의 개략도이다.
도 12b는 본 개시의 실시예에 따른 인-시츄 에칭 공정 동안의 도 12a의 재차 들어간 갭 충진 프로파일의 예시적인 단면의 개략도이다.
도 12c는 본 개시의 실시예에 따른 인-시츄 에칭 후의 증착 공정 동안의 도 12b의 재차 들어간 갭 충진 프로파일의 예시적인 단면의 개략도이다.
도 13은 본 개시의 실시예에 따른 예시적인 공정 스테이션의 개략도이다.
도 14는 본 개시의 실시예에 따른 복수의 공정 스테이션 및 제어기를 포함하는 예시적인 공정 툴의 개략도이다.
도 15는 본 개시의 실시예에 따른 인-시츄 에칭을 포함하는 CFD 공정 동안의 관통 실리콘 비아의 예시적인 개략적 단면도이다.
도 16은 통상적인 이온 주입 기술들에 의해서 도핑하기 어려운 얇은 종형 구조물들 내에 소스 및 드레인이 형성된, 3 차원 게이트 구조물을 갖는 트랜지스터를 예시한다.
도 17은 x 축을 따라서 시간이 지남에 따라서 좌측에서 우측으로의 동작들의 기본적인 CFD 시퀀스를 제공한다.
도 18 및 도 19는 도펀트가 그 아래에 놓인 기판과의 계면에서 증착되고 이후에 도펀트 전달이 중간에 개재된 CFD 사이클들이 따르고 옵션적으로 CFD 산화물 막일수 있는 도핑되지 않는 보호성 "캡핑 (capping)" 층으로 상단이 처리되는 (topped off) 실시예들을 도시한다.
도 20은 CFD BSG/PSG 막을 합성하는데 사용되는 통상적인 증착 블록을 도시한다.
도 21은 밀한 (dense) 구조물 및 소한 (isolated) 구조물 상에서의 ~ 100 %인 것으로 계산된 CFD 막들에 대한 스텝 커버리지를 도시한다.
도 22는 CFD 막들 내의 평균 붕소 농도가 약 0.5 내지 3.5 wt% 붕소의 범위 내에서 조절될 수 있음을 나타내는 SIMS 데이터를 제공한다.
반도체 디바이스 제조는 통상적으로 통합된 제조 공정에서 하나 이상의 박막들을 비평면형 기판 상에 증착하는 바를 포함한다. 이러한 통합된 제조 공정의 몇몇 양태들에서, 기판 토포그래피 (topography) 에 컨포멀한 (conformal) 박막들을 증착하는 것이 유용할 수 있다. 예를 들어서, 실리콘 질화물 막은 약하게 도핑된 소스 영역 및 드레인 영역을 후속하는 이온 주입 공정들로부터 보호하기 위한 스페이서 층 역할을 하도록 종형 (elevated) 게이트 스택의 상단에 증착될 수 있다.
스페이서 층 증착 공정들에서, CVD 공정들이 비평면형 기판 상에 실리콘 질화물 막을 형성하는데 사용될 수 있으며, 이 실리콘 질화물 막은 이어서 스페이서 구조물을 형성하도록 이방성으로 에칭된다. 그러나, 게이트 스택들 간의 거리가 작아짐에 따라서, CVD 가스상 (gas phase) 반응물들의 대량 이동 제약으로 인해서 "빵 모양을 형성하는 증착 효과" (bread-loafing deposition effect)" 을 낳는다. 이러한 효과는 통상적으로 게이트 스택들의 상단 표면들에서는 보다 두꺼운 증착을 보이고 게이트 스택들의 바닥 코너들에서는 보다 얇은 증착을 보인다. 나아가, 몇몇 다이들은 서로 다른 디바이스 밀도 영역들을 가질 수 있기 때문에, 웨이퍼 표면에 걸친 대량 이동 효과는 다이 내 막 두께 편차 및 웨이퍼 내 막 두께 편차를 낳는다. 이러한 두께 편차들은 몇몇 영역에서는 에칭 과잉 (over-etching) 을 발생하고 다른 영역들에서는 에칭 부족 (under-etching) 이 발생하게 된다. 이로써, 디바이스 성능이 열화되고/되거나 다이 수율이 열화된다.
이러한 문제들을 다루는 몇몇 방식들은 ALD (atomic layer deposition) 을 포함한다. 막들을 증착하기 위해서 열적으로 활성화된 가스상 반응물들이 사용되는 CVD 공정에 비해서, ALD 공정은 층 단위로 막을 증착하기 위해서 표면-매개된 (surface-mediated) 증착 반응을 사용한다. 일 예시적인 ALD 공정에서, 표면 활성 자리들 분포 (population) 를 포함하는 기판 표면이 제 1 막 프리커서 (precursor) (P1) 의 가스상 분포에 노출된다. P1의 몇몇 분자들이 P1의 화학 흡착된 종들 및 물리 흡착된 분자들을 포함하는, 기판 표면 상의 응축상 (condensed phase) 을 형성할 수 있다. 이어서, 오직 화학 흡착된 종들만이 남고 물리 흡착된 P1 및 가스상은 제거되도록 반응기가 배출된다. 이어서, 제 2 막 프리커서 P2 중 몇몇 분자들이 기판 표면으로 흡착되도록 제 2 막 프리커서 P2가 반응기에 도입된다. 다시 한번 반응기가 배출되고 이번에는 결합되지 않은 P2가 제거된다. 이후에, 기판에 열적 에너지가 제공되어서 흡착된 분자들 P1과 P2 간의 표면 반응을 활성화시켜서 막 층을 형성한다. 최종적으로, 반응기가 배출되고 반응 부산물 및 가능하게는 미반응 P1 및 P2가 제거되고 ALD 사이클이 종료된다. 막 두께를 구축하도록 추가적인 ALD 사이클들이 포함될 수 있다.
프리커서 도즈 (dosing) 단계들의 노출 시간 및 프리커서들의 점착 계수 (sticking coefficient) 에 따라서, 각 ALD 사이클은 일 실례에서 0.5 내지 3 옹스트롬 두께의 막 층을 증착할 수 있다. 따라서, ALD 공정들은 몇 나노미터 두께보다 두꺼운 막들을 증착할 때에는 시간이 많이 들 수 있다. 나아가, 몇몇 프리커서들이 컨포멀 막을 증착하기 위해서 긴 노출 시간들을 가질 수 있으며, 이로써 웨이퍼 쓰루풋 시간을 감소시킬 수 있다.
컨포멀 막은 또한 평면형 기판 상에 증착될 수 있다. 예를 들어서, 리소그래픽 패터닝에서 이용되는 반사 방지 층들은 막 타입이 교번하는 평면형 스택으로부터 형성될 수 있다. 이러한 반사 방지 층들은 대략 100 내지 1000 옹스트롬 두께일 수 있으며, 따라서 이에 대해서는 ALD 공정이 CVD 공정보다 매력적이지 못하다. 그러나, 이러한 반사 방지 층들은 또한 다수의 CVD 공정들이 제공할 수 있는 웨이퍼 내 두께 편차 허용치보다 낮은 웨이퍼 내 두께 편차 허용치를 가질 수 있다. 예를 들어서, 600 옹스트롬 두께의 반사 방지 층은 3 옹스트롬보다 작은 두께 범위를 허용할 수 있다.
따라서, 비평면형 기판 및 평면형 기판 상에 플라즈마 활성화된 컨포멀 막 증착 (CFD) 을 하기 위한 공정 및 장비를 제공하는 다양한 실시예들이 본 명세서에서 제공된다. 이러한 실시예들은 모든 CFD 공정들이 아니라 일부 CFD 공정들에서 사용되는 다양한 특징들을 포함한다. 이러한 특징들 중에는, (1) 반응 챔버로부터 하나 또는 두 반응물을 "제거 (sweep)" 하는데 필요한 시간을 제거 또는 줄이는 특징, (2) 일 반응물을 반응 챔버 내로 단속적으로 (intermittenly) 유입시키면서 다른 적어도 하나의 반응물을 연속적으로 흐르게 하는 특징, (3) 모든 반응물이 반응 챔버로부터 제거될 때보다는 반응물 중 하나가 가스상으로 존재하는 때에 플라즈마를 점화하는 특징, (4) 막 특성들을 개질하도록 증착된 CFD 막들을 플라즈마를 사용하여서 처리하는 특징, (5) 통상적으로 동일한 반응 챔버에서 CFD에 의해서 막의 제 1 부분을 증착한 후에 PECVD에 의해서 막의 일부를 증착하는 특징, (6) CFD 스테이지들 간에 부분적으로 증착된 막을 에칭하는 특징 및 (7) 도펀트 전달 사이클을 오직 막 증착 사이클들 간에 배치함으로써 CFD 막을 도핑하는 특징이 있다. 물론, 이 특징들은 한정적인 목록이 아니다. 다양한 다른 CFD 특징들이 본 명세서의 나머지 부분을 참조하면 명백해질 것이다.
CFD "사이클"의 개념은 본 명세서의 다양한 실시예들에 대한 논의와 연관된다. 일반적으로, 사이클은 단 회의 표면 증착 반응을 수행하는데 요구되는 최소 동작 세트이다. 일 사이클의 결과는 기판 표면 상에 적어도 부분적 막 층의 제조이다. 통상적으로, CFD 사이클은 각 반응물을 기판 표면으로 전달하고 흡착시키고 이어서 이 흡착된 반응물들을 반응시켜서 부분적 막 층을 형성하는데 필요한 단계들만을 포함할 것이다. 물론, 이 사이클은 반응물들 또는 부산물들 중 하나를 제거하고/하거나 증착된 부분적 막을 처리하는 것과 같은 부수적인 단계들을 포함할 수 있다. 일반적으로, 사이클은 동작들의 고유한 시퀀스의 오직 하나의 인스턴스 (instance) 만을 포함할 수 있다. 예시적으로, 사이클은 (i) 반응물 A를 전달/흡착하는 동작, (ii) 반응물 B를 전달/흡착하는 동작, (iii) 반응 챔버로부터 반응물 B를 제거하는 동작 및 (iv) A와 B의 표면 반응을 일으키도록 플라즈마를 인가하여서 표면 상에 부분적 막 층을 형성하는 동작과 같은 동작들을 포함할 수 있다.
상기 7 개의 상술한 특징들이 이제 더 설명될 것이다. 다음의 설명에서, 2 개 이상의 반응물들이 플라즈마와의 상호 작용에 의해서 기판 표면으로 흡착되고 이어서 표면 상에 막을 형성하도록 반응하는 CFD 반응을 고려하라.
특징 1 : 반응물의 연속적인 흐름
반응물 A가 통상적인 ALD에서는 정상적으로는 흐르지 않을 때인 CFD 사이클의 하나 이상의 부분들 동안에도 반응 챔버 내로 계속하여서 흐른다. 통상적인 ALD에서, 반응물 A는 자신이 기판 표면으로 흡착되기 위해서만 흐른다. ALD의 다른 페이즈 (phase) 에서는, 반응물 A는 흐르지 않는다. 그러나, 본 명세서에서 기술되는 소정의 CFD 실시예들에 따라서, 반응물 A는 자신의 흡착과 관련된 페이즈 뿐만 아니라 반응물 A의 흡착 동작이 아닌 동작을 수행하는 CFD 사이클의 페이즈 동안에도 흐른다. 예를 들어서, 다수의 실시예들에서, 반응물 A는 이 장치가 제 2 반응물 (본 실례에서는 반응물 B) 을 도징하는 동안에도 반응기 내로 흐른다. 따라서, CFD 사이클의 적어도 일부분 동안에, 반응물 A 및 B가 가스상으로 공존한다. 나아가, 기판 표면에서의 반응을 일으키도록 플라즈마가 인가되는 때에도 반응물 A는 흐를 수 있다. 연속적으로 흐르는 반응물은 예를 들어서 아르곤과 같은 캐리어 가스와 함께 반응 챔버 내로 전달될 수 있다.
이러한 연속 흐름 실시예의 일 장점은 확립된 흐름이 흐름을 개시 및 중지하는 것과 관련된 흐름의 과도적 초기화 및 안정화에 의해서 유발되는 지연들 및 흐름 변동들을 억제하는 것이다.
일 특정 실례로서, 주 반응물 (때로 "고체 성분" 프리커서 또는 본 실례에서는 간단히 "반응물 B") 을 사용하여서 컨포멀 막 증착 공정을 수행하여서 산화물 막이 증착될 수 있다. 이러한 주 반응물 중 하나는 비스(테르트-부틸아미노)실란 (Bis(tert-butylamino)silane (BTBAS)) 이다. 본 실례에서, 산화물 증착 공정은 산소 또는 아산화질소와 같은 산화제 (oxidant) 를 전달하는 바를 포함하며, 이 산화제는 개별 노출 페이즈들 내에서 주 반응물을 전달하는 동안에 처음 그리고 계속하여서 흐른다. 이 산화제는 또한 개별 플라즈마 노출 페이즈들 동안에도 계속하여서 흐른다. 예를 들어서 도 1에 도시된 시퀀스를 참조하라. 비교를 위해서, 통상적인 ALD 공정에서는, 고체 성분 프리커서가 반응기에 전달되는 때에는 산화제의 흐름이 중지될 것이다. 예를 들어서, 반응물 B가 전달되면 반응물 A의 흐름은 중지될 것이다.
몇몇 특정 실례들에서, 연속적으로 흐르는 반응물은 "보조" 반응물이다. 본 명세서에서 사용되는 용어 "보조" 반응물은 주 반응물이 아닌 임의의 반응물이다. 상술한 바와 같이, 주 반응물은 상온에서 고체이며 CFD에 의해서 형성된 막에 기여하는 요소를 포함한다. 이러한 요소들의 실례는 금속 (예를 들어서, 알루미늄 및 티탄), 반도체들 (예를 들어서, 실리콘 및 게르마늄), 및 비금속 또는 준금속 (예를 들어서, 붕소) 이다. 보조 반응물의 실례들은 산소, 오존, 수소, 일산화탄소, 아산화질소, 암모니아, 알킬 아민 등을 포함한다.
연속적으로 흐르는 반응물은 일정한 플로우 레이트 또는 가변되지만 제어된 플로우 레이트로 제공될 수 있다. 후자의 경우에, 실례로서, 보조 반응물의 플로우 레이트는 주 반응물이 전달될 때인 노출 페이즈 동안에 떨어질 수 있다. 예를 들어서, 산화물 증착 시에, 산화제 (예를 들어서, 산소 또는 아산화질소) 는 전체 증착 시퀀스 동안에 연속적으로 흐르지만, 그의 플로우 레이트는 주 반응물 (예를 들어서, BTBAS) 가 전달되는 때에는 떨어질 수 있다. 이는 자신을 도징하는 동안에 BTBAS의 분압을 증가시켜서 기판 표면을 포화시키는데 필요한 노출 시간을 단축시킨다. 플라즈마를 점화하기 바로 이전에는, 산화제 흐름은 증가하여서 플라즈마 노출 페이즈 동안에 BTBAS가 존재하는 확률을 저감시킨다. 몇몇 실시예들에서, 연속적으로 흐르는 반응물은 2 개 이상의 증착 사이클 동안에 걸쳐서 가변 플로우 레이트로 흐른다. 예를 들어서, 반응물은 제 1 CFD 사이클 동안에는 제 1 플로우 레이트로 흐르고 반응물은 제 2 CFD 사이클 동안에는 제 2 플로우 레이트로 흐를 수 있다.
다수의 반응물이 사용되고 이들 중 하나의 흐름이 연속적이면, 이들 중 적어도 2 개는 CFD 사이클의 일부 동안에 가스상으로 공존할 것이다. 마찬가지로, 제 1 반응물 전달 이후에 어떠한 퍼지 (purging) 동작도 수행되지 않으면, 2 개의 반응물들이 공존할 것이다. 따라서, 활성화 에너지가 인가되지 않을 시에 가스상에서 서로 눈에 띄게 반응하지 않는 반응물들을 채용하는 것이 중요할 수 있다. 통상적으로, 반응물들은 기판 표면 상에 존재하고 플라즈마 또는 다른 적합한 비열적 활성화 조건에 노출될 때까지 반응하지 않아야 한다. 이러한 반응물들을 선정하는 바는 적어도 (1) 목표 반응의 열역학적 우세성 (favorability) (깁스의 자유 에너지 < 0) 및 (2) 목표 증착 온도에서 무시할만한 반응이 존재하도록 충분히 커야하는 반응을 위한 활성화 에너지를 고려하는 바를 포함한다.
특징 2: 제거 (sweep) 단계 단축 또는 생략
특정 실시예들에서, 이 공정은 통상적인 ALD에서는 정상적으로는 수행될 제거 단계 (sweep step) 를 생략하거나 이와 관련된 시간을 단축한다. 통상적인 ALD에서, 별도의 제거 단계는 각 반응물이 전달되고 기판 표면 상에 흡착된 후에 수행된다. 통상적인 ALD 제거 단계에서는 매우 소량의 흡착 또는 반응이 발생하거나 전혀 발생하지 않는다. CFD 사이클에서, 제거 단계는 반응물들 중 적어도 하나가 전달된 후에 생략되거나 단축된다. 제거 단계가 생략된 공정 시퀀스의 실례가 도 1에서 제공된다. 반응물 A을 반응 챔버로부터 제거하는 어떠한 제거 단계도 수행되지 않는다. 몇몇 경우에, CFD 사이클에서 제 1 반응물의 전달 후에는 어떠한 제거 단계도 수행되지 않지만, 제 2 또는 최종 전달된 반응물의 전달 이후에 제거 단계가 선택적으로 수행된다.
CFD "제거" 단계 또는 페이즈 개념은 본 명세서의 다양한 실시예들을 논하면서 나타난다. 일반적으로, 제거 페이즈는 기상 반응물 중 하나를 반응 챔버로부터 제거 또는 퍼지 (purging) 하며 이러한 반응물의 전달이 완료된 후에만 통상적으로 발생한다. 달리 말하면, 이러한 반응물은 제거 페이즈 동안에는 반응 챔버로 더 이상 전달되지 않는다. 그러나, 제거 페이즈 동안에 반응물들이 기판 표면 상에 흡착된 상태로 유지된다. 통상적으로, 제거 단계는 반응물이 목표 레벨까지 기판 표면 상에 흡착된 후에 챔버 내에서 임의의 잔여 기상 반응물을 제거하는 역할을 한다. 또한, 제거 페이즈는 기판 표면으로부터 약하게 흡착된 종들 (예를 들어서, 소정의 프리커서 리간드 또는 반응 부산물) 을 제거할 수 있다. ALD에서, 제거 페이즈는 2 개의 반응물들 간의 가스상 상호 작용 또는 일 반응물과 열적 플라즈마 또는 표면 반응을 위한 다른 구동력과의 상호 작용을 방지하는데 필요한 것으로 간주되었다. 일반적으로, 본 명세서에서 달리 특정되지 않는다면, 제거 페이즈는 (i) 반응 챔버를 배기시킴으로써 그리고/또는 (ii) 반응 챔버를 통해서 제거될 종들을 포함하지 않는 가스를 흐르게 함으로써 이루어질 수 있다. (ii) 경우에, 그러한 가스는 예를 들어서 연속적으로 흐르는 보조 반응물과 같은 보조 반응물 또는 불활성 가스일 수 있다.
제거 페이즈의 생략은 다른 반응물의 연속적인 흐름과 함께 또는 없이 이루어질 수 있다. 도 1에 도시된 실시예에서, 반응물 A는 (도면에서 참조 부호 (130) 로 표시된 바와 같이) 기판 표면으로의 흡착이 완료된 후에 제거되기보다는 계속 흐른다.
2 개 이상의 반응물이 사용되는 다양한 실시예들에서, 자신에 대한 제거 단계가 단축 또는 생략된 반응물은 보조 반응물이다. 예시적으로, 보조 반응물은 산화제 또는 질소 소스이며 주 반응물은 실리콘, 붕소 또는 게르마늄 함유 프리커서이다. 물론, 주 반응물 제거도 역시 생략 또는 단축될 수 있다. 몇몇 실례들에서, 보조 반응물의 전달 후에 어떠한 제거 단계도 수행되지 않지만, 주 반응물이 전달된 후에는 제거 단계가 선택적으로 수행된다.
상술한 바와 같이, 제거 페이즈가 완전히 생략될 필요는 없지만 통상적인 ALD 공정에서의 제거 페이즈들에 비해서 그 기간이 단축될 수 있다. 예를 들어서, CFD 사이클 동안에 보조 반응물과 같은 반응물의 제거 페이즈는 약 0.2 초 이하 동안에 예를 들어 약 0.001 내지 0.1 초 동안에 수행될 수 있다.
특징 3: 반응물들 중 하나가 가스상으로 존재하는 때에 플라즈마를 점화
이 특징에서는, 모든 반응물들이 반응 챔버로부터 제거되기 이전에 플라즈마가 점화된다. 이는 플라즈마 활성화 또는 다른 반응 구동 동작이 오직 가스상 반응물들이 더 이상에 플라즈마 챔버 내에 존재하지 않게 된 후에만 제공되는 통상적인 ALD와는 다르다. 이러한 특징은 반응물 A가 도 1에 도시된 바와 같이 CFD 사이클의 플라즈마 부분 동안에 계속하여서 흐를 때에는 필연적으로 발생한다. 그러나, 개시된 실시예들은 이러한 방식으로만 한정되는 것은 아니다. CFD 사이클의 플라즈마 페이즈 동안에 하나 이상의 반응물들이 흐를 수 있지만 CFD 사이클에 걸쳐서 계속하여서 흐를 필요는 없다. 또한, 플라즈마 활성화 동안에 기상으로 존재하는 반응물은 (2 개 이상의 반응물들이 CFD 사이클에서 사용되면) 보조 반응물 또는 주 반응물일 수 있다.
예를 들어서, 시퀀스는 (i) 반응물 A를 도입하고 (ii) 반응물 A를 퍼지하고 (iii) 반응물 B를 도입하고 반응물 B가 흐르는 동안에 플라즈마를 스트라이킹하고 (iv) 퍼지하는 것일 수 있다. 이러한 실시예들에서, 본 공정은 가스상으로부터 플라즈마 활성화된 반응물 종들을 사용한다. 이는 CFD가 후속 단계들의 시퀀스로 제약되지 않는 일반적인 실례이다.
고체 성분 프리커서 (주 반응물) 가 반응기에 공급되는 시간 동안에 활성화 플라즈마가 공급되면, 스텝 커버리지 (step coverage) 는 덜 컨포멀하지만 증착 레이트는 통상적으로 증가할 것이다. 그러나, 보조 반응물의 전달 동안에만 플라즈마 활성화가 발생하면, 이는 반드시 그러한 것만은 아니다. 플라즈마가 기상 보조 성분을 활성화시켜서 이 성분이 보다 반응성을 갖게 되어서 컨포멀 막 증착 반응 시의 그 반응성이 증가하게 된다. 특정 실시예들에서, 이러한 특징은 산화물, 질화물 또는 카바이드와 같은 실리콘 함유 막을 증착할 때에 사용된다.
특징 4: 증착된 CFD 막들의 플라즈마 처리
이러한 실시예들에서, 플라즈마는 컨포멀 막 증착 공정에서 2 가지 이상의 역할을 할 수 있다. 이러한 역할들 중 하나는 각 CFD 사이클 동안에 막 형성 반응을 활성화 또는 구동시키는 것이다. 다른 역할은 하나 이상의 CFD 사이클들을 따라서 CFD 막이 완전하게 또는 부분적으로 증착된 후에 막을 처리하는 것이다. 플라즈마 처리는 하나 이상의 막 특성들을 개질하도록 의도된다. 통상적으로, 반드시 그러하지는 않지만, 플라즈마 처리 페이즈는 막 형성 반응을 활성화시키기 위해서 (즉, 막 형성 반응을 구동시키기 위해서) 사용되는 조건들과 상이한 조건들 하에서 수행된다. 예시적으로, 플라즈마 처리는 환원 또는 산화 분위기의 존재 하에서 (예를 들어서, 산소 또는 수소의 존재 하에서) 수행될 수 있지만, 이는 CFD 사이클의 활성화 부분 동안에는 필요하지 않는다.
플라즈마 처리 동작은 CFD 공정의 매 사이클마다, 두 사이클마다 또는 이보다 더 낮은 빈도로 해서 수행될 수 있다. 플라즈마 처리는 규칙적인 간격으로 수행되거나 고정된 수의 CFD 사이클로 한정되거나 (예를 들어서 가변적인 CFD 사이클 간격으로) 가변적으로 수행되거나 랜덤하게 수행될 수 있다. 통상적인 실례에서, 막 증착이 몇 CFD 사이클에 걸쳐서 수행되어 적합한 막 두께를 형성하고 이어서 플라즈마 처리가 수행된다. 이후에, 플라즈마 처리가 다시 수행되기 이전에 막 증착이 플라즈마 처리 없이 다수의 CFD 사이클에 걸쳐서 다시 수행된다. 이러한 x 회수의 CFD 사이클 및 그 이후의 플라즈마 처리 (막 개질) 의 초대형 시퀀스 (super-sequence) 은 막이 CFD에 의해서 완전하게 형성될 때까지 반복될 수 있다.
특정 실시예들에서, 플라즈마 처리는 CFD 막이 증착된 표면의 하나 이상의 특성을 개질하도록 CFD 사이클 개시 이전에 수행될 수 있다. 다양한 실시예들에서, 표면은 (도핑된 또는 도핑되지 않은) 실리콘 또는 실리콘 함유 재료로 구성될 수 있다. 개질된 표면은 후속하여 증착된 CFD 막과의 고품질의 계면을 보다 양호하게 생성할 수 있다. 이러한 계면은 예를 들어서 디펙트 감소 등을 통해서 예를 들어서 양호한 부착 특성 및 신뢰할만한 전기적 특성을 제공할 수 있다.
CFD 이전의 기판 사전 처리는 임의의 특정 플라즈마 처리로 한정되지 않는다. 특정 실시예에서, 사전 처리는 수소 플라즈마, 질소 플라즈마, 질소/수소 플라즈마, 암모니아 플라즈마, 아르곤 플라즈마, 헬륨 플라즈마로의 노출, 헬륨 어닐링, 수소 어닐링, 암모니아 어닐링, 및 헬륨, 수소, 아르곤, 질소, 수소/질소 형성 가스 및/또는 암모니아의 존재 하에서 UV 경화를 포함한다. 플라즈마 프로세싱은 다음으로 한정되지 않지만 마이크로웨이브 플라즈마 생성기, ICP 원격 플라즈마 생성기, 직접형 플라즈마 생성기 및 본 기술 분야의 당업자에게 알려진 다른 플라즈마 생성기를 포함하는 다양한 플라즈마 생성기를 사용하여서 실현될 수 있다.
전반적으로, 플라즈마 처리는 CFD 사이클 이전, 중 및 이후에 발생할 수 있다. 플라즈마 처리가 CFD 사이클 이전에 발생하면, 그 빈도는 적합한 증착 조건들에 대해서 선정될 수 있다. 통상적으로, 플라즈마 처리는 사이클당 1 회보다 많은 빈도로 발생하지 않을 것이다.
실례로서, 어느 정도의 탄소를 갖는 프리커서들로부터 실리콘 질화물을 형성하기 위한 공정을 고려해보자. 이러한 프리커서의 실례는 BTBAS를 포함한다. 프리커서 내에 존재하는 탄소로 인해서, 증착된 질화물 막은 어느 정도의 탄소 불순물을 가지며, 이는 질화물의 전기적 특성을 열화시킨다. 이러한 문제를 해소하기 위해서, 탄소 함유 프리커서를 사용하는 수 CFD 사이클 후에, 이 부분적으로 증착된 막은 플라즈마의 존재 하에서 수소에 노출되고 이로써 탄소 불순물이 저감되고 궁극적으로는 제거된다.
막 표면 개질을 위해서 사용되는 플라즈마 조건들은 막 특성 및/또는 조성에서 목표 변화를 달성하도록 선택될 수 있다. 소망하는 개질을 위해 선택 및/또는 맞추어질 수 있는 플라즈마 조건들 중에는, 산화 조건, 환원 조건, 에칭 조건, 플라즈마를 생성하는데 사용되는 전력, 플라즈마를 생성하는데 사용되는 주파수, 플라즈마를 생성하기 위해서 2 개 이상의 주파수를 사용하는 바, 플라즈마 밀도, 플라즈마와 기판 간의 거리 등이 있을 수 있다. 플라즈마 처리에 의해서 개질될 수 있는 CFD 막 특성들의 실례는 내부 막 응력, 에칭 내성, 밀도, 경도, 광학적 특성 (굴절율, 반사도, 광학적 밀도 등), 유전체 상수, 탄소 함량, 전기적 특성 (Vfb 스프레드 등) 등을 포함한다.
몇몇 실시예들에서, 플라즈마 처리 이외의 처리가 증착된 막의 특성을 개질하도록 사용된다. 이러한 처리들은 전자기 방사선 처리, 열적 처리 (예를 들어서, 어닐링 또는 고온 펄스) 등을 포함한다. 이러한 처리들 중 임의의 것은 단독으로 수행되거나 플라즈마 처리를 포함하여 다른 처리들과 조합되어서 수행될 수 있다. 임의의 이러한 처리들은 상술한 플라즈마 처리들 중 임의의 처리를 대체하여서 사용될 수 있다. 특정 실시예에서, 이러한 처리는 막을 자외선에 노출시키는 바를 포함한다. 이하에서 기술될 바와 같이, 특정 실시예에서, 이 방법은 인-시츄 방식으로 (즉, 막 형성 중에) 또는 산화물 증착 이후에 자외선을 산화물 CFD 막에 인가하는 바를 포함한다. 이러한 처리는 디펙트 구조물을 저감 또는 제거하여서 개선된 전기적 성능을 제공할 수 있다.
소정의 특정 실시예들에서, 자외선 처리는 플라즈마 처리와 결합될 수 있다. 이러한 2 개의 처리 동작들은 동시에 또는 순차적으로 수행될 수 있다. 순차적인 경우에, 자외선 처리가 선택적으로 먼저 수행된다. 동시적인 경우에, 2 개의 처리는 개별 소스들 (예를 들어서, 플라즈마 처리를 위해서는 RF 전력 소스이며 자외선 처리를 위해서는 램프임) 로부터 제공되거나 부산물로서 자외선을 생성하는 헬륨 플라즈마와 같은 단일 소스로부터 제공될 수 있다.
특징 5: CFD 증착 및 이후의 PECVD로의 천이
이러한 실시예들에서, 완성된 막의 일부는 CFD에 의해서 생성되고 나머지 일부는 PECVD와 같은 CVD에 의해서 형성된다. 통상적으로, CFD 증착 공정이 먼저 수행되고 이어서 PECVD 공정이 수행되지만 반드시 그러할 필요는 없다. 조합된 CFD/CVD 공정들은 CVD가 단독으로 수행될 때에 비해서 스텝 커버리지를 개선시키며 또한 CFD가 단독으로 수행될 때에 비해서 증착 레이트를 부가적으로 개선시킬 수 있다. 몇몇 경우에, 기생 CVD 동작들을 생성하여서 보다 높은 증착 레이트, 상이한 부류의 막을 달성하기 위해서 일 CFD 반응물이 흐르고 있는 동안에 플라즈마 또는 다른 활성화 에너지가 인가된다.
특정 실시예들에서, 2 개 이상의 CFD 페이즈들이 사용되고/되거나 2 개 이상의 CVD 페이즈들이 사용될 수 있다. 예를 들어서, 막의 초기 부분은 CFD에 의해서 증착되고 이어서 막의 중간 부분이 CVD에 의해서 증착되고 이어서 막의 마지막 부분은 CFD에 의해서 증착될 수 있다. 이러한 실시예들에서, CFD에 의해서 막의 이후 부분을 증착하기 이전에 예를 들어서 플라즈마 처리 또는 에칭 처리에 의해서 막의 CVD 부분을 개질하는 것이 바람직할 수 있다.
천이 페이즈가 CFD 페이즈와 CVD 페이즈 간에 채용될 수 있다. 이러한 천이 페이즈 동안 사용되는 조건들은 CFD 페이즈 및/또는 CVD 페이즈에서 사용되는 조건들과는 상이하다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 천이 조건들은 동시적 CFD 표면 반응 및 CVD 타입 가스상 반응을 허용한다. 천이 페이즈는 통상적으로 예를 들어서 펄스형일 수 있는 플라즈마로의 노출을 포함한다. 또한, 천이 페이즈는 해당 공정의 대응하는 CFD 페이즈에서 사용되는 플로우 레이트보다 매우 낮은 레이트인 저 플로우 레이트로 하나 이상의 반응물을 전달하는 바를 포함한다.
특징 6: CFD에 의한 증착, 에칭 및 이후의 CFD에 의한 추가 증착
이러한 실시예들에서, CFD 증착이 하나 이상의 사이클 (통상적으로는, 다수의 사이클) 에 걸쳐서 수행되고, 이어서 이렇게 생성된 막이 에칭 제거되는데 예를 들어서 리세스 입구 (커스프:cusp) 에서 또는 근처에서 어느 정도의 과잉 막이 제거되고, 이어서 추가 CFD 증착 사이클들이 수행된다. 증착된 막 내의 구조적 피처들의 다른 실례들은 유사한 방식으로 에칭될 수 있다. 이러한 공정을 위해서 선정된 에천트는 에칭될 재료에 의존할 것이다. 몇몇 경우에, 에칭 동작은 불소 함유 에천트 (예를 들어서, NF3) 또는 수소를 사용하여서 수행될 수 있다.
특정 실시예들에서, 원격 플라즈마가 에천트를 생성하는데 사용된다. 일반적으로, 원격 플라즈마는 직접형 플라즈마보다 등방성으로 에칭할 수 있다. 원격 플라즈마는 일반적으로 기판에 상대적으로 높은 비율의 라디칼을 제공한다. 이러한 라디칼의 반응성은 리세스 내의 수직 위치에 따라서 변할 수 있다. 피처의 상단에서, 라디칼들은 보다 농도가 높으며 이로써 보다 높은 레이트로 해서 에칭될 것이며 반면에 리세스의 보다 아래 및 하단에서는 어느 정도의 라디칼들은 손실되며 따라서 낮은 레이트로 에칭할 것이다. 물론, 이는 리세스 개구에서 발생하는 매우 다량의 증착 문제를 다루기 위해 바람직한 반응 프로파일이다. 에칭 시에 원격 플라즈마를 사용하는 추가 이점은 플라즈마가 상대적으로 온화하며 (gentle) 이로써 기판 층에 손상을 주는 경향이 약하다는 것이다. 이는 특히 그 아래에 존재하는 기판 층이 산화 또는 다른 손상에 민감할 때에 유리하다.
특징 7: 추가 반응물을 사용하여서 막 조성을 맞춤함
본 명세서에서 제공된 다수의 실례들은 하나 또는 2 개의 반응물들을 사용하는 CFD 공정들과 관련된다. 또한, 다수의 실례들은 매 CFD 사이클에서 동일한 반응물을 사용한다. 그러나, 반드시 그러할 필요는 없다. 먼저, 다수의 CFD 공정들은 3 개 이상의 반응물들을 사용할 수 있다. 실례들은 (i) 디보란 (diborane), 텅스텐 헥사플루오라이드 및 수소를 반응물로서 사용하여서 텅스텐을 CFD하는 바, 및 (ii) 반응물들로서 디보란, BTBAS, 및 산소를 사용하여서 실리콘 산화물을 CFD하는 바를 포함한다. 디보란은 성장하는 막으로부터 제거되거나 적절하다면 이 막 내에 포함될 수 있다.
또한, 몇몇 실례들은 오직 몇몇 CFD 사이클들에서 추가적인 반응물들을 사용할 수 있다. 이러한 실례들에서, 기본적인 CFD 공정 사이클은 기본 막 조성 (예를 들어서, 실리콘 산화물 또는 실리콘 카바이드) 을 생성하는 반응물만을 사용한다. 이러한 기본 공정은 모든 CFD 사이클들 또는 거의 모든 CFD 사이클들에서 수행된다. 그러나, CFD 사이클들 중 몇몇은 변형 사이클들로서 실행되며 이들은 정상적인 증착 사이클의 조건들로부터 벗어난다. 예를 들어서, 이 변형 사이클들은 하나 이상의 추가적인 반응물들을 사용할 수 있다. 이러한 변형 사이클들은 또한 기본 CFD 공정에서 사용되는 바와 동일한 반응물들을 사용할 수도 있지만, 반드시 그러한 것은 아니다.
이러한 CFD 공정들은 도핑된 산화물들 또는 다른 도핑된 재료들을 CFD 막들로서 준비할 시에 특히 유리하다. 몇몇 구현예들에서, 도펀트 프리커서들은 오직 CFD 사이클들의 작은 부분에서만 "추가적인" 반응물로서 포함된다. 도펀트를 추가하는 빈도는 목표 도펀트 농도에 의해서 지정된다. 예를 들어서, 도펀트 프리커서는 기본 재료 증착의 매 10번째 사이클마다 포함될 수 있다.
다수의 다른 증착 공정들과 달리, 특히 열적 활성화를 요구하는 공정들과는 달리, CFD 공정들은 상대적으로 낮은 온도에서 수행될 수 있다. 일반적으로, CFD 온도는 약 20 내지 400 ℃일 것이다. 이러한 온도는 포토레지스트 코어 상의 증착과 같이 온도에 민감한 공정 상황에서의 증착을 가능하게 하도록 선택될 수 있다. 특정 실시예에서, 약 20 내지 100 ℃의 온도가 (예를 들어서 포토레지스트 코어들을 사용하는) 이중 패터닝 이용 시에 사용된다. 다른 실시예에서, 약 200 내지 350 ℃의 온도가 메모리 제조 공정 시에 사용된다.
상술한 바와 같이, CFD는 진보된 기술 노드들에서 막들을 증착하는데 매우 적합하다. 따라서, 예를 들어서, CFD 공정은 32 nm 노드, 22 nm 노드, 16 nm 노드, 11 nm 노드의 공정들 그리고 이러한 노드들 중 임의의 것을 뛰어넘은 공정에 통합될 수 있다. 이러한 노드들은 수년에 걸친 ITRS (International Technology Roadmap for Semiconductors) 의 마이크로전자적 기술 요구 사항들에 대한 산업적 합의에 기술되어 있다. 일반적으로, 이는 메모리 셀의 0.5 피치를 기준으로 한다. 특정 실례에서, CFD 공정은 "2X" 디바이스들 (20 내지 29 nm 범위의 디바이스 피처들 (device features) 을 가짐) 및 이를 뛰어넘은 디바이스들에 대해서 적용된다.
본 명세서에서 제공된 CFD 막들의 대부분의 실례들은 실리콘 기반 마이크로전자적 디바이스들과 관련되지만, 이 막들은 또한 다른 분야에서도 적용될 수 있다. GaAs 및 다른 Ⅲ-Ⅴ 족 반도체들 및 HgCdTe와 같은 Ⅱ-Ⅵ 족 반도체들과 같은 비실리콘 반도체들을 사용하는 마이크로전자적 또는 광전자적 디바이스들은 본 명세서에서 기술된 CFD 공정들을 사용함으로써 이점을 얻을 수 있다. 광전 디바이스들과 같은 태양광 에너지 분야, 전기변색 분야 및 다른 분야에서도 역시 컨포멀 유전체 막들이 사용될 수 있다.
도 1은 플라즈마 활성화된 CFD 공정의 예시적인 실시예의 타이밍 도면 (100) 을 개략적으로 도시한다. 2 개의 완전 CFD 사이클들이 도시된다. 도시된 바와 같이, 각각은 반응물 A로의 노출 페이즈 (120), 바로 이후의 반응물 B로의 노출 페이즈 (140), 반응물 B 제거 페이즈 (160), 및 마지막으로 플라즈마 활성화 페이즈 (180) 을 포함한다. 플라즈마 활성화 페이즈들 (180A,180B) 동안 제공된 플라즈마 에너지는 표면 흡착된 반응물 종들 A 및 B 간의 반응을 활성화시킨다. 도시된 실시예들에서, 일 반응물 (반응물 A) 이 전달된 후에 이에 대한 제거 페이즈가 수행되지 않는다. 실제로, 이 반응물은 막 증착 공정 동안에 계속하여서 흐른다. 따라서, 반응물 A가 가스상 (gas phase) 에 있을 때에 플라즈마가 점화된다. 상기 특징 1 내지 특징 3은 도 1의 실례에서 실시된다.
도시된 실시예에서, 반응물들 A 및 B는 서로 반응하지 않고 가스상으로 공존할 수 있다. 따라서, ALD 공정에서 기술되는 공정 단계들 중 하나 이상은 이 예시적인 CFD 공정에서 단축 또는 제거될 수 있다. 예를 들어서, 반응물 A 노출 페이즈들 (120A,120B) 후의 제거 단계들은 생략될 수 있다.
CFD 공정은 다수의 상이한 타입의 막들 중 임의의 것을 증착하는데 사용될 수 있다. 본 명세서에서 제공된 대부분의 실례들은 유전체 재료와 관련되지만, 본 개시된 CFD 공정들은 도전체 재료 막 및 반도체 재료 막 형성에도 사용될 수 있다. 질화물 및 산화물이 특징적인 유전체 재료들이지만 카바이드, 옥시질화물, 탄소 도핑된 산화물, 붕화물 (boride) 등도 역시 형성될 수 있다. 산화물은 USG (undoped silicate glass), 도핑된 실리케이트 유리를 포함하는 다양한 범위의 재들을 포함한다. 도핑된 유리들의 실례들은 BSG (boron doped silicate glass), PSG (phosphorus doped silicate glass) 및 BPSG (boron phosphorus doped silicate glass) 을 포함한다.
몇몇 실시예들에서, 실리콘 질화물 막은 실리콘 함유 반응물과 질소 함유 반응물 및/또는 질소 함유 반응물 혼합물 중 하나 이상의 반응에 의해서 형성될 수 있다. 실리콘 함유 반응물들의 실례는 다음으로 한정되지 않지만 비스(테르티아리부틸아미노)실란 (bis(tertiarybutylamino)silane) (SiH2(NHC(CH3)3)2 또는 BTBAS), 디클로로실란 (dichlorosilane) (SiH2Cl2) 및 클로로실란 (chlorosilane) (SiH3Cl) 을 포함한다. 예시적인 질소 함유 반응물들은 다음으로 한정되지 않지만 암모니아, 질소, 및 테르트-부틸 아민 (tert-butyl amine) ((CH3)3CNH2 또는 t-butyl amine) 을 포함한다. 예시적인 질소 함유 반응물 혼합물은 다음으로 한정되지 않지만 질소와 수소의 혼합물을 포함한다.
하나 이상의 반응물들의 선택은 다양한 막 및/또는 하드웨어 고려사항들에 의해서 이루어질 수 있다. 예를 들면, 몇몇 실시예들에서, 실리콘 질화물 막은 디클로로실란과 플라즈마 활성화된 질소의 반응으로부터 형성될 수 있다. 디클로로실란의 실리콘 질화물 표면으로의 화학적 흡착은 실리콘-수소 종단 (terminated) 표면을 생성하고 염화 수소 (HCl) 는 결합이 해제된다 (liberated). 이러한 화학적 흡착 반응의 실례가 반응 1에 개략적으로 도시된다.
반응 1:
Figure pat00001
이 반응 1에서 나타난 주기적 중간물 (cyclic intermediate) 은 이어서 플라즈마 활성화된 질소와의 반응을 통해서 실리콘 아민 종단된 표면으로 변환될 수 있다.
그러나, 디클로로실란의 몇몇 분자들은 다른 메카니즘들에 의해서 화학적 흡착할 수 있다. 예를 들면, 표면 모폴러지 (surface morphology) 는 반응 1에서 도시된 주기적 중간물의 형성을 방해할 수 있다. 다른 화학 흡착 메카니즘의 실례는 반응 2에서 개략적으로 도시된다.
반응 2
Figure pat00002
질소의 후속 플라즈마 활성화 동안에, 반응 2에 나타난 중간 종들 중 잔여 염소 원자가 결합이 해제되어 (liberated) 플라즈마에 의해서 활성화될 수 있다. 이는 실리콘 질화물 표면 에칭을 유발하며 잠재적으로 실리콘 질화물 막이 거칠게 되거나 헤이지 (hazy) 되게 한다. 또한, 잔여 염소 원자는 물리적 및/또는 화학적으로 재흡착되어서 잠재적으로 증착된 막을 오염시킨다. 이러한 오염은 실리콘 질화물 막의 물리적 및/또는 전기적 특성을 변화시킨다. 더 나아가, 활성화된 염소 원자는 공정 스테이션 하드웨어의 부분들에 에칭 손상을 입혀서 잠재적으로 공정 스테이션의 부분들의 서비스 수명을 단축시킨다.
따라서, 몇몇 실시예들에서, 클로로실란이 디클로로실란을 대체할 수 있다. 이는 막 오염, 막 손상 및/또는 공정 스테이션 손상을 저감시킨다. 클로로실란의 화학적 흡착의 실례는 반응 3에 개략적으로 나타난다.
반응 3
Figure pat00003
반응 3에 도시된 실례는 실리콘 함유 반응물로서 클로로실란을 사용하지만, 임의의 적합한 모노-치환된 할로실란 (mono-substituted halosilane) 이 사용될 수 있음이 이해될 것이다.
상술한 바와 같이, 도시된 중간의 구조물들은 질소 소스와 반응하여서 실리콘 질화물의 실리콘 아민 종단된 표면을 형성한다. 예를 들면, 암모니아가 플라즈마에 의해서 활성화되어서 다양한 암모니아 라디칼 종들을 형성한다. 이 라디칼 종들은 중간의 구조물들과 반응하여서 실리콘 아민 종단 표면을 형성한다.
그러나, 암모니아는 반응물 전달 라인들, 공정 스테이션, 및 배기 플럼빙 (plumbing) 의 표면들에 강하게 물리적으로 흡착되어서 퍼지 (purge) 및 배기 시간을 연장시킬 수 있다. 또한, 암모니아는 몇몇 가스상 실리콘 함유 반응물들과 고 반응성을 가질 수 있다. 예를 들면, 디클로로실란 (SiH2Cl2) 과 암모니아의 가스상 혼합물은 디아미노실란 (diaminosilane) (SiH2(NH2)2) 과 같은 불안정한 종들을 생성할 수 있다. 이러한 종들은 가스상에서 분해되어서 작은 입자들을 핵화시킨다 (nucleate). 암모니아가 할로실란의 화학 흡착 동안에 생성된 염화 수소와 반응하면, 작은 입자들이 더 형성될 수 있다. 이러한 입자들은 공정 스테이션 내에서 축적되는데, 기판 표면을 오염시킴으로써 잠재적으로 포함되는 디바이스 디펙트 (device defect) 로 되게 되며 또한 공정 스테이션 하드웨어를 오염시켜서 잠재적으로 툴이 정지되게 하고 세정될 필요를 낳는다. 작은 입자들은 또한 배기 플럼빙 내에 축적되어서 펌프 및 블로워 (blower) 를 막히게 하고 특별한 주위 배기 스크러버 (scrubber) 및/또는 콜드 트랩 (cold trap) 이 필요하게 한다.
따라서, 몇몇 실시예들에서, 치환된 아민이 질소 함유 반응물로서 사용될 수 있다. 예를 들면, t-부틸 아민과 같은 알킬 치환된 아민의 플라즈마 활성화로부터 형성된 다양한 라디칼들이 공정 스테이션에 공급될 수 있다. t-부틸 아민과 같은 알킬 치환된 아민은 암모니아보다 공정 하드웨어에 대한 낮은 점착 계수를 가지며 이로써 비교적 낮은 물리적 흡착 레이트 및 비교적 낮은 공정 퍼지 (purge) 시간을 낳는다.
또한, 이러한 질소 함유 반응물들은 암모늄 클로라이드 (ammonium chloride) 보다 비교적으로 더 휘발성을 갖는 할로겐화된 염들 (halogenated salt) 을 형성할 수 있다. 예를 들면, t-부틸암모늄 클로라이드 (t-butylammonium chloride) 는 암모늄 클로라이드보다 휘발성이 높을 수 있다. 이는 툴 정지 시간, 디바이스 디펙트 생성 및 주변 열화 비용 (environmental abatement expense) 을 줄일 수 있다.
더 나아가, 이러한 질소 함유 반응물들은 다양한 부산물 반응들을 통해서 다른 아민 프리커서들을 형성할 수 있다. 예를 들면, t-부틸 아민의 디클로로실란과의 반응은 BTBAS를 형성할 수 있다. 따라서, 부산물들은 실리콘 질화물을 형성하는 다른 경로를 제공하며 이로써 잠재적으로 막 수율을 개선시킨다. 다른 실례에서, 치환된 아민은 실리콘 질화물 막을 형성하기 위한 저온 열적 활성화된 경로들을 제공할 수 있다. 예를 들면, t-부틸 아민은 300 ℃보다 높은 온도에서 열적으로 분해하여서 이소부틸렌 및 암모니아를 형성한다.
위에서 제공된 예시된 실례는 t-부틸 아민을 사용하여서 실리콘 질화물 막 형성을 기술하였지만, 임의의 적합한 치환된 아민이 본 개시의 범위 내에서 사용될 수 있음이 이해될 것이다. 몇몇 실시예들에서, 적합한 치환된 아민은 반응물의 열역학적 특성 및/또는 반응 특성에 기초하여서 선택될 수 있다. 예를 들면, 적절한 온도에서 다양한 열적 분해 경로들의 존재 및 선택성이 고려될 수 있는 바와 같이, 반응물로부터 형성된 할로겐화된 염들의 상대적 휘발성이 고려될 수 있다.
또한, 위에서 제공된 실례들은 실리콘 질화물 막 증착을 기술하였지만, 상술된 원리들은 일반적으로 다른 막 증착에도 적용될 수 있음이 이해될 것이다. 예를 들면, 몇몇 실시예들은 실리콘 산화물을 증착하기 위해서 적합한 할로실란을 산소 플라즈마와 같은 적합한 산소 함유 반응물 종들과 함께 사용할 수 있다.
반응물들, 생성 막들 및 막 및 공정 특성 범위에 대한 비한정적 목록이 표 1에서 제공된다.
표 1

반응물
A
반응물
B
반응물
C
온도 (C) 압력
(torr)
굴절율
(ref.index)
SiO2 BTBAS O2 - 50-400 1-4 1.45-1.47
SiN SiH3Cl O2 - 50-400 1-4
SiO2 SiH (N(CH3)2)3 O2 - 50-400 1-4 1.45-1.47
SiN BTBAS NH3 - 50-400 1-4 1.80-2.05
SiN BTBAS - N2/H2 50-400 1-4 1.80-2.05
SiN BTBAS NH3 N2/H2 50-400 1-4 1.80-2.05
SiN SiH3Cl NH3 선택적으로 N2/H2 50-400 1-4
SiN SiH3Cl t-부틸
아민
선택적으로 N2/H2
SiN SiH2Cl2 NH3 선택적으로 N2/H2 50-400 1-4 1.80-2.05
SiN SiH2Cl2 t-부틸
아민
선택적으로 N2/H2
SiN SiH(CH3)-(N(CH3)2)2 NH3 선택적으로 N2/H2 50-400 1-4 1.80-2.05
SiN SiH(CH3)( Cl2) NH3 선택적으로 N2/H2 50-400 1-4 1.80-2.05
SiN SiHCl-(N(CH3)2)2 NH3 선택적으로 N2/H2 50-400 1-4 1.80-2.05
SiN (Si(CH3)2NH)3 NH3 선택적으로 N2/H2 50-400 1-4 1.80-2.05
도 1은 다양한 CFD 공정 파라미터들에 대한 예시적인 CFD 공정 페이즈들의 경시적 실시예를 나타내고 있다. 도 1은 2 개의 예시적인 증착 사이클들 (110A,110B) 을 도시하고 있지만, 임의의 적합한 개수의 증착 사이클들이 목표 막 두께를 증착하기 위해서 CFD 공정 내에 포함될 수 있음은 이해될 것이다. 예시적인 CFD 공정 파라미터들은 다음으로 한정되지 않지만 불활성 종들 및 반응물 종들의 플로우 레이트, 플라즈마 전력 및 주파수, 기판 온도 및 공정 스테이션 압력을 포함한다. BTBAS 및 산소를 사용하는 예시적인 실리콘 이산화물 증착 사이클에 대한 비한정적 파라미터 범위들이 표 2에 제공된다.
표 2
페이즈 반응물 A 노출 페이즈 반응물 B 노출 페이즈 제거 페이즈 플라즈마 활성화 페이즈
시간 (sec) 계속됨 0.25-10 0.25-10 0.25-10
BTBAS (sccm) n/a 0.5-5.0 0 0
O2
(slm)
1-20 1-20 1-20 1-20
Ar (slm) 1-20 1-20 1-20 1-20
압력 (torr) 1-4 1-4 1-4 1-4
온도 (C) 50-400 50-400 50-400 50-400
HF 전력 (W) 0 0 0 50-2500
LF 전력 (W) 0 0 0 0-2500
CFD 사이클은 통상적으로 각 반응물에 대한 노출 페이즈를 포함한다. 이러한 "노출 페이즈" 동안에, 반응물은 이 반응물이 기판 표면으로 흡착되게 하는 공정 챔버 내로 전달된다. 통상적으로, 노출 페이즈의 개시 시에, 기판 표면은 인식할 수 있는 정도의 양의 반응물이 흡착되지 않는다. 도 1에서, 반응물 A 노출 페이즈 (120A, 120B) 에서, 반응물 A는 공정 스테이션에 제어된 플로우 레이트로 공급되며 이로써 기판의 노출된 표면을 포화시킨다. 반응물 A는 주 반응물 또는 보조 반응물과 같은 임의의 적합한 증착 반응물일 수 있다. CFD가 실리콘 이산화물 막을 형성하는 일 실례에서, 반응물 A는 산소일 수 있다. 도 1에 도시된 실시예에서, 반응물 A는 증착 사이클 (110A,110B) 전체에 걸쳐서 계속하여서 흐른다. 막 프리커서 노출들이 가스상 반응을 방지하도록 분리되는 통상적인 ALD 공정과는 달리, 반응물 A과 반응물 B는 CFD 공정의 몇몇 실시예들의 가스상에서 혼합하도록 허용된다. 상술한 바와 같이, 몇몇 실시예들에서, 반응물 A와 반응물 B는 표면 반응 활성화 또는 플라즈마 에너지 인가 이전에 반응기 내에서 보이는 조건 하에서 서로 인식할 수 있는 정도로 (appreciably) 반응하지 않으면서 가스상에서 공존하도록 선택된다. 몇몇 경우들에, (1) 반응물들 간의 반응이 열역학적으로 우세하고 (thermodynamically favorable) (즉, 깁스의 자유 에너지 < 0), (2) 목표 증착 온도에서 무시할만한 반응이 존재하도록 반응이 충분하게 높은 활성화 에너지를 갖도록 반응물들이 선택된다. 이러한 기준들을 만족시키는 다양한 반응물 조합들은 본 개시에서 다른 개소들에서 특정된다. 다수의 이러한 조합들은 상온에서 고체인 요소를 제공하는 주 반응물 및 그렇지 않은 보조 반응물을 포함한다. 몇몇 조합들에서 사용되는 보조 반응물의 실례는 산소, 질소, 알킬 아민들 및 수소를 포함한다.
공정 스테이션에 반응물 A를 계속하여서 공급하면, 반응물 A가 개시되고 (turn-on) 이어서 안정화되고 이어서 기판에 노출되고 이어서 중지되고 (turn-off) 마지막으로 반응기로부터 제거되는 ALD 공정에 비해서, 반응물 A 플로우 레이트 개시 및 안정화 시간이 단축 또는 제거될 수 있다. 도 1에 도시된 실시예는 반응물 A 노출 페이즈들 (120A, 120B) 이 일정한 플로우 레이트를 갖는 것으로 예시하였지만, 본 개시의 범위 내에서 가변 플로우 레이트를 포함하여서 적합한 반응물 A 플로우가 사용될 수 있다. 또한, 도 1에서는 반응물 A가 전체 CFD 사이클 (증착 사이클 (110A)) 동안에 일정한 플로우 레이트를 갖는 것으로 예시되었지만, 반드시 그러할 필요는 없다. 예를 들면, 반응물 A의 플로우 레이트는 반응물 B 노출 페이즈들 (140A, 140B) 동안에 감소할 수 있다. 이는 반응물 B의 분압을 증가시키며 이로써 기판 표면 상으로의 반응물 B의 흡착을 구동하는 힘을 증가시킨다.
몇몇 실시예들에서, 반응물 A 노출 페이즈 (120A) 는 반응물 A의 기판 표면 포화 기간을 초과하는 기간을 가질 수 있다. 예를 들면, 도 1의 실시예는 반응물 A 노출 페이즈 (120A) 내에 반응물 A 포화 후 노출 기간 (130) 을 포함한다. 선택적으로, 반응물 A 노출 페이즈 (120A) 는 불활성 가스의 제어된 플로우 레이트를 포함한다. 예시적인 불활성 가스는 다음으로 한정되지 않지만 질소, 아르곤, 및 헬륨을 포함한다. 불활성 가스는 공정 스테이션의 압력 및/또는 온도 제어, 액체 프리커서의 기화, 프리커서 및/또는 공정 스테이션 및/또는 공정 스테이션 플럼빙으로부터 공정 가스를 제거하기 위한 제거 가스 (sweep gas) 의 보다 신속한 전달을 보조하도록 제공될 수 있다.
도 1에 도시된 실시예의 반응물 B 노출 페이즈 (140A) 에서, 반응물 B은 공정 스테이션에 제어된 플로우 레이트로 공급되며 이로써 기판의 노출된 표면을 포화시킨다. 일 예시적인 실리콘 이산화물 막에서, 반응물 B는 BTBAS일 수 있다. 도 1에 도시된 실시예는 반응물 B 노출 페이즈 (140A) 가 일정한 플로우 레이트를 갖는 것으로 예시하였지만, 본 개시의 범위 내에서 가변 플로우 레이트를 포함하여서 적합한 반응물 B 플로우가 사용될 수 있다. 또한, 반응물 B 노출 페이즈 (140A) 는 임의의 적합한 기간을 가질 수 있음이 이해될 것이다. 몇몇 실시예들에서, 반응물 B 노출 페이즈 (140A) 는 반응물 B의 기판 표면 포화 기간을 초과하는 기간을 가질 수 있다. 예를 들면, 도 1의 실시예는 반응물 B 노출 페이즈 (140A) 내에 반응물 B 포화 후 노출 기간 (150) 을 포함한다. 선택적으로, 반응물 B 노출 페이즈 (140A) 는 상술한 바와 같은 적합한 불활성 가스의 제어된 플로우 레이트를 포함하며, 이는 공정 스테이션의 압력 및/또는 온도 제어, 액체 프리커서의 기화, 프리커서의 보다 신속한 전달을 보조하며 공정 스테이션 가스들의 역 확산 (back diffusion) 을 억제할 수 있다. 도 1에 도시된 실시예에서, 불활성 가스는 반응물 B 노출 페이즈 (140A) 전체 동안에 공정 스테이션으로 계속하여 흐른다.
몇몇 실시예들에서, 증착 반응들의 플라즈마 활성화는 열적으로 활성화된 반응들에서보다 낮은 증착 온도를 가능하게 하며 이로써 통합된 공정의 가용 열적 비용 소비를 절감시킨다. 예를 들면, 몇몇 실시예들에서, 플라즈마 활성화된 CFD 공정은 상온에서 발생할 수 있다.
도 1에 도시된 CFD 공정 실시예는 플라즈마 활성화되지만, 다른 비열적 에너지 소스들이 본 개시의 범위 내에서 사용될 수 있음은 이해될 것이다. 이러한 비열적 에너지 소스들의 비한정적 실례들은 다음으로 한정되지 않지만 자외선 램프, 하류 또는 원격 플라즈마 소스, 유도 결합형 플라즈마, 및 마이크로웨이브 표면파 플라즈마를 포함한다.
또한, 본 명세서에서 기술된 다수의 실례들은 2 개의 반응물들 (A 및 B) 을 포함하였지만, 임의의 적합한 개수의 반응물들이 본 개시의 범위 내에서 사용될 수 있음이 이해될 것이다. 몇몇 실시예들에서, 단일 반응물 및 이 반응물의 표면 분해 반응을 위해서 플라즈마 에너지를 공급하는데 사용되는 불활성 가스가 사용될 수 있다. 이와 달리, 특징 7의 상황에서 상술한 바와 같이, 몇몇 실시예들은 막을 증착하기 위해서 3 개 이상의 반응물들을 사용할 수 있다.
몇몇 시나리오에서, 표면 흡착된 B 종들은 기판 표면 상에서 불연속 아일랜드들 (islands) 로서 존재하며, 이로써 반응물 B의 표면 포화를 달성하기 어렵게 할 수 있다. 다양한 표면 상태들이 기판 표면 상에서의 반응물 B의 핵성성 및 포화를 지연시킬 수 있다. 예를 들면, 반응물 A 및/또는 B 흡착 시에 방출된 리간드들이 몇몇 표면 활성 자리들을 막아서 반응물 B의 후속 흡착을 방해한다. 따라서, 몇몇 실시예들에서, 반응물 B 노출 페이즈 (140A) 동안에 반응물 B의 공정 스테이션 내로의 플로우를 조절하고/하거나 반응물 B를 이산적으로 (discretely) 펄싱함으로써 반응물 B의 연속적인 애드레이어들 (continuous adlayers) 이 제공될 수 있다. 이는 일정한 플로우 시나리오에 비해서 반응물 B를 보존하면서 (conserving) 표면 흡착 공정 및 탈착 공정에 대한 추가 시간을 제공할 수 있다.
추가적으로 또는 이와 달리, 몇몇 실시예들에서, 반응물 B의 연속적인 노출들 간에 하나 이상의 제거 페이즈들이 포함될 수 있다. 예를 들면, 도 2의 실시예는 증착 사이클 (210) 동안의 예시적인 CFD 공정 타이밍 도면 (210) 을 개략적으로 도시한다. 반응물 B 노출 페이즈 (240A) 에서, 반응물 B은 기판 표면에 노출된다. 이어서, 제거 페이즈 (260A) 에서, 반응물 B가 중지되고 (turn-off), 반응물 B의 가스상 종들이 공정 스테이션으로부터 제거된다. 일 시나리오에서, 가스상 반응물 B는 반응물 B 및/또는 불활성 가스의 연속적인 흐름에 의해서 제거될 수 있다. 다른 시라니오에서, 가스상 반응물 B은 공정 스테이션을 배기함으로써 제거될 수 있다. 가스상 반응물 B 제거는 흡착된 B의 흡착/탈착 공정 평형을 시프트시켜서 흡착된 B의 불연속 아일랜드들이 합쳐지도록 흡착된 B의 리간드들을 탈착시키고 표면 재배열을 촉진시킬 수 있다. 반응물 B 노출 페이즈 (240B) 에서, 반응물 B는 다시 한번 기판 표면에 노출된다. 도 2에 도시된 실시예가 반응물 B 제거 및 노출 사이클의 일 인스턴스를 포함하지만, 교번하는 제거 및 노출 사이클들의 임의의 적합한 수의 반복이 본 개시의 범위 내에서 채용될 수 있음이 이해될 것이다.
도 1의 실시예로 돌아가면, 페이즈 (180A) 에서 플라즈마에 의한 활성화 이전에, 가스상 반응물 B는 몇몇 실시예들에서 제거 페이즈에서 공정 스테이션으로부터 제거될 수 있다. CFD 사이클은 상술한 노출 페이즈들에 부가하여서 하나 이상의 제거 (sweep) 페이즈들을 포함할 수 있다. 공정 스테이션 내를 청소 (sweep) 함으로써, 반응물 B가 플라즈마 활성화에 영향을 받게 되는 가스상 반응들이 방지된다. 또한, 공정 스테이션 내를 청소함으로써 표면 흡착된 리간드들을 제거할 수 있는데, 이 리간드들은 제거되지 않으면 막을 오염시킬 수 있다. 에시적인 제거 또는 청소 가스들은 다음으로 한정되지 않지만 아르곤, 헬륨 및 질소를 포함한다. 도 1에 도시된 실시예에서, 제거 페이즈 (160A) 에서의 제거 가스는 불활성 가스 스트림에 의해서 공급된다. 몇몇 실시예들에서, 제거 페이즈 (160A) 는 공정 스테이션을 배기하기 위한 하나 이상의 배기 하위페이즈들을 포함할 수 있다. 이와 달리, 제거 페이즈 (160A) 가 몇몇 실시예들에서 생략될 수 있음은 이해될 것이다.
제거 페이즈 (160A) 는 임의의 적합한 기간을 가질 수 있다. 몇몇 실시예들에서, 하나 이상의 제거 가스들의 플로우 레이트를 증가시키면 제거 페이즈 (160A) 의 기간이 감소될 수 있다. 예를 들면, 제거 가스 플로우 레이트는 제거 페이즈 (160A) 의 기간을 수정하기 위해서 다양한 반응물 열역학적 특성들 및/또는 공정 스테이션 및/또는 공정 스테이션 플럼빙의 기하학적 특성들에 따라서 조절될 수 있다. 일 비한정적 실례에서, 제거 페이즈의 기간은 제거 가스 플로우 레이트 조절에 의해서 최적화될 수 있다. 이는 증착 사이클 시간을 줄여서 기판 쓰루풋을 개선시킬 수 있다.
통상적으로 CFD 사이클은 상술한 바와 같은 노출 페이즈 및 선택적 제거 페이즈 이외에도 "활성화 페이즈"를 포함한다. 활성화 페이즈는 기판 표면 상에 흡착된 하나 이상의 반응물들의 반응을 활성화시키는 역할을 한다. 도 1에 도시된 실시예의 플라즈마 활성화 페이즈 (180A) 에서, 플라즈마 에너지는 표면 흡착된 반응물들 A와 B 간의 표면 반응을 활성화시키도록 제공될 수 있다. 예를 들면, 플라즈마는 반응물 A 라디칼들이 형성되도록 반응물 A의 가스상 분자들을 직접 또는 간접적으로 활성화시킬 수 있다. 이어서, 이 라디칼들은 표면 흡착된 반응물 B와 상호 반응하여서 막 형성 표면 반응들을 낳는다. 플라즈마 활성화 페이즈 (180A) 는 증착 사이클 (110A) 을 끝내며, 도 1의 실시예에서 이 증착 사이클 (110A) 에 이어서 증착 사이클 (110B) 이 발생하며 이 증착 사이클 (110B) 은 반응물 A 노출 페이즈 (120B) 에서 시작한다.
몇몇 실시예들에서, 플라즈마 활성화 페이즈 (180A) 에서 점화된 플라즈마는 기판 표면 상방에서 직접적으로 형성될 수 있다. 이는 플라즈마 밀도를 더 높게 하여서 반응물 A와 반응물 B 간의 표면 반응 레이트를 증가시킬 수 있다. 예를 들면, CFD 공정용 플라즈마는 2 개의 용량 결합된 플레이트들을 사용하여서 무선 주파수 전계를 저압 가스에 인가함으로써 생성될 수 있다. 다른 실시예에서, 원격 생성된 플라즈마가 주 반응 챔버 외부에서 생성될 수 있다.
임의의 적합한 가스가 플라즈마를 생성하는데 사용될 수 있다. 제 1 실례에서, 아르곤 또는 헬륨과 같은 불활성 가스가 플라즈마를 형성하는데 사용될 수 있다. 제 2 실례에서, 산소 또는 암모니아와 같은 반응물 가스가 플라즈마를 형성하는데 사용될 수 있다. 제 3 실례에서, 질소와 같은 제거 가스가 플라즈마를 형성하는데 사용될 수 있다. 물론, 이러한 카테고리의 가스들의 조합이 사용될 수도 있다. RF 전계에 의해서 플레이트들 간에서 가스를 이온화하면 플라즈마가 점화되며 이 플라즈마 방전 영역 내에서 자유 전자들이 생성된다. 이 자유 전자들은 RF 전계에 의해서 가속되어 가스상 반응물 분자들과 충돌한다. 이렇게 자유 전자들과 반응물 분자들이 충돌하면 증착 공정에 참여하는 라디칼 종들이 형성된다. RF 전계는 임의의 적합한 전극들을 통해서 결합될 수 있음이 이해될 것이다. 이러한 전극들의 비한정적 실례들은 공정 가스 분배 샤워헤드 및 기판 지지 페데스탈을 포함한다. CFD 공정용 플라즈마는 가스에 RF 전계를 용량 결합시키는 것 이외에도 하나 이상의 임의의 적합한 방법들에 의해서 형성될 수 있음이 이해될 것이다.
플라즈마 활성화 페이즈 (180A) 는 임의의 적합한 기간을 가질 수 있다. 몇몇 실시예들에서, 플라즈마 활성화 페이즈 (180A) 는 플라즈마 활성화된 라디칼들이 모든 노출된 기판 표면들 및 흡착물들과 상호 작용하는 기간을 초과하는 기간을 가질 수 있으며 이로써 기판 표면 상에서 연속적인 막이 형성될 수 있다. 예를 들면, 도 1에 도시된 실시예는 플라즈마 활성화 페이즈 (180A) 내에 포화 후 플라즈마 노출 기간 (190) 을 포함하고 있다.
아래에서 보다 완벽하게 설명될 바와 같이 그리고 상술된 특징 4에 대한 논의에서 전술한 바와 같이, 플라즈마 노출 시간을 연장하고/하거나 복수의 플라즈마 노출 페이즈들을 제공하면 증착된 막의 벌크 및/또는 표면 근접 부분들 (near-surface portions) 의 반응 후 처리가 제공될 수 있다. 일 시나리오에서, 플라즈마 처리에 의해서 표면 오염을 저감시켜서 반응물 A의 흡착을 위한 표면이 준비될 수 있다. 예를 들면, 실리콘 함유 반응물과 질소 함유 반응물의 반응으로부터 형성된 실리콘 질화물 막은 후속하는 반응물들의 흡착에 저항하는 표면을 가질 수 있다. 이 실리콘 질화물 막을 플라즈마로 처리하면 후속 흡착 및 반응 이벤트를 용이하게 하는 수소 결합들이 생성될 수 있다.
몇몇 실시예들에서, 막 응력, 유전체 상수, 굴절율, 에칭 레이트와 같은 막 특성들이 이하에서 보다 상세하게 논의될 플라즈마 파라미터들을 변화시킴으로써 조절될 수 있다. 표 3은 400 ℃에서 증착된 3 개의 예시적인 CFD 실리콘 이산화물 막들에 대한 다양한 막 특성들의 예시적인 목록을 제공한다. 참고를 위해서, 표 3은 400 ℃에서 증착된 예시적인 PECVD 실리콘 이산화물 막에 대한 막 정보를 더 포함한다.
표 3
SiO2
공정
증착 레이트 (옹스트롬/사이클) NU
((최대분)/
평균)
NU
(1 시그마)
굴절율 막 응력
(MPa)
유전체
상수
습식
에칭
레이트 비
1 sec.
200W
O2 플라즈마
(오직 HF)
0.9 5% 2% 1.456 -165 6.6 7.87
10 sec. 1000W
O2 플라즈마
(오직 HF)
0.6 5% 2% 1.466 -138 3.9 1.59
10 sec. 1000W
O2 플라즈마
(HF/LF)
0.6 12% 5% 1.472 -264 3.9 1.55
PECVD SiO2 600 3% 1% 1.477 -238 4.2 5.28
예를 들면, 도 3은 증착 페이즈 (310) 및 후속 플라즈마 처리 페이즈 (390) 를 포함하는 CFD 공정 타이밍 도면 (300) 을 개략적으로 도시한다. 임의의 적합한 플라즈마가 이 플라즈마 처리 페이즈 동안에 사용될 수 있음이 이해될 것이다. 제 1 시나리오에서, 제 1 플라즈마 가스가 증착 사이클 내의 활성화 동안에 사용되며, 제 2 의 다른 플라즈마 가스가 플라즈마 처리 페이즈 동안에 사용된다. 제 2 시나리오에서, 제 2 의 다른 플라즈마 가스가 플라즈마 처리 페이즈 동안에 제 1 플라즈마 가스를 보충할 수 있다. 인-시츄 플라즈마 처리 사이클 동안의 비한정적 파라미터 범위들이 표 4에 제공된다.
표 4
페이즈 플라즈마
처리
제거
페이즈
플라즈마
처리
활성화
페이즈
기간 (sec) 0.25-10.0 0.25-10.0
Ar (sccm) 1-20 1-20
압력 (torr) 1-4 1-4
온도 (C) 50-400 50-400
HF 전력 (W) 50-2500 50-2500
LF 전력 (W) 0-2500 0-2500
도 3에 도시된 플라즈마 활성화 페이즈 (380) 에서, 기판 표면은 막 증착 반응이 활성화되도록 플라즈마에 노출된다. 도 3에서 도시된 실시예에서 나타난 바와 같이, 플라즈마 처리 제거 페이즈 (390A) 에서, 공정 스테이션에는 예를 들어서 산소와 같은 보조 반응물일 수 있는 반응물 A 및 불활성 가스가 계속하여서 흐르게 된다. 공정 스테이션을 청소하면 공정 스테이션으로터 휘발성 오염물들을 제거할 수 있다. 제거 가스는 도 3에 도시되었지만, 임의의 적합한 반응물 제거 방법이 본 개시의 범위 내에서 사용될 수 있음이 이해될 것이다. 플라즈마 처리 활성화 페이즈 (390B) 에서, 새롭게 증착된 막의 벌크 및/또는 표면 근접 영역들을 처리하는데 플라즈마가 점화된다.
도 3의 실시예는 플라즈마 처리 페이즈를 포함하는 CFD 사이클의 일 인스턴스를 포함하지만, 임의의 적합한 수의 반복이 본 개시의 범위 내에서 사용될 수 있음이 이해될 것이다. 또한, 하나 이상의 플라즈마 처리 사이클들이 정상적인 증착 사이클들 간의 인터벌들에 (규칙적으로 또는 불규칙적으로) 삽입될 수 있음도 이해될 것이다. 예를 들면, 도 4는 2 개의 증착 사이클들 간에 삽입된 일 플라즈마 처리 페이즈를 포함하는 CFD 공정 타이밍 도면 (400) 의 실시예를 나타내고 있다. 도 4의 실시예는 2 개의 증착 사이클들 간에 삽입된 일 플라즈마 처리 사이클을 포함하지만, 임의의 적합한 개수의 증착 사이크들이 하나 이상의 플라즈마 처리 사이클들을 선행 또는 후행할 수 있음이 이해될 것이다. 예를 들면, 플라즈마 처리가 막 밀도를 변경하는데 사용되는 시나리오에서, 플라즈마 처리 사이클은 매 10 번째의 증착 사이클 후에 삽입될 수 있다. 흡착 및 반응 이벤트를 위한 표면을 준비하는데 플라즈마 처리가 사용되는 시나리오에서, 플라즈마 처리 페이즈는 매 CFD 사이클에서, 예를 들어서 각 CFD 증착 페이즈 후에 포함될 수 있다.
증착된 막에 대한 플라즈마 처리는 막의 하나 이상의 물리적 특성들을 변화시킬 수 있다. 일 시나리오에서 플라즈마 처리는 새롭게 증착된 막을 조밀하게 할 수 있다. 조밀화된 막들은 조밀화되지 않은 막들에 비해서 에칭에 대한 내성이 보가 클 수 있다. 예를 들어서, 도 5는 열적으로 성장한 실리콘 이산화물 막들에 대한 예시적인 CFD 처리된 실리콘 이산화물 막들의 에칭 레이트를 비교하는 그래프 (500) 의 실시예를 도시한다. 도 5의 예시적인 막 실시예들은 CFD 공정들 (502,504) 에 의해서 50 내지 400 ℃ 온도 범위에서 증착되었다. 참고를 위해서, PECVD 공정에 의해서 증착된 실리콘 이산화물 스페이서 증들 및 USG (undoped silicate glass) 에 대한 상대적인 에칭 레이트들이 도 5에 도시된다. 각 증착 사이클에서 1 초의 고주파수 산소 플라즈마 활성화 페이즈를 포함하는 공정에 의해서 생성된 막들 (502) 은 각 증착 사이클에서 10 초의 고주파수 산소 플라즈마 활성화 페이즈를 포함하는 공정에 의해서 생성된 막들 (504) 에 대해서 DHF (dilute hydrofluric acid) 습식 에칭 (100:1 H2O:HF) 에 대한 저항성이 대략 0.5 배이다. 따라서, 플라즈마 활성화 페이즈의 여러 양태들을 변화시키고/시키거나 하나 이상의 플라즈마 처리 사이클들을 포함시킴으로써 증착된 막의 에칭 레이트가 변할 수 있음이 이해될 것이다.
다른 시나리오에서, 막 플라즈마 처리는 막의 응력 특성을 변화시킬 수 있다. 예를 들면, 도 6은 예시적인 CFD 실리콘 이산화물 막들에 대한 습식 에칭 레이트 비와 막 응력 간의 상관 관계 (600) 의 실시예를 도시한다. 도 6에 도시된 실시예에서, 습식 에칭 레이트 비가 예를 들어서 플라즈마 노출 기간을 연장함으로써 감소하면 압축 막 응력은 증가할 수 있다.
다른 시나리오에서, 증착된 막 플라즈마 처리는 미량의 (trace) 막 오염물 (예를 들어서, 예시적인 실리콘 이산화물 막의 경우에 수소, 질소 및/또는 탄소임) 의 다른 막 성분들 (예를 들어서, 예시적인 실리콘 이산화물 막의 경우에 실리콘 및/또는 산소) 에 대한 과도적 차 제거 (transient differential removal) 를 제공할 수 있다. 예를 들면, 도 7은 증착 온도, 플라즈마 노출 기간, 및 막 오염 농도 간의 상관 관계 (700) 의 실시예를 도시한다. 도 7에 도시된 실시예에서, 50 ℃에서 증착되고 10 초의 산소 플라즈마 활성화 페이즈를 갖는 CFD 실리콘 이산화물 막 (704) 은 동일한 온도에서 증착되지만 1 초의 산소 플라즈마 활성화 페이즈를 갖는 CFD 실리콘 이산화물 막 (702) 보다 낮은 수소 및 탄소 농도를 보인다. 막 내의 오염물 농도를 수정하면 막의 전기적 및/또는 물리적 특성이 수정될 수 있다. 예를 들면, 탄소 및/또는 수소 농도를 조절함으로써 막의 유전체 상수 및/또는 막 에칭 레이트를 조절할 수 있다. 따라서, 플라즈마 활성화 페이즈의 여러 양태들을 변화시키고/시키거나 하나 이상의 플라즈마 처리 사이클들을 포함시킴으로써 막 조성을 변화시키는 방법을 제공할 수 있음이 이해될 것이다.
상술된 플라즈마 처리는 산소 플라즈마 처리에 관한 것이지만, 임의의 적합한 플라즈마 처리가 본 실시예의 범위를 일탈하지 않으면서 채용될 수 있음이 이해될 것이다. 예를 들면, 몇몇 실시예들에서, 치환된 아민이 NH3 대신에 적합한 CFD 공정에서 질소 함유 반응물로서 사용될 수 있다. 컨포멀 SiN 증착을 위해서 NH3을 치환된 아민 (예를 들어서, t-부틸 아민과 같은 알킬 아민) 으로 대체하는 바는 다수의 이점들을 제공하지만, 몇몇 경우에서, 증착된 막은 알킬 아민 반응물으로부터 유래하는 탄소 잔여물 (예를 들어서, 각각이 t-부틸 아민 분자 (NH2-(CH3)3) 을 포함하는 3 개의 메틸 그룹들로부터의 탄소 잔여물) 을 포함할 수 있다. 이러한 막 내의 탄소는 전기적 누설을 야기하여서 이 막이 몇몇 유전체 베리어 이용 시에서 적합하지 않게 할 수 있다.
따라서, 몇몇 실시예에서, SiN 막 증착 동안에 할로겐 플라즈마를 점화하여서 SiN 막 내의 탄소 잔여물을 감소시켜서 막의 절연 특성을 비교적으로 증가시킬 수 있다. 몇몇 실례들에서, 탄소 잔여물 감소는 FTIR 스펙트럼에서 용이하게 관측될 수 있다. 예를 들면, SiN:C-H 레벨들은 대략 10 % 원자 레벨에서 대략 1 % 원자 레벨로 감소될 수 있다.
따라서, 몇몇 실시예들에서, 실리콘 질화물 막은 질소 함유 반응물 내에 포함된 알킬 아민 또는 알킬 아민들의 혼합물 및 수소 플라즈마 처리의 하나 이상의 인스턴스를 사용하는 CFD 공정으로 증착될 수 있다. 임의의 적합한 수소 플라즈마가 본 개시의 범위 내에서 사용될 수 있음이 이해될 것이다. 따라서, 몇몇 실시예들에서, H2와 He 또는 Ar의 혼합물 또는 다른 수소 함유 가스들 또는 원격 플라즈마 소스에 의해서 생성된 활성 H 원자들이 상기 증착된 막을 처리하는데 사용될 수 있다. 또한, 몇몇 실시예들에서, 이 막의 탄소 농도는 처리 펄스의 수, 그 기간, 플라즈마 처리 강도, 기판 온도 및 처리 가스 조성 중 하나 이상을 변화시킴으로써 임의의 적합한 농도로 조절될 수 있다.
상술된 수소 플라즈마 처리는 실리콘 질화물 막에 대한 것이지만, 적합한 수소 플라즈마 처리가 다음으로 한정되지 않지만 SiOx, GeOx, 및 SiOxNy를 포함하는 다른 CFD 증착된 막들의 탄소 농도를 조절하기 위해서 사용될 수 있음이 이해될 것이다.
본 명세서에서 개시된 특정 실시예들은 산화물 CFD 막들의 자외선 처리 (플라즈마 처리와 함께이거나 그렇지 않을 수 있음) 에 관한 것이다. 이 자외선 처리는 산화물 내의 디펙트를 저감시켜서 게이트 유전체의 CV 특성들과 같은 전기적 특성을 개선한다. 이러한 처리로부터 이득을 취할 수 있는 CFD 산화물들을 사용하는 디바이스 및 패키지 응용은 관통 실리콘 비아, 게이트 산화물을 사용하는 로직 기술, STI (shallow trench isolation), STI-포토레지스트 탈피 (strip) 후에 형성된 얇은 열적 산화물, P 웰 주입 이전의 희생 산화물 (예를 들어서 ~ 60Å), "웰 (well)" 후 열적 산화물 성장, 게이트/채널 산화물, DRAM PMD PECVD 산화물을 포함한다.
몇몇 경우에, 비처리된 CFD 산화물 막들은 믿어지기로는 증착된 막 내에서의 고정된 전하로 인해서 상대적으로 빈약한 전기적 성능을 갖는다고 관측되었다. 예를 들면, 몇몇 막들은 상당한 웨이퍼 내 Vfb 편차들을 갖는다고 발견되었다. 이러한 문제들은 수소 존재 하에서 자외선 방사 및/또는 열적 어닐링을 사용하는 증착 후 처리를 통해서 해결될 수 있다. 이러한 공정은 (1) 산화물 대 실리콘 계면에서의 고정 전하, 또는 (2) 증착된 유전체 막 내에서의 고정 전하 또는 (3) 공기 대 산화물 계면에서의 고정 전하 (표면 전하) 와 연관된 디펙트들을 페시베이션 및/또는 저감시킨다고 믿어진다. 이러한 처리를 사용하여서, 그렇게 증착된 산화물에 대한 Vfb 편차가 UV 경화 후에 8.3 V에서 약 1.5 V로 좁혀졌다.
이러한 실시예들은 주로 산화물 막들을 개선시키는 것과 관련되지만, 개시된 방법은 일반적으로 유전체 성장, 금속 성장 또는 금속 대 유전체 계면 처리에 적용될 수 있다. 특정 유전체 재료는 예컨대 도핑된 실리콘 산화물을 포함하는 실리콘 산화물들, 실리콘 카바이드들, 실리콘 옥시카바이드들, 실리콘 질화물들, 실리콘 옥시질화물들 및 애시가능한 (ashable) 하드 마스크 재료들을 포함한다.
유전체 특성을 개선하는데 적용될 수 있는 처리들의 실례들은 다음을 포함한다:
(A) UV 경화 및 후속 수소 어닐링을 사용하여서 CFD에 의해서 합성된 유전체 막들을 증착후 처리함. 가장 간단한 실시예에서, UV 처리가 단독으로 고정 전하를 저감시키도록 사용될 수 있다.
(B) He, H2, Ar, N2, H2/N2-형성 가스, NH3의 존재 하에서 H2-플라즈마, N2-플라즈마, N2/H2-플라즈마, NH3-플라즈마, Ar-플라즈마, He-플라즈마, He 어닐링, H2-어닐링, NH3-어닐링, 및 UV 경화를 포함하는 처리들을 사용하여서 CFD 유전체 막 증착 이전에 기판의 사전 처리. 플라즈마 처리는 다음으로 한정되지 않지만 마이크로웨이브 플라즈마 생성기, ICP-원격 플라즈마 생성기 또는 직접형 플라즈마 생성기 등을 포함하는 다양한 플라즈마 생성기들을 사용하여서 실현될 수 있다.
(C) He, H2, Ar, N2, H2/N2-형성 가스, NH3의 존재 하에서 H2-플라즈마, N2-플라즈마, N2/H2-플라즈마, NH3-플라즈마, Ar-플라즈마, He-플라즈마, He 어닐링, H2-어닐링, NH3-어닐링, 및 UV 경화를 포함하는 처리들을 사용하는 동시적 처리 (증착 동안의 경화). 플라즈마 처리는 다음으로 한정되지 않지만 마이크로웨이브 플라즈마 생성기, ICP-원격 플라즈마 생성기 또는 직접형 플라즈마 생성기 또는 본 기술 분야의 당업자에게 알려진 다른 플라즈마 생성기 등을 포함하는 다양한 플라즈마 생성기들을 사용하여서 구현될 수 있다. 다음으로 한정되지 않지만 원격 플라즈마, UV 노출, 직접형 플라즈마, 및 마이크로 플라즈마 처리를 포함하는 등방성 처리 및 방향성 처리가 적용될 수 있다. 예시적인 방법은 CFD 사이클 그룹들 간에서의 막의 단속적 처리를 포함한다. 일 CFD 사이클 그룹은 약 1 내지 10000 사이클들에서 변할 수 있다. 통상적인 시나리오는 (1) 5 개의 사이클의 CFD 산화물 성장, (2) 이를 따르는 상술된 바와 같은 임의의 방법들을 사용하는 하나 이상의 막 처리들 및 (3) 이를 따르는 5 개의 사이클의 CFD 산화물 막 성장을 포함한다. 이 방법은 임의의 소망하는 두께의 막을 성장시키는데 사용될 수 있다.
(D) 위에서 열거된 임의의 플라즈마에 의해서 부수적으로 부여되는 UV 처리 (예컨대, 헬륨 플라즈마가 자외선을 방출한다).
CFD 사이클 동안의 인-시츄 "경화" 절차의 일 실례는 다음과 같은 동작들을 포함한다:
- 헬륨 플라즈마를 통한 UV 처리
- BTBAS 도즈 (dose)
- 퍼지 (purge)
- O2/Ar-RF 플라즈마 활성화
- 퍼지
- 목표 두께 막을 생성하도록 단계들을 1 회 내지 5 회 반복
UV 경화 조건들의 범위가 상기 열거된 상황들 중 임의의 상황에서 사용될 수 있다. 일반적으로, 페데스탈 온도는 경화 동안에 250 내지 500 ℃ 온도에서 유지될 것이다. 다수의 디바이스 제조 응용 시에, 온도 상한치는 450 또는 400 ℃일 것이다. 경화 동안 사용되는 분위기는 활성 또는 불활성일 수 있다. 경화 동안 존재할 수 있는 가스들의 실례는 헬륨, 아르곤, 질소, 형성 가스 및 암모니아를 포함할 수 있다. 이러한 가스들의 플로우 레이트는 약 2 내지 20000 sccm, 바람직하게는 약 4000 내지 18000 sccm이다. UV 램프의 전력은 예를 들면 약 2 내지 10 kW, 바람직하게는 약 3.5 내지 7 kW 일 수 있다. 이러한 소스로부터의 UV로의 노출의 적합한 기간은 약 20 초 내지 200 초 (예컨대, 약 90 초) 일 수 있다. 마지막으로, 압력은 약 0 torr 내지 약 40 torr 레벨에서 유지될 수 있다.
특정 실시예에서, CFD 산화물의 효과적인 처리는 다음의 조건들을 사용하여서 획득되었다:
페데스탈 온도 = 400 ℃
분위기 = 헬륨
압력 = 40 Torr 헬륨
플로우 레이트 = 10000 sccm
몇몇 실시예들에서, 산화물에 대한 열적 어닐링이 UV 경화 동작 후에 수행된다. 일 실례에서, 다음의 조건들이 열적 어닐링 시에 사용되었다:
페데스탈 온도 = 400 ℃
분위기 = 수소 + 질소
압력 = 2.5 torr
플로우 레이트 = 수소: 750 sccm; 질소: 3000 sccm
증착된 막의 물리적 특성 및 전기적 특성은 또한 증착 온도와 같은 다른 공정 파라미터를 조절함으로써 변화될 수 있다. 예를 들면, 도 7에 도시된 실시예의 상관 관계 (700) 는 CFD 막 증착 온도와 막 오염물 농도 간의 예시적인 관계를 도시한다. 막 증착 온도가 증가할수록, 막 오염물 농도는 감소한다. 다른 실례에서, 도 5에 도시된 실시예에서는 상술한 바와 같이, 증착 온도가 증가할수록 예시적인 실리콘 이산화물 CFD 막들의 습식 에칭 레이트 비가 감소한다. 막 특성을 조절하는데 사용될 수 있는 다른 증착 파라미터들은 RF 전력, RF 주파수, 압력 및 플로우 레이트를 포함한다. 또한, 몇몇 실시예들에서, 막 특성은 반응물을 선택함으로써 변화될 수 있다. 예를 들어서, 실리콘 이산화물 막의 수소 농도는 실리콘 함유 반응물로서 TICS (tetra isocyanate silane) 를 사용하고/하거나 산소 함유 반응물로서 아산화질소를 사용함으로써 감소될 수 있다.
상술한 바와 같은 물리적 막 특성 및/또는 전기적 막 특성은 디바이스 성능 및 수율을 조절할 수 있는 기회 및 디바이스 제조 공정 통합의 양상들을 수정하는 기회을 제공할 수 있음이 이해될 것이다. 일 비한정적 실례에서, CFD 실리콘 이산화물 막의 에칭 레이트 특성을 조절할 수 있는 능력은 이 막이 에칭 정지 용도, 하드 마스크 용도 및 다른 공정 통합 용도의 후보가 되게 할 수 있다. 따라서, CFD 생성된 막들의 다양한 실시예들은 본 명세서에서 통합된 반도체 디바이스 제조 공정에 걸쳐서 사용될 수 있다.
일 시나리오에서, CFD 공정은 비평면형 기판 상의 컨포멀 실리콘 이산화물 막을 증착할 수 있다. 예를 들면, CFD 실리콘 이산화물 막은 STI (shallow trench isolation) 구조물의 트렌치 충진과 같은 구조물의 갭 충진을 위해서 사용될 수 있다. 이하에서 기술되는 다양한 실시예들은 갭 충진 용도와 관련되지만, 이는 단지 비한정적이며 예시적인 용도일 뿐이며 다른 적합한 막 재료들을 사용하는 다른 적합한 용도들이 본 개시의 범위 내에 있음이 이해될 것이다. CFD 실리콘 이산화물 막들에 대한 다른 용도들은 다음으로 한정되지 않지만 층간 유전체 (ILD) 용도, 금속간 유전체 (IMD) 용도, 프리-메탈 유전체 (pre-metal dielectric : PMD) 용도, 관통 실리콘 비아 (TSV) 용 유전체 라이너 용도, ReRAM (resistive RAM) 용도, 및/또는 DRAM에서의 스택형 커패시터 제조 용도를 포함한다.
도핑된 실리콘 산화물은 붕소 도펀트, 인 도펀트 또는 비소 도펀트의 확산 소스로서 사용될 수 있다. 예를 들면, BSG, PSG, 또는 BPSG가 사용될 수 있다. 도핑된 CFD 층들은 예를 들면 다중 게이트 FinFET들 및 3차원 메모리 디바이스들과 같은 3차원 트랜지스터 구조물들에서 컨포멀 도핑을 제공하는데 사용될 수 있다. 통상적인 이온 주입기들은 특히 고 종횡비 구조물에서 측벽들을 용이하게 도핑할 수 없다. CFD 도핑된 산화물은 확산 소스로서 다양한 이점들을 구비한다. 먼저, 이들은 낮은 온도에서의 높은 컨포멀성 (conformality) 을 제공한다. 이와 비교하여서, 저압 CVD 생성된 도핑된 TEOS (tetraethylorthosilicate) 는 알려져 있지만 고온 증착을 요구하며 대기 압력보다 낮은 압력의 CVD 및 PECVD 도핑된 산화물 막들은 저온에서 가능하지만 부적합한 컨포멀성을 갖는다. 도핑 컨포멀성은 중요하지만 막 자체의 컨포멀성도 중요한데 그 이유는 이 막은 통상적으로 희생적인 용도를 가지며 이어서 제거될 필요가 있기 때문이다. 비컨포멀 막은 통상적으로 제거 시에 보다 많은 문제점을 갖는데, 즉 어느 정도의 면적들이 과잉 에칭될 수 있다. 또한, CFD는 극히 양호하게 제어되는 도핑 농도를 제공한다. 전술한 바와 같이, CFD 공정은 수 개의 도핑되지 않는 산화물 층 및 이후의 단일 도핑 층을 제공할 수 있다. 도핑 레벨은 도핑된 층이 증착되는 빈도 및 도핑 사이클의 조건들에 의해서 엄격하게 제어될 수 있다. 특정 실시예들에서, 도핑 사이클은 예를 들어서 상당한 입체 구조적 방해성을 갖는 도펀트 소스를 사용하여서 제어된다. 통상적인 실리콘 기반 미세 전자 디바이스들 이외에도, CFD 도핑의 다른 용도는 GaAs와 같은 Ⅲ-Ⅴ 족 반도체들, HgCdTe와 같은 Ⅱ-Ⅵ 족 반도체들과 같은 미세 전자 디바이스 및 광전자 디바이스들 및 플랫 패널 디스플레이 및 전기 변색 기술들을 포함한다.
몇몇 갭 충진 공정들은 증착 공정들 간에 진공 파괴 및 공기 노출을 요구하는, 상이한 증착 툴들 상에서 수행되는 2 개의 막 증착 단계들을 포함한다. 도 8은 복수의 갭들 (802) 을 포함하는 예시적인 비평면형 기판 (800) 을 개략적으로 도시한다. 도 8에 도시된 바와 같이, 갭들 (802) 은 각 갭 (802) 에 대해서 갭 깊이 (H) 의 갭 폭 (W) 에 대한 비로서 규정될 수 있는 가변 종횡비들을 가질 수 있다. 예를 들면, 집적된 반도체 디바이스의 로직 구역은 상이한 로직 디바이스 구조물들에 대응하는 가변 갭 종횡비들을 가질 수 있다.
도 8에 도시된 바와 같이, 비평면형 기판 (800) 은 컨포멀 박막 (804) 에 의해서 피복된다. 컨포멀 막 (804) 이 완전하게 충진된 갭들 (802A) 을 갖는 반면에, 갭들 (802B,802C) 은 개방 상태로 유지된다. 갭들 (802B,802C) 을 컨포멀 막을 사용하여서 폐쇄하고자 하면 공정 시간이 연장될 수 있다. 따라서, 몇몇 방식들에서는, 두꺼운 막이 CVD 및/또는 PECVD 방법과 같은 보다 높은 증착 레이트 공정들을 사용하여서 엑스-시츄 (ex-situ) 증착될 수 있다. 그러나, 갭 충진 막들의 엑스-시츄 증착은 생산 라인에서 웨이퍼 쓰루풋을 저하시킬 수 있다. 예를 들면, 증착 툴들 간의 기판 핸들링 및 전달 시간이 생산 기간 동안에 기판 처리 활동들의 수를 줄일 수 있다. 이는 생산 라인 쓰루풋을 저하시키며 생산 라인에 추가적인 공정 툴들을 설치 및 유지 관리하는 것을 필요로 할 수 있다.
또한, 갭 (802C) 은 가스상 (gas phase) 증착 공정들에 적합한 종횡비를 가질 수 있는 한편, 갭 (802B) 은 보다 높은 증착 레이트 공정에 의한 불완전한 충진을 이어져서 열쇠 구멍 형상 보이드를 형성할 수 있는 종횡비를 가질 수 있다. 예를 들면, 도 10은 기판 (1002) 내에 형성된 예시적인 고종횡비 구조물 (1000) 을 보인다. 도 10에 도시된 바와 같이, 두꺼운 막 (1006) 을 증착하는 동안에 빵 모양 형성 효과 (bread loafing effects) 는 열쇠 구멍 형상 보이드 (1008) 를 생성한다. 이 열쇠 구멍 형상 보이드는 후속하는 공정들에서 재개방되어서 도전성 막들로 충진될 수 있는데, 이는 디바이스 단락 현상을 일으킨다.
갭 (802B) 과 같은 고종횡비 갭들을 다루는 몇몇 방식은 이러한 갭들의 생성을 억제하는 디바이스 설계 룰들을 제공하는 바를 포함한다. 그러나, 이러한 디바이스 설계 룰들은 추가적인 마스킹 단계들을 요구하며 디바이스 설계를 어렵게 하며/하거나 집적된 반도체 디바이스 면적을 증가시키며 이는 제조 비용을 증가시킬 수 있다. 따라서, 몇몇 실시예들에서, CFD 공정은 CFD 공정에서 CFD 공정 또는 PECVD 공정으로의 인-시츄 천이를 포함할 수 있다. 예를 들면, 도 9는 3 개의 페이즈들로 분할된 CFD 공정 타이밍 도면 (900) 의 실시예를 도시한다. CFD 공정 페이즈 (902) 는 예시적인 CFD 공정 사이클을 도시한다. 명료성을 위해서, 도 9에 도시된 실시예에서는 단일 CFD 공정 사이클이 도시되었지만, 임의의 적합한 수의 CFD 공정 사이클들 및 플라즈마 처리 사이클들이 CFD 공정 페이즈 (902) 에 포함될 수 있음이 이해될 것이다. 천이 페이즈 (904) 가 CFD 공정 페이즈 (902) 를 따른다. 도 9의 실시예에 도시된 바와 같이, 천이 페이즈 (904) 는 CFD 공정 및 PECVD 공정 양자의 양태들을 포함한다. 구체적으로, 반응물 A와 반응물 B가 모두 플라즈마 활성화 페이즈 (904B) 에서 가스상으로 존재하도록 반응물 B가 반응물 B 노출 페이즈 (904A) 의 끝부분 이후에 공정 스테이션으로 제공된다. 이는 CFD 타입 표면 반응들과 동시에 발생하는 PECVD 타입 가스상 반응들을 제공할 수 있다. 천이 페이즈 (904) 가 반응물 B 노출 페이즈 (904A) 및 플라즈마 활성화 페이즈 (904B) 의 오직 하나의 반복만을 포함하지만, 임의의 적합한 개수의 반복들이 천이 페이즈 내에서 포함될 수 있음이 이해될 것이다.
몇몇 실시예들에서, 플라즈마 생성기는 플라즈마 활성화 페이즈 (904B) 동안에 플라즈마 에너지의 단속된 펄스들을 제공하도록 제어될 수 있다. 예를 들면, 플라즈마가 다음으로 한정되지 않지만 10 Hz 내지 150 Hz의 주파수들을 포함하는 하나 이상의 주파수들에서 펄싱될 수 있다. 이는 연속적인 플라즈마에 비해서 이온 충돌의 지향성 (directionality) 을 감소시킴으로써 스텝 커버리지을 개선시킬 수 있다. 또한, 이는 기판의 이온 충돌 손상을 저감시킬 수 있다. 예를 들면, 포토레지스트 기판들은 연속적인 플라즈마 동안에 이온 충돌에 의해서 침식될 수 있다. 플라즈마 에너지를 펄싱함으로써 포토레지스트 침식이 저감될 수 있다.
도 9에 도시된 실시예에서, 플라즈마 활성화 페이즈 (904B) 동안의 반응물 B의 플로우 레이트는 반응물 B 노출 페이즈 (904A) 동안의 반응물 B의 플로우 레이트보다 작다. 따라서, 반응물 B은 플라즈마 활성화 페이즈 (904B) 동안에 공정 스테이션 내로 "트리클된다 (trickled)". 이는 CFD 타입 표면 반응을 보충하는 가스상 PECVD 반응을 제공할 수 있다. 그러나, 몇몇 실시예들에서, 반응물 B의 플로우 레이트는 천이 페이즈의 과정에 걸쳐서 또는 단일 플라즈마 활성화 페이즈 동안에 변할 수 있음이 이해될 것이다. 예를 들면, 반응물 B 노출 및 플라즈마 활성화의 2 회 반복을 포함하는 천이 페이즈에서, 제 1 플라즈마 활성화 페이즈 동안의 반응물 B의 플로우 레이트는 제 2 플라즈마 활성화 페이즈 동안의 반응물 B의 플로우 레이트보다 낮을 수 있다. 플라즈마 활성화 페이즈 (904B) 동안에 반응물 B의 플로우 레이트를 변화시키는 바는 CFD 공정 페이즈 (902) 의 스텝 커버리지 특성에서 PECVD 공정 페이즈 (906) 의 증착 레이트 특성으로의 원활한 천이를 제공할 수 있다.
몇몇 실시예들에서, CFD 공정은 증착된 막의 재차 들어간 부분을 선택적으로 제거하기 위한 인-시츄 에칭을 포함할 수 있다. 갭 충진 CFD 공정 동안 인-시츄 에칭을 포함하는 예시적인 실리콘 이산화물 증착 공정에 대한 비한정적 파라미터 범위들이 표 5에 제공된다.
표 5
페이즈 반응물 A 노출 페이즈 반응물 B 노출 페이즈 제거
페이즈
플라즈마
활성화
페이즈

에칭
페이즈
시간 (sec) 계속적 0.25-10.0 0.25-10.0 0.25-10.0 0.25-10.0
BTBAS (sccm) - 0.5-2.0 0 0 0
O2
(slm)
1-20 1-20 1-20 1-20 0
NF3
(sccm)
0 0 0 0 1-15
Ar (slm) 1-20 1-20 1-20 1-20 1-20
압력 (torr) 1-4 1-4 1-4 1-4 1-4
온도 (C) 50-400 50-400 50-400 50-400 50-400
HF 전력 (W) 0 0 0 50-2500 50-2500
LF 전력 (W) 0 0 0 0-2500 0-2500
도 11은 증착 페이즈 (1102), 에칭 페이즈 (1104), 및 후속 증착 페이즈 (1106) 를 포함하는 CFD 공정 타이밍 도면 (1100) 의 실시예를 도시한다. 도 11에 도시된 실시예의 증착 페이즈 (1102) 에서, 막은 기판의 노출된 표면 상에 증착된다. 예를 들면, 증착 페이즈 (1102) 는 하나 이상의 CFD 공정 증착 사이클들을 포함할 수 있다.
도 11의 실시예의 에칭 페이즈 (1104) 에서, 반응물 A 및 반응물 B 흐름이 중지되고 에칭 가스가 공정 스테이션으로 도입된다. 에칭 가스의 일 비한정적 실례는 NF3 (질소 트리플루오라이드) 이다. 도 11에 도시된 실시예에서, 에칭 가스는 에칭 페이즈 (1104) 동안에 점화된 플라즈마에 의해서 활성화된다. 공정 스테이션 압력, 기판 온도, 에칭 가스 플로우 레이트와 같은 다양한 공정 파라미터들이 비평면형 기판 상에 증착된 막의 재차 들어간 부분을 선택적으로 제거하기 위해서 에칭 페이즈 (104) 동안에 조절될 수 있다. 임의의 적합한 에칭 공정이 본 개시의 범위 내에서 채용될 수 있다. 다른 예시적인 에칭 공정은 다음으로 한정되지 않지만 에칭 종들의 반응성 이온 에칭, 비플라즈마 기상 에칭, 고상 승화 (solid phase sublimation) 및 흡착 및 지향성 활성화 (가령, 이온 충돌에 의함) 를 포함한다.
몇몇 실시예들에서, 양립 가능하지 않는 가스상 종들은 막을 에칭하기 전 및 후에 공정 스테이션으로부터 제거될 수 있다. 예를 들면, 도 11의 실시예는 에칭 페이즈 (1104) 동안에 반응물 A 및 반응물 B가 중지된 후 및 에칭 가스가 중지된 후에 불활성 가스의 연속적인 흐름을 포함한다.
에칭 페이즈 (1104) 가 끝나면, 증착 페이즈 (1106) 가 시작되어 비평면형 기판 상의 갭들을 더 충진한다. 증착 페이즈 (1106) 는 임의의 적합한 증착 공정일 수 있다. 예를 들면, 증착 페이즈 (1106) 는 CFD 공정, CVD 공정, PECVD 공정 등 중 하나 이상을 포함할 수 있다. 도 11의 실시예가 단일 에칭 페이즈 (1104) 를 나타내지만, 복수의 인-시츄 에칭이 갭 충진 공정 동안에 임의의 적합한 타입의 다수의 증착 페이즈들 간의 인터벌들에 삽입될 수 있음이 이해될 것이다.
도 12a 내지 도 12c는 상술한 인-시츄 증착 공정 및 인-시츄 에칭 공정의 실시예의 다양한 페이즈들에서의 비평면형 기판의 예시적인 단면들을 도시한다. 도 12a는 갭 (1202) 을 포함하는 예시적인 비평면형 기판 (1200) 의 단면을 도시한다. 갭 (1202) 은 박막 (1204) 으로 피복된다. 박막 (1204) 은 갭 (1202) 과 거의 컨포멀하지만 박막 (1204) 은 갭 (1202) 의 상단 근처에 재차 들어간 부분 (1206) 을 포함한다.
도 12b에 도시된 실시예에서, 박막 (1204) 의 재차 들어간 부분 (1206) 은 박막 (1204) 의 상부 영역 (1204A) 이 하부 영역 (1204B) 보다 얇도록 선택적으로 제거된다. 이 재차 들어간 부분의 선택적 제거 및/또는 측벽 각 (angle) 조절은 활성 에칭 종들에 대하여 대량 (mass) 전달 한정 사항들 및 수명 한정 사항들을 부여함으로써 달성될 수 있다. 몇몇 실시예들에서, 갭 (1202) 의 상단에서의 선택적 에칭은 갭 (1202) 이 하단에서보다 상단에서 그 폭이 커지도록 갭 (1202) 의 측벽 각을 조절할 수도 있다. 이는 후속 증착 페이즈들에서 빵 모양 형성 효과를 더 감소킬 수 있다. 도 12c에 도시된 실시예에서, 후속 증착 페이즈 후에, 갭 (1202) 이 거의 충진되어 어떠한 공극도 보이지 않는다.
인-시츄 에칭 공정의 다른 실시예가 도 15에 도시되며, 도 15는 구리 전극에 대한 관통 실리콘 비아 (TSV) (2500) 를 도시한다. 몇몇 예시적인 TSV들은 대략 105 마이크론의 깊이를 가지며 대략 6 마이크론의 직경을 가져서, 대략 17.5:1의 종횡비를 제공하며, 대략 200 ℃의 열적량 상한치 (thermal budget ceiling) 을 가질 수 있다. 도 15의 실시예에서 도시된 바와 같이, 관통 실리콘 비아 (2500) 는 실리콘 기판을 금속 충진된 비아로부터 전기적으로 격리시키기 위한 유전체 격리 층 (2502) 에 의해서 피복된다. 예시적인 유전체 격리 층 재료들은 다음으로 한정되지 않지만 실리콘 이산화물, 실리콘 질화물, 로우 k 유전체 재료를 포함한다. 몇몇 실시예들에서, 상술된 예시적인 에칭 공정들은 아르곤과 같은 적합한 스퍼터 가스를 사용하여서 재차 들어간 부분을 물리적으로 스퍼터링함으로써 보충될 수 있다.
CFD 막들에 대한 다른 예시적인 용도들은 다음으로 한정되지 않지만 BEOL (back-end-of-line) 상호접속 격리 용도를 위한 컨포멀 로우 k 막들 (예컨대, 몇몇 비한정적 실례들에서 대략 3.0 이하의 k 값), 에칭 정지 및 스페이서 층 용도를 위한 컨포멀 실리콘 질화물 막들, 컨포멀 반사 방지 층들 및 구리 부착 및 베리어 층들을 포함한다. BEOL 공정을 위한 로우 k 유전체의 수많은 다른 조성들이 CFD을 사용하여서 제조될 수 있다. 실례들은 실리콘 산화물, 산소 도핑된 카바이드, 탄소 도핑된 산화물, 옥시질화물 등을 포함한다.
다른 실례에서, 일 통합된 공정 시나리오에서, 실리콘 이산화물 스페이서 층이 포토레지스트 "코어" 상에 증착될 수 있다. (실리콘 카바이드 층과 같은) 다른 코어 재료 대신에 포토레지스트 코어를 사용하면 통합된 공정에서 패터닝 단계가 제거될 수 있다. 이 공정은 정상적인 리소그래픽 기술을 사용하여서 포토레지스트를 패터닝하고 이어서 이 코어 상에 바로 CFD 산화물 박층을 증착하는 바를 포함한다. 이어서, 패터닝된 포토레지스트 상단에서 CFD 산화물 막이 제거되고 그 하단에서는 패터닝된 포토레지스트의 측벽을 따라서만 산화물이 남도록 (트렌치를 고려해보면 됨), 지향성 건식 에칭이 사용된다. 이 스테이지에서, CFD 산화물 뒤에 남는 노출된 코어를 제거하도록 간단한 애싱 (ashing) 이 사용된다. 지금까지는 단일 포토레지스트 라인이 존재하는 경우였지만, 이번에는 2 개의 CFD 산화물 라인들이 존재한다. 이로써, 본 공정은 패턴 밀도를 2배로 하며, 따라서 이는 "이중 패터닝 (double patterning)"으로서 지칭된다. 공교롭게도, 포토레지스트 코어를 사용하는 바는 스페이서 층 증착 온도를 70℃보다 낮은 온도로 제약하며, 이는 통상적인 CVD, PECVD, 및/또는 ALD 공정들의 증착 온도보다 낮을 수 있다. 따라서, 몇몇 실시예들에서, 저온 CFD 실리콘 이산화물 막이 70 ℃보다 낮은 온도에서 증착될 수 있다. 다른 잠재적 통합된 공정 응용들이 본 개시의 범위 내에서 적합한 CFD-생성된 막에 대해서 존재할 수 있음이 이해될 것이다. 또한, 다양한 실시예들에서, 상술한 바와 같이 증착된 실리콘 질화물과 같은 질화물이 반도체 디바이스 제조의 다양한 스테이지들에서 컨포멀 확산 베리어 층 및/또는 에칭 정지 층으로서 사용될 수 있다.
상술한 다양한 CFD 증착 공정들은 단일 막 타입들을 증착, 처리 (treatment) 및/또는 에칭하는 것에 대한 것이었지만, 본 개시의 범위 내의 몇몇 CFD 공정들은 복수의 막 타입의 인-시츄 증착을 포함할 수 있음이 이해될 것이다. 예를 들면, 교번 층들의 막 타입이 인-시츄 방식으로 증착될 수 있다. 제 1 시나리오에서, 게이트 디바이스에 대한 이중 스페이서가 실리콘 질화물/실리콘 산화물 스페이서 스택 인-시츄 증착 시에 제조될 수 있다. 이는 사이클 시간을 줄이며 공정 스테이션 쓰루풋을 늘리며 잠재적 막 층 비양립성 (incompatibility) 에 의해서 형성된 층간 디펙트를 억제할 수 있다. 제 2 시나리오에서, 리소그래픽 패터닝 용도를 위한 반사 방지 층이 조절 가능한 광학적 특성들을 갖는 SiON 또는 비정질 실리콘과 SiOC의 스택으로서 증착될 수 있다.
특정 실시예들에서,도펀트 함유 소스 층은 컨포멀 막 증착 공정에 의해서 형성된다. 이 층은 "소스" 층으로 지칭되는데 그 이유는 도펀트 종들 (예컨대, 붕소, 인, 갈륨 및/또는 비소와 같은 도펀트 원자들) 의 소스를 제공하기 때문이다. 도핑된 CFD 층은 디바이스 내의 아래에 놓인 구조물 (또는 위에 놓인 구조물) 을 도핑하기 위한 도펀트의 소스 역할을 한다. 소스 층이 형성된 후에 (또는 그의 형성 동안에), 도펀트 종들은 제조되는 디바이스 내의 인접하는 구조물들 내로 추동되거나 이와 달리 포함된다. 특정 실시예들에서, 도펀트 종들은 컨포멀 도펀트 소스 막을 형성하는 동안에 또는 그 후에 어닐링 동작에 의해서 추동된다. CFD의 매우 컨포멀한 성질은 3 차원으로의 도핑을 요구하는 구조물들을 포함하는 비통상적인 디바이스 구조물들을 도핑하는 것을 가능하게 한다. CFD 도펀트 소스 층은 통상적으로는 본 명세서에서 기술되는 공정들 중 하나 이상에 의해서 형성되지만, 도펀트 종들을 도입하는 추가 공정 동작을 포함한다. 몇몇 실시예들에서, 유전체 층이 도펀트 종들이 도입되는 베이스 소스 층 역할을 할 수 있다.
예를 들어, 도핑된 실리콘 산화물은 붕소, 인, 비소 등을 위한 확산 소스로서 사용될 수 있다. 예를 들어, BSG (boron doped silicate glass), PSG (phosphorus doped silicate glass) 및 BPSG (boron phosphorus doped silicate glass) 가 사용될 수 있다.
도핑된 CFD 층들이 예를 들어서 다중-게이트 FinFET 및 3차원 메모리 디바이스들과 같은 3차원 트랜지스터 구조물들 내에 컨포멀 도핑을 제공하는데 채용될 수 있다. 몇몇 3차원 트랜지스터 구조물들의 실례들은 "Tri-gate (Intel)": J.Kavalieros et al., Symp. VLSI Tech Pg 50, 2006 및 "FinFET": Yamashita et al. (IBM Alliance), VLSI 2011에서 찾을 수 있으며, 이 두 문헌들은 그 전체 내용이 본 명세서에서 참조로서 인용된다. 통상적인 이온 주입기들은 특히 고 종횡비 구조물들에서의 측벽들을 용이하게 도핑할 수 없다. 또한, i3D 구조물들의 밀한 어레이에서, 주입기 내의 지향성 이온 빔 (directional ion beam) 에 대해서는 쉐도잉 효과 (shadowing effect) 가 존재할 수 있으며, 이는 경사진 주입 각도에 있어서 심각한 도즈 정체 (dose retention) 문제를 야기한다. 통상적인 실리콘 기반 미세전자소자들 이외에, CFD 도핑들의 다른 애플리케이션들 (applications) 은 GaAs와 같은 Ⅲ-Ⅴ 족 반도체들, HgCdTe와 같은 Ⅱ-Ⅵ 족 반도체들, 광전 소자들, 플랫 패널 디스플레이, 및 전기변색 (electrochromic) 기술을 기초로 하는 미세전자 소자들 및 광전자 소자들을 포함한다.
도 16은 통상적인 이온 주입 기술들에 의해서 도핑하기 어려운 얇은 종형 구조물들 내에 소스 및 드레인이 형성된, 3 차원 게이트 구조물을 갖는 트랜지스터를 예시한다. 그러나, n 도핑된 또는 p 도핑된 CFD 산화물의 박층이 종형 구조물 위에 형성된 경우에, 컨포멀 도핑이 달성된다. 컨포멀 도핑은 감소된 직렬 저항으로 인해서 10 내지 25 % 만큼 3차원 디바이스들 내에서 전류 밀도를 증가시켰다고 관측되었다. Yamashita et al. VLSI 2011을 참조하면 된다.
확산 소스들로서의 CFD 도핑된 산화물들은 다양한 이점들을 갖는다. 먼저, 이들은 저온에서 높은 컨포멀성을 제공한다. 도핑 막이 희생적일 수 있기 때문에, 비컨포멀 막은 통상적으로 제거 시에 보다 많은 과제에 직면하는데, 즉 몇몇 구역들이 과잉 에칭될 수 있다. 설명한 바와 같이, CFD는 매우 컨포멀한 막들을 제공한다. 또한, CFD는 매우 양호하게 제어되는 도핑 농도를 제공한다. CFD 공정은 하나 이상의 도핑되지 않는 산화물 층들 및 필요하다면 그 이후의 단일 도핑 층을 제공할 수 있다. 도핑 레벨이 도핑된 층이 증착되는 빈도 및 도핑 사이클의 조건들에 의해서 세밀하게 (tightly) 제어될 수 있다. 특정 실시예들에서, 도핑 사이클은 예를 들어서 상당한 입체 장애 (steric hindrance) 를 갖는 도펀트 소스를 사용함으로써 제어된다.
도 17은 x 축을 따라서 시간이 지남에 따라서 좌측에서 우측으로의 동작들의 기본적인 CFD 시퀀스를 제공한다. 수많은 변형들이 지원되며, 이 도면은 오직 예시적 설명을 위해서 제공된다. 이 시퀀스에서 초기에, 동작 A 동안에, 기상 산화제 (vapor phase oxidant) 가 CFD 막들이 증착될 기판을 포함하는 반응 챔버 내로 도입된다. 적합한 산화제의 실례는 원소 산소 (예컨대, O2 또는 O3), 아산화질소 (N2O), 물 (water), 이소프로판올과 같은 알킬 알콜들, 카본 모노옥사이드, 및 카본 다이옥사이드를 포함한다. 산화제는 통상적으로 아르곤 또는 질소와 같은 불활성 가스와 함께 제공된다.
다음에, 동작 B에서, 유전체 프리커서가 반응 챔버 내로 일시적으로 도입된다. 동작 B의 지속 기간은 막 성장의 일 사이클을 지원하기 충분한 양으로 기판 표면 상으로 프리커서가 흡수될 수 있게 하도록 선택된다. 몇몇 실시예들에서, 프리커서는 기판 표면을 포화시킨다. 프리커서는 목표 조성의 유전체를 생성할 수 있도록 선택될 것이다. 유전체 조성의 실례는 실리콘 산화물들 (실리케이트 유리를 포함함), 실리콘 질화물들, 실리콘 옥시질화물들 및 실리콘 옥시카바이드들을 포함한다. 적합한 프리커서들의 실례는 알킬아미노 실란들 (alkylamino silanes) ((SiHx(NR2)4-x) 여기서 x = 1-3, 및 R 은 다양한 이성체 구성들로 있는 메틸, 에틸, 프로필 및 부틸과 같은 알킬 그룹들을 포함함), 및 할로실란들 (halosilanes) ((SiHxY4-x) 여기서 x = 1-3, 및 Y 는 Cl, Br, 및 I를 포함함) 을 포함한다. 보다 구체적인 실례들은 비스-알킬아미노 실란들 (bis-alkylamino silanes) 및 입체 장애 알킬 실란들 (sterically hindered alkyl silanes) 을 포함한다. 일 특정 실례에서, BTBAS는 실리콘 산화물을 생성하기 위한 프리커서이다.
동작 B 동안에, 페이즈 A 동안에 챔버 내로 도입된 산화제는 계속 흐른다. 특정 실시예들에서, 산화제는 동작 A 동안에서와 동일한 레이트 및 동일한 농도로 계속 흐른다. 동작 B의 종료 시에, 챔버 내로의 유전체 프리커서의 흐름은 종료되며 동작 C가 도시된 바와 같이 개시된다. 동작 C 동안에, 산화제 및 불활성 가스가 반응 챔버 내의 잔류 유전체 프리커스를 퍼지하도록 동작 A 및 동작 B 동안에 계속 흐른다.
동작 C 동안에 퍼지가 완료되면, 프리커서가 기판 표면 상에서 반응하여서 유전체 막의 일부를 형성한다 (동작 D 참조). 다양한 실시예들에서, 플라즈마가 흡착된 유전체 프리커서의 반응을 활성화시키도록 인가된다. 몇몇 실례들에서, 이 반응은 산화 반응이다. 이전에 반응 챔버로 유입된 산화제의 일부가 유전체 프리커서와 함께 기판 표면으로 흡착되어서 바로 이용 가능한 산화 작용제를 플라즈마 매개된 표면 반응을 위해서 제공할 수도 있다.
동작 A 내지 동작 D은 합쳐서 유전체 막 증착 공정의 단일 사이클을 제공한다. 본 명세서에서 기술된 다른 CFD 실시예들이 여기서 도시된 기본 사이클 대신에 사용될 수 있음이 이해되어야 한다. 도시된 실시예에서, 증착 사이클 (A 내지 D) 은 임의의 도펀트 종들의 도입 없이도 수행된다. 다양한 실시예들에서, 동작 A 내지 동작 D에 의해서 표현된 사이클은 도펀트 종들의 도입 이전에 연속하여서 1 회 이상 반복된다. 이는 도 17의 페이즈 E로 표시되어 있다. 몇몇 실례들에서, 동작 A 내지 동작 D는 도펀트 도입 이전에 최소 1회, 최소 2회 또는 최소 5 회 반복된다.
실례로서, 유전체가 약 0.5 내지 1 옹스트롬들/사이클의 레이트로 증착된다. 하나 이상의 사이클들 (A 내지 D의 반복들) 각각을 통해서, 산화제는 반응 챔버 내로 계속 흐른다.
이 공정에서 어느 시점에서, 유전체 증착의 사이클들이 예컨대 디보란과 같은 도펀트 프리커서 종들의 도입에 의해서 중단된다. 이는 도면에서 동작 F로 예시된다. 유전체 소스 막 내에 제공될 수 있는 도펀트들의 실례들은 붕소, 갈륨, 인, 비소, 및 다른 도펀트들과 같은 밸런스 (valence) III 및 IV 원소들을 포함한다. 디보란 이외에, 도펀트 프리커서들의 실례는 포스핀 (phosphine) 및 다른 하이드라이드 소스들을 포함한다. 알킬 프리커서들 (예컨대, 트리메틸갈륨), 할로프리커서들 (예컨대, 갈륨 클로라이드) 와 같은 비-하이드라이드 도펀트 (non-hydride dopants) 가 사용될 수도 있다.
몇몇 버전들에서, 도펀트가 그 아래에 놓인 기판과의 계면에서 증착되고 이후에 도펀트 펄스들이 (기술된 바와 같이) 사이클들의 매 x 번째마다 개재된 CFD 사이클들이 따르고 옵션적으로 CFD 산화물 막일수 있는 도핑되지 않는 보호성 "캡핑 (capping)" 층으로 상단이 처리된다 (topped off). 도 18의 결과적인 스택의 실례를 참조하면 된다.
특정 실시예에서, 도펀트 프리커서 종들이 불활성 가스 (예컨대, 아르곤) 와 같은 캐리어 가스와는 혼합되지만 산화제 또는 다른 반응물과는 혼합되지 않으면서 반응 챔버 내에 제공된다. 이로써, 본 기본 실례에서, 산화제의 흐름은 동작 F 동안에 멈춘다. 다른 실시예들에서, 프리커서는 환원제 또는 산화제와 함께 도입된다. 특정 실시예들에서, 도펀트의 캐리어 가스에 대한 농도는 약 1:5 내지 1:20일 수 있다. 특정 실시예들에서, 도펀트 증착 온도는 약 300 내지 400 ℃이다. 도펀트 노출 단계의 기간은 목표 도펀트 농도에 따라서 변한다. 특정 실시예들에서, 노출 단계는 약 2.5 초 내지 7.5 초이다. 특정 실시예들에서, 디보란 1000 sccm이 3 Torr 압력 및 약 400 ℃에서 10000 sccm 아르곤 내에서 흐른다.
특정 실시예들에서, 도펀트 프리커서는 비표면 한정된 메카니즘에 의해서 기판 표면 상에서 모인다. 예를 들어, 프리커서는 ALD (표면 흡착 한정된) 공정보다는 CVD 타입 공정에 의해서 증착될 수 있다.
선택적으로, 도펀트 프리커서는 유전체 막의 추가적 프로세싱 이전에 반응 챔버로부터 퍼지된다. 또한, 도 17에 도시된 바와 같이, 도펀트 프리커서 전달 이후에 플라즈마, 상승된 온도 등에 의해서 중개될 수 있는 선택적 활성화 동작 G가 따른다. 도펀트 프리커서로서의 디보란의 실례에서, 활성화 동작은 디보란을 원소적 붕소로 변화시킨다. 동작 G가 완료된 이후에, 공정은 선택적 퍼지 (미도시) 와 함께 계속된다.
일 실례에서, 디보란 도펀트 CVD과 관련하여서, 활성화 동작은 붕소를 생성하는 오직 온도 기반 분해이다. 이는 온도 민감 공정이다. 보다 높은 온도에서, 단위 두께당 동일한 붕소 농도를 달성하도록 상대적으로 짧은 노출 시간을 채용할 수 있다. 이와 달리, 몇몇 공정들 (예컨대, TMB (trimethylborane) 을 채용하는 공정들) 에서, 활성화는 플라즈마 또는 열적 산화 단계를 포함할 수 있다. 몇몇 다른 프리커서들의 경우에, 자유 붕소 (free boron) 또는 다른 도펀트를 제자리에서 홀딩시키는 "피닝 (pinning)" 단계를 채용하는 것이 적절할 수도 있다. 이는 "피닝" 플라즈마를 사용하여서 달성될 수 있다.
특정 실시예들에서, 플라즈마 활성화는 탄소를 막 내로 도입시키기에 적합한 임의의 주파수의 RF 전력과 관련된다. 몇몇 실시예들에서, RF 전원은 고주파수 RF 전력 소스 및 저주파수 RF 전력 소스를 서로 독립적으로 제어하도록 구성될 수 있다. 저주파수 RF 전력들의 실례는 다음으로 한정되지 않지만 약 200 내지 1000 kHz 주파수들을 포함할 수 있다. 고주파수 RF 전력들의 실례는 다음으로 한정되지 않지만 약 10 내지 80 MHz 주파수들 (예컨대, 13.56 MHz) 을 포함할 수 있다. 마찬가지로, RF 전원들 및 매칭 네트워크들도 임의의 적합한 전력에서 동작하여서 플라즈마를 형성한다. 적합한 전력의 실례들은 다음으로 한정되지 않지만 (웨이퍼별로) 고주파수 플라즈마의 경우에 약 100 내지 3000 W의 전력들을 포함하고 저주파수 플라즈마의 경우에 약 100 내지 10000 W의 전력들을 포함한다. RF 전원은 임의의 적합한 듀티 사이클로 동작할 수 있다. 적합한 듀티 사이클의 실례는 다음으로 한정되지 않지만 약 5 % 내지 90 %의 듀티 사이클을 포함한다. 일반적으로 허용 가능한 공정 압력은 약 0.5 내지 5 Torr 및 바람직하게는 약 2 내지 4 Torr이다. 도펀트로의 노출 이전의 (아래에 놓인 기판의) 특정 플라즈마 사전처리들에 있어서, 약 10 Torr에 달하는 압력 (또는 약 9 Torr에 달하는 압력) 이 효과적임이 입증되었다.
다음의 표 6은 다양한 BSG 공정들에서 사용될 수 있는 플라즈마 파라미터들의 범위들을 요약한다:
공정 플라즈마 전력 플라즈마 노출시간 공정 압력
CFD 산화 성장 HF: 200 내지 2500W 0.1 내지 5s 0.5 - 5 Torr
플라즈마 사전처리 HF: 100 내지 1000W;   LF: 0 내지 1000W 0 내지 60s 2 - 9 Torr
도시된 기본적인 공정에서, 유전체 증착 및 단속적인 도펀트 전달 (동작 A 내지 동작 G) 의 사이클들은 도면의 페이즈 H에서 도시된 바와 같이 복수 회 수행될 수 있다. 공정 시퀀스가 수행되는 실제 회수는 막의 목표 총 두께 및 사이클당 증착되는 유전체 막의 두께 및 막 내에 포함되는 도펀트의 양에 의존한다. 몇몇 실시예들에서, 동작 A 내지 동작 G는 최소 2회, 최소 3 회, 최소 5 회, 또는 최소 10회 반복될 수 있다.
유전체 막이 완전하게 증착된 후에, 유전체 막은 근방의 반도체 구조물들에 대한 도펀트 종들의 소스로서 사용될 수 있다. 이는 도 17의 동작 I에서 도시된 바와 같이 증착된 막으로부터의 도펀트를 디바이스 구조물 내로 추동시킴으로써 이루어질 수 있다. 다양한 실시예들에서, 이러한 추동 (driving) 은 어닐링과 같은 열적으로 매개된 확산 공정에 의해서 이루어진다. 몇몇 경우들에서, 특히 USJ (ultra-shallow junctions) 을 채용하는 경우에서는, 레이저 스파이크 어닐링이 채용될 수 있다.
이러한 기본 공정에 대한 수많은 변형들이 실현될 수도 있다. 이러한 변형들 중 몇몇은 인접하는 반도체 구조물 내로 확산되기에 이용 가능한 도펀트의 양을 증가시키는 목적을 갖는다. 다른 변형들은 도펀트가 소스 막으로부터 근방의 반도체 구조물 내로 전달하는 레이트를 제어하도록 설계된다. 또 다른 변형들은 도펀트 종들이 확산되는 방향을 제어한다. 디바이스 구조물을 향하고 막의 반대 측으로부터 멀어지게 도펀트의 확산을 조장하는 것이 때로 바람직하다.
특정 실시예들에서, 도펀트가 성장하는 유전체 막 내로 도입되는 빈도가 제어된다. 도펀트 프리커서 전달 사이클의 빈도가 높으면 최종 유전체 막 내의 도펀트 농도는 전체적으로 커진다. 이는 또한 막 전체에 걸쳐서 도펀트가 상대적으로 균일하게 분포되게 할 수 있다. 소수의 도펀트 프리커서 전달 사이클들이 증착 공정들 내에 삽입되면, 막 내의 고 도펀트 농도 영역들은 도펀트 전달 사이클들의 빈도가 높은 경우에서보다 넓게 이격되어 있다.
일 실시예에서, 도펀트 프리커서는 성장하는 유전체 막으로 유전체 증착의 각 사이클에 대해 1 회 전달된다. 다른 실시예에서, 도펀트 프리커서는 유전체 증착의 하나 걸러의 (every other) 사이클마다 1회 전달된다. 다른 실시예에서, 빈도가 더 낮은 도펀트 프리커서 전달 사이클이 공정 내에 포함될 수 있다. 예를 들면, 도펀트 종들은 유전체 증착의 매 세번째, 네번째, 또는 다섯번째 사이클마다 1 회 전달될 수 있다. 몇몇 경우에, 도펀트 프리커서는 유전체 증착의 매 5 내지 20 번째 사이클마다의 빈도로 해서 전달된다.
성장하는 막 내로의 도펀트 프리커서 도입 사이클의 빈도는 유전체 막 증착의 과정에 걸쳐서 일정할 필요가 없음이 이해되어야 한다. 이를 염두해두면, 증착된 유전체 막의 두께에 걸쳐서 도펀트의 평균 농도가 불균일하도록 최종 유전체 막은 도펀트의 구배형 농도를 가질 수 있다. 일 실시예에서, 도펀트의 농도는 도핑될 반도체 디바이스 구조물에 접하는 유전체 막의 측 상에서 더 클 수 있다. 물론, 유전체 막 내의 도펀트 농도 구배는 전체 유전체 증착 공정의 과정에 걸쳐서 도펀트 전달 사이클의 빈도를 신중하게 변화시킴으로써 목표된 바와 같이 맞추어질 수 있다.
기본적인 공정에 대한 다른 변형은 임의의 도펀트 프리커서 전달 사이클 동안 전달된 도펀트 프리커서의 양을 조절하는 바를 포함한다. 임의의 소정의 도펀트 프리커서 전달 사이클 동안 전달된 도펀트 프리커서의 양은 반응 챔버에 전달된 도펀트 프리커서의 농도 및 전달된 도펀트 프리커서로 기판이 노출되는 기간에 의해서 결정될 수 있다.
상술한 바와 같이, 몇몇 도펀트 프리커서들은 CVD 형 공정을 통해서 성장하는 막 상으로 제공될 수 있다. 이러한 경우들에서, 임의의 소정 사이클에서 성장하는 막으로 전달된 도펀트 프리커서의 양은 흡착 또는 다른 표면-매개형 현상에 의해서 한정되지 않는다. 따라서, 임의의 도펀트 전달 사이클 동안에 제공된 도펀트 프리커서의 양은 상대적으로 크며 제어가능할 수 있다. 임의의 도펀트 전달 사이클 동안에 보다 많은 양의 도펀트 프리커서가 전달되는 정도로, 유전체 막 내의 도펀트의 전체적인 농도는 증가한다. 이는 전체 공정에서 상대적으로 빈도가 낮은 도펀트 프리커서 전달 사이클들을 갖게 되는 효과를 상쇄시킬 수 있다. 그러나, 임의의 소정의 도펀트 프리커서 전달 사이클 동안에 전달된 도펀트의 양을 증가시키면 막 내의 도펀트의 상대적으로 고 국소적 농도가 나타날 수 있음이 이해되어야 한다. 물론, 이러한 도펀트 농도 스파이크는 어닐링 또는 도펀트 농도가 유전체 막 내에서 보다 균일하게 되게 도펀트를 확산시키는 다른 동작을 통해서 완화될 수 있다.
붕소가 도펀트인 경우에, 통상적인 붕소 프리커서 전달 사이클 동안에 전달된 붕소의 플럭스는 목표 막 농도에 따라서 약 7.5 ML (Mega-Langmuirs) 에서 30 ML 까지 변할 수 있으며, ML은 플럭스/노출의 단위이다.
몇몇 실시예들에서, 각 프리커서 전달 사이클에서 전달된 도펀트 프리커서의 양은 전체 유전체 막의 성장에 걸쳐서 일정하지 않다. 따라서, 사이클당 전달된 도펀트 프리커서의 양은 유전체 막 내의 목표 도펀트 농도 구배를 얻도록 맞추어질 수 있다. 예를 들어, 도핑될 반도체 피처에 상대적으로 가까운 유전체 막 내의 위치들에서 발생하는 도펀트 프리커서 전달 사이클에서 도펀트 프리커서의 보다 많은 양을 제공하는 것이 바람직할 수 있다. 결과적인 농도 구배는 도핑될 디바이스 구조물에 접하는 막 영역들에서 보다 큰 도펀트 농도를 갖는다.
몇몇 실시예들에서, 도펀트 프리커서는 흡착-한정 방식으로 기판 표면 상에 도입된다. 이러한 프리커서를 사용하여, 막 내로의 도펀트의 도입은 (상술한 바와 같은 CVD 형 방식과는 대조적으로) ALD 형 공정을 통해서 진행된다. 흡착-중개형 공정에 의해서 기판 표면에 부착되는 도펀트 프리커서의 실례는 트리메틸 보론 (trimethyl borane) 및 트리메틸갈륨과 같은 다른 알킬 프리커서들을 포함한다. CVD 형 공정에 의해서 기판 표면 상에 축적되는 도펀트 프리커서들의 실례는 디보란, 포스핀 및 아르신 (arsine) 을 포함한다.
일반적으로, 유전체 막 내의 도펀트의 농도 프로파일은 적절하게 맞추어질 수 있다. 일 실시예에서, 도펀트 농도는 도핑될 구조물에 인접하는 막의 에지에서 고 레벨로 스파이크한다. 몇몇 실시예들에서, 농도는 막 두께를 걸쳐서 단속적으로 증가 및 감소한다. 일 실례에서, 도펀트 (예컨대, 붕소) 는 아래에 놓인 기판과 CFD 유전체 층 간의 계면에서만 제공된다. 이 도펀트 층은 때로 "스파이크 층"으로서 지칭된다. 몇몇 경우들에서, 단일-단계 (single-step) 를 채용하기보다는 (예를 들어서 도펀트 프리커서로의 CVD 노출을 사용하여서) 도펀트 노출을 펄싱하는 것은 도펀트 도입 (dopant incorporation) 의 웨이퍼 내 균일성을 증가시킨다. 다른 실례에서, CFD 산화물 또는 다른 유전체가 도펀트와 함께 중간에 개재된다 (예컨대, 도핑된 BSG 내의 붕소). 도 18 및 도 19를 참조하면 된다. 이 개재된 도핑된 유전체에는 스파이크 층이 제공되거나 제공되지 않을 수도 있다. 또 다른 실례에서, 도핑되지 않은 CFD 산화물 또는 다른 유전체 캡 (cap) 이 보호 층 역할을 할 수 있다. 또한, 도 18 및 도 19를 참조하면 된다.
도펀트 종들이 상주하는 유전체 막 자체가 막 자체를 통한 도펀트 종들의 확산에 영향을 주도록 맞추어질 수 있다. 예를 들어서, 막 밀도 및/또는 화학 조성이 도펀트 종 확산에 대하여 목표하는 영향을 주도록 제어될 수 있다. 몇몇 방식들에서, 전체 유전체 두께는 맞추어진 도펀트 확산 특성이 막 두께에 걸쳐서 변하지 않도록 동일한 밀도 또는 조성을 갖는다. 다른 방식들에서, 도펀트 확산이 막 두께에 걸쳐서 변하도록 막 특성들이 맞추어진다. 본 발명자들은 예를 들어서 어닐링 동안에 CFD 산화물에 걸쳐서 보다 큰 도펀트 확산이 가능하게 CFD 산화물이 덜 조밀하게 되도록 플라즈마 산화 파라미터들이 변화될 수 있음을 알아내었다.
특정 실시예들에서, 유전체 막의 조성 (또는 이 막을 형성하는데 사용되는 공정 가스) 이 그 내에서의 도펀트 확산에 영향을 주도록 맞추어진다. 예를 들어서 유전체 막 증착 사이클들 동안에 반응 챔버 내로 전달된 산화제 공정 가스 내에서의 산소에 대한 질소의 비가 도펀트 종들이 유전체 막을 통하여서 확산할 수 있는 능력에 영향을 줌이 발견되었다. 예를 들어서, 유전체 막 형성 동안에 사용된 산화제 가스 내에 존재하는 보다 많은 질소의 양은 도펀트 확산에 대한 상당한 저항을 갖는 유전체 막을 낳는다. 이와 대조하여서, 가스 내에 존재하는 산소의 양이 상대적으로 많으면 도펀트 확산에 대한 저항은 매우 보다 작아진다. 공정 가스 내에 존재하는 질소는 질소 함유 화합물 (예컨대, N2O) 또는 원소적 질소 N2의 방식으로 제공될 수도 있다. 다양한 실시예들에서, 유전체 막 증착 사이클 동안에 연속적으로 흐르는 산화제는 아산화질소를 포함한다.
특정 실시예들에서, 유전체 막은 유전체 막의 초기 성장 페이즈 동안에 산소 함량을 상대적으로 높으며 질소 함량을 상대적으로 낮은 산화제 가스를 처음 사용함으로써 제조된다. 이후에, 막이 도핑될 기판 구조물들 상에 부분적으로 형성된 후에, 산화제 가스 조성이 그 내에서 질소가 상대적으로 더 풍부하게 되도록 변화된다. 예를 들어, 초기 증착 사이클 동안에, 유전체 막을 위해서 사용된 산화제 가스는 전체가 분자인 산소를 포함할 수도 있다. 이후의 유전체 증착 사이클에서, 산소가 아산화질소로 적어도 부분적으로 대체되도록 산화제 가스가 수정된다. 이는 그 목적이, 도핑될 디바이스 구조물이 유전체 막 아래에 위치하는 바를 가정할 때에, 막의 하단을 향하는 방향으로의 확산을 증진시키고 막의 상단을 향하는 방향으로의 확산을 저지하는 것인 경우를 가정한다. 본 발명자들은 질소 농도 레벨이 약 1E20 원자들/cc (예컨대 SIMS에 의해서 측정됨) 보다 크면, 붕소 확산에 대한 저지 효과가 상당함을 알았다. 이와 대조하여서, 질소 농도 레벨이 약 1E19 원자들/cc 이하에서는, 붕소 확산에 대한 저지 효과가 효과적으로 제거될 수 있다.
막 조성 자체를 고려하면, 막 내의 질소 함량은 도핑될 기판 구조물 근방의 막의 부분에서의 상대적으로 낮은 레벨에서 도핑될 구조물 반대편에 위치하는 부분에서의 상대적으로 높은 레벨로 변할 수도 있다.
유전체 막 형성 동안에 채용된 증착 온도 또한 도펀트가 막 내에서 확산될 수 있는 능력에 영향을 준다. 일반적으로, CFD 프로세싱에 의해서 상대적으로 낮은 온도에서 증착된 유전체는 일반적으로 상대적으로 높은 도펀트 확산 레이트를 가능하게 하였음을 알 수 있었다. 상대적으로 높은 도펀트 확산 레이트와 관련된 상대적으로 낮은 온도의 실례들은 약 300 내지 400 ℃ 범위의 온도 또는 보다 구체적으로는 약 350 내지 400 ℃ 범위의 온도이다. 물론, 이 온도 범위는 유전체 프리커서 및 다른 증착 파라미터 선택에 의존한다. 이들이 다수의 프리커서들과 함께 채용될 수 있는 반면에, 이들은 유전체 프리커서로서 BTBAS를 사용하는데 특히 적합하다.
이와 대조하여서, 상대적으로 높은 온도에서 증착된 유전체는 도펀트 종들의 확산을 저항하는 경향이 있다. 유전체 프리커서로서 BTBAS를 사용하는 경우에, 상대적으로 낮은 도펀트 확산 레이트와 관련된 상대적으로 높은 온도의 실례들은 약 350 내지 400 ℃ 범위의 온도 또는 보다 구체적으로는 약 300 내지 380 ℃ 범위의 온도이다. 물론, 이 온도 범위는 다른 프리커서들에도 적용될 수 있다. 또한, 고온은 일반적으로 도펀트 확산을 방해하는 밀한 막들을 제공하는 것이 사실이지만, 플라즈마 산화 동안의 전력 및 RF 노출 시간과 같은 다른 파라미터들을 통해서 확산도 및/또는 밀도를 제어할 수도 있다. CFD 산화물 성장 동안에 채용될 수 있는 기본 파라미터들의 실례들은 (1) 통상적으로 저 주파수 플라즈마 없이, 약 200 내지 2500 와트 (300 mm 웨이퍼의 경우임) 에서의 고주파수 플라즈마 및 (2) 약 0.2 초 내지 1.5 초의 플라즈마 노출 시간을 포함한다.
특정 실시예들에서, 상대적으로 낮은 온도는 도핑될 디바이스 구조물에 인접하는 유전체 막을 증착하는데 채용되며 보다 높은 온도는 구조물로부터 더 떨어진 유전체 막의 부분을 증착하는데 채용된다. 특정 실시예들에서, 전체 유전체 막의 증착 동안에 채용된 온도는 변하며 또한 산화제 가스 내의 산소에 대한 질소 비도 증착 공정 동안에 변한다. 이로써, 결과적인 유전체 막의 도펀트 확산 특성들은 막의 두께에 걸쳐서 커진 정도 (exaggerated degree) 로 변할 수 있다.
다양한 실시예들에서, 증착 온도는 CFD 동안에 기판을 홀딩하는 페데스탈 또는 척을 가열 및/또는 냉각시킴으로써 제어된다. 적합한 페데스탈의 실례는 2009년 5월 5일자에 출원된 미국 특허 출원 번호 12/435,890 (공개번호 2009-0277472) 및 2011년 4월 13일자에 출원된 미국 특허 출원 번호 13/086,010에 개시되어 있으며, 이들은 모두 그 전체 내용이 본 명세서에서 참조로서 인용된다.
특정 실시예들에서, 도핑될 기판 표면 상의 디바이스 구조물은 유전체 막 또는 도펀트 프리커서의 증착 이전에 사전처리된다. 일 실례에서, 사전처리는 환원 플라즈마와 같은 플라즈마로의 노출을 포함한다. 이러한 처리는 예를 들어서 도핑될 기판 피처들이 실리콘을 포함할 때에 적절할 수도 있다. 통상적으로, 실리콘은 도펀트의 후속 확산에 대한 장벽 역할을 할 수 있는 자연적 산화물 (native oxide) 을 소량 포함한다. 특정 실시예에서, 유전체 막 증착의 제 1 사이클 이전에, 기판 표면은 수소 함유 플라즈마와 같은 환원 플라즈마로 사전처리되며 이어서 기판 표면은 기상으로 있는 도펀트 프리커서와 접촉한다. 프리커서는 플라즈마 사전처리가 완료된 바로 후에 반응 챔버 내로 전달될 수도 있다. 몇몇 실례들에서, 도펀트 프리커서는 디보란이다. 일반적으로, 도 17에 도시된 공정은 제 1 유전체 증착 사이클 이전에 도펀트 또는 도펀트 프리커서가 기판 표면에 전달되도록 수정될 수도 있다.
다양한 실시예들에서, 부분적으로 형성된 유전체 막 자체가 도펀트 프리커서로의 노출 이전에 플라즈마 또는 다른 활성화 처리를 사용하여서 사전처리된다. 이는 (a) 도펀트 프리커서 노출 이전에 열적 균일성을 제공하고 (b) 유전체 표면에 대한 도펀트 프리커서 점착성을 증가시키기 위해서 유전체 표면을 활성화함으로써 (예컨대, 화학적 및/또는 물리적 조면화 (roughening) 에 의해서) 웨이퍼-내 균일성을 개선시키는 역할을 한다.
특정 다른 실시예들에서, 도펀트 종들의 화학적 조건은 막 증착 공정의 도펀트 프리커서 전달 페이즈 및/또는 활성화 페이즈 동안에 제어된다. 몇몇 실시예들에서, 도펀트 프리커서는 유전체 막 내의 도펀트를 "고정 (fix)" 시키며 이로써 도펀트가 이후에 어닐링 또는 다른 그러한 동작에 의해서 활성화될 때까지 도펀트 확산을 제약하는 방식으로 처리된다. 일 실례에서, 특정 도펀트들은 유전체 막 증착 공정의 도펀트 전달 페이즈 동안에 그들 또는 그들의 프리커서들을 산화시킴으로써 고정된다. 특정 실례에서, 디보란이 유전체 막 내의 결과적인 붕소 함유 재료를 효과적으로 고정시키는 산화 분위기 내에서 고정 챔버로 전달된다. 이와 달리, 도펀트는 불활성 분위기 또는 환원 분위기 내에서 프리커서를 반응 챔버로 전달함으로써 고정되고 이후에 유전체 막 상에 위치하는 동안에 산화 분위기에 노출된다. 이와 반대로, 후속 산화 없이, 환원제를 사용하여서 특정 도펀트 프리커서들을 처리하는 것은 유전체 막 내의 보다 이동성이 있는 도펀트를 생성할 수 있다.
소스 층이 형성된 후에 (또는 그의 형성 동안에), 도펀트 종들은 제조되는 디바이스 내의 인접하는 구조물들 내로 추동되거나 이와 달리 도입된다. 특정 실시예들에서, 도펀트 종들은 컨포멀 도펀트 소스 막이 형성되는 동안에 또는 그 후에 어닐링에 의해서 추동된다. 통상적인 열적 어닐링 이외에, 예를 들어서 플래시 어닐링 (flash annealing) 및 레이저 스파이크 어닐링이 사용될 수도 있다. 어닐링 시간 및 온도는 소스 층 내의 도펀트의 농도, 양 및 타입, 소스 층 기질 (예컨대, 산화물 유리) 의 조성 및 모폴러지 (morphology), 도펀트 종들이 인접하는 디바이스 구조물들 내로 이동해야하는 거리, 디바이스 구조물 내의 도펀트의 목표 농도, 및 디바이스 구조물의 조성 및 모폴러지를 포함하는 다양한 파라미터들에 의존한다. 특정 실시예들에서, 어닐링은 약 2 내지 30 초 동안에 약 900 내지 1100 ℃ 온도에서 수행된다.
다양한 장치들이 본 명세서에서 기술된 도핑된 유전체 막들을 증착하도록 설계된다. 일반적으로, 이 장치들은 도핑된 막의 증착 동안에 기판을 홀딩하기 위한 공정 챔버를 포함할 것이다. 이 공정 챔버는 유전체 프리커서, 산화제, 캐리어 가스 또는 불활성 가스, 도펀트 종들 등을 포함하는 공정 가스를 수용하기 위한 하나 이상의 유입구를 포함할 것이다. 다양한 실시예들에서, 이 장치는 유전체 층들을 생성하기에 적합한 특성들을 갖는 플라즈마를 생성하기 위한 특징부, 도펀트를 유전체 층 내로 도입하기 위한 특징부, 유전체 층의 전기적 특성, 광학적 특성, 기계적 특성 및/또는 화학적 특성을 수정하기 위해 유전체 층을 처리하기 위한 특징부 및 도펀트를 막으로부터 기판 내로 추동시키기 위한 특징부를 더 포함할 것이다. 통상적으로, 이 장치는 진공 펌프 또는 이러한 진공 펌프로의 연결을 위한 부품들을 포함할 것이다. 또한, 이 장치는 본 명세서에서 기술된 도핑된 유전체 증착 동작들의 시퀀스를 달성하도록 이 장치를 제어하도록 구성 또는 설계된 제어기 또는 제어기들을 가질 것이다. 이 제어기는 공정 가스 전달 및 압력 제어를 위한 밸브, 플라즈마를 생성하기 위한 전원, 및 진공 소스를 포함하는 장치의 다양한 특징부들을 제어하기 위한 인스트럭션들을 포함할 수 있다. 이 인스트럭션들은 다양한 동작들의 타이밍 및 시퀀스를 제어할 수 있다. 다양한 실시예들에서, 이 장치는 캘리포니아 산 호세 소재의 Novellus Systems으로부터 입수 가능한 증착 툴 패밀리인 VectorTM에서 제공된다. 도핑된 유전체 막들을 증착하기에 적합한 장치의 다른 특징부들은 본 명세서의 다른 개소들에서 기술된다.
도핑된 CFD 막 특성들
도펀트 종들의 소스 역할을 하는 유전체 막은 다양한 특성들을 가질 것이다. 다양한 실시예들에서, 막 두께는 약 20 내지 200 옹스트롬이다. 몇몇 경우들에서, 예를 들어서 3차원 트랜지스터 구조물의 소스-드레인 연장부의 프론트 엔드 도핑을 위해서, 막 두께는 약 50 내지 100 옹스트롬이다. 유전체 막 내의 도펀트 원자들 (또는 다른 도펀트 종들) 의 평균 농도는 막의 표면적당 도펀트의 총량 및 막 내의 도펀트 원자들의 확산도 및 도핑 애플리케이션 (doping application) 을 포함하는 다양한 인자들에 의존한다. 특정 실시예들에서, 막 내의 도펀트의 농도는 약 0.01 중량 퍼센트 내지 10 중량 퍼센트이다. 다른 실시예들에서, 막 내의 도펀트의 농도는 약 0.1 중량 퍼센트 내지 1 중량 퍼센트이다. 또 다른 실시예들에서, 막 내의 도펀트의 농도는 약 0.5 중량 퍼센트 내지 4 중량 퍼센트이다. 본 명세서에서 설명된 기술들은 넓은 범위에 걸쳐서 예를 들어서 약 0.01 중량 퍼센트 내지 10 중량 퍼센트 간에서 도펀트 농도 조절을 가능하게 한다. 예를 들어서, 붕소 농도는 CFD 유전체 막들 내에서 약 0.1 중량 퍼센트 내지 4.3 중량 퍼센트 간에서 용이하게 조절될 수 있음이 입증되었다. 특정 실시예들에서, 5, 7, 10 및 12 nm CFD 막들이 약 0.1 내지 0.5 wt% 붕소를 갖도록 성장된다.
CFD 도핑된 유전체 막들은 다른 특성들에 의해서 특성화될 수 있다. 예를 들어서, CFD 증착된 막들의 시트 저항 (Rs) 은 약 100 내지 50000 ohms/square 간에서 변할 수 있다. 몇몇 경우들에서, 이러한 값들은 일부 또는 모든 도펀트가 도핑된 CFD 층으로부터 추동된 후에 달성된다. CFD 막으로부터 도펀트를 추동시킴으로써 생성되는 깊은 접합 깊이들 (further junction depth) (예를 들어서 SIMS에 의해서 측정됨) 은 적절하면 약 1000 옹스트롬에 달하는 레벨까지 조절될 수 있다. 물론, 많은 프론트 엔드 디바이스들은 예를 들어서 약 5 내지 50 옹스트롬 범위의 어느 정도로 (rather) 보다 얕은 접합 깊이를 요구하며, 이는 CFD 막들을 사용하여서 달성 가능하다. 실제 접합 깊이는 예를 들어서 계면 도펀트 (예컨대, 붕소) 농도, 벌크 및 계면으로부터 기판 (예컨대, 실리콘) 내로의 도펀트의 이동도, 도펀트를 추동시키는데 사용되는 어닐링의 온도 및 기간을 포함하는 많은 인자들에 의해서 제어될 수 있다.
CFD 도핑 애플리케이션들
유전체 소스 층이 형성되는 기판 표면은 매우 컨포멀한 증착을 요구할 수도 있다. 특정 실례들에서, 유전체 소스 막은 약 1:0.5 내지 1:12 간의 종횡비 (보다 구체적으로, 약 1:1 내지 1:8 간의 종횡비) 를 갖는 피처들을 컨포멀하게 코팅하며 약 60 nm보다 크지 않은 피처 폭들 (보다 구체적으로 약 30 nm보다 크지 않은 피처 폭들) 을 갖는다. 본 명세서에서 기술된 타입의 유전체 소스 층들을 사용하는 도핑은 45 nm 기술 노드 및 이를 뛰어넘는 노드, 예를 들어서 22 nm 기술 노드, 16 nm 기술 노드 등에 따라서 형성된 디바이스들에서 특정 애플리케이션을 찾을 것이다.
CFD 소스 층을 사용하여서 도핑될 수 있는 디바이스 구조물들 중에는 CMOS 소스들 및 드레인들, 소스-드레인 연장 영역들, 메모리 디바이스 내의 커패시터 전극들, 게이트 전극들 등과 같은 통상적인 도핑된 구조물들이 있다. 이러한 방식으로 도핑될 수도 있는 다른 구조물들은 22 나노미터 기술 노드에서 제조되는 몇몇 디바이스들에서 채용되는 몇몇 3차원 게이트 구조물들에서의 것들과 같은 게이트 구조물들 내의 소스/드레인 연장 영역들에서의 접합부들과 같은 비평면형 또는 3차원 구조물들이다. 몇몇 3차원 구조물들은 "Tri-gate (Intel)": J.Kavalieros et al., Symp. VLSI Tech Pg 50, 2006 및 "FinFET": Yamashita et al. (IBM Alliance), VLSI 2011 및 이들 내의 참조 사항들에서 찾을 수 있으며, 이들은 이전에 참조로서 인용되었다.
도핑된 CFD 막들은 집적 회로 제조에서의 다양한 스테이지들에서 사용되는 에칭 가능한 층들을 제공하는 것과 같은 다양한 다른 애플리케이션들을 갖는다. 특정 실시예들에서, 에칭 가능한 층은 조절가능한 습식 에칭 레이트를 갖는 유리 층이며, 여기서 에칭 레이트는 도핑 레벨에 의해서 조절 가능하다. 달리 말하면, 도핑 레벨은 사전 규정된 에칭 레이트를 제공하도록 선택된다. 특정 실시예들에서, 에칭 가능한 층은 인, 붕소, 또는 이들의 조합과 같은 도펀트를 포함하는 실리케이트 유리 층이다.
CFD 도핑 실례들
CFD 붕소 도핑된 실리케이트 유리 (BSG) 막들이 준비되고 복잡한 3차원 게이트 아키텍처 상에서 거의 100 퍼센트 스텝 커버리지를 달성하였다. 유사한 결과들이 인-도핑된 실리케이트 유리 (PSG) 에 대해서도 예상된다. 붕소 또는 인은 도펀트의 컨포멀/균질의 (conformal/homogenous) 언더 확산 (under diffusion) 을 제공하는 후속 어닐링 단계 동안에 상기한 막들로부터 소스 및 드레인 접합부들의 횡형 영역 (lateral region) 및 종형 영역 (vertical region) 내로 추동될 수 있다. 도 20은 CFD BSG/PSG 막을 합성하는데 사용되는 통상적인 증착 블록을 도시한다. CFD 산화물 성장 사이클은 (a) SiO2 프리커서 (BTBAS) 의 포화 도즈, (b) 잔여 프리커서 종들을 제거하는 (flush out) 불활성 퍼지, (c) 산화성 플라즈마 단계 및 (d) 반응 부산물을 제거하는 불활성 가스 퍼지를 포함한다. 이러한 메카니즘은 반응이 자가 제약적이며 (self-limiting) 이러한 막들에서 관측된 우수한 컨포멀성을 개선하는 것을 보장한다. 붕소 또는 인 노출 단계는 CFD 산화물 성장 동안에 주기적으로 삽입되며, 이 산화물 성장 이후에 펌프 및 퍼지 시퀀스가 따르며 선택적으로 필요하다면 RF 피닝/경화 (pinning/cure) 단계 (예컨대, 플라즈마로의 노출) 가 따른다. 이러한 증착 블록은 목표 BSG/PSG 두께에 의해서 요구되는 정도로 다수의 회로 반복된다. 도 20을 참조하면 된다.
붕소 또는 인 노출의 삽입의 빈도가 소정의 온도에서 도펀트 확산 거리를 조절하는 한편, 노출 길이는 총 도펀트 도즈를 제어한다. 이러한 2 가지 강력한 제어 파라미터들은 계면 도펀트 농도를 정확하게 조절하는 다재다능한 합성 방식을 제공한다.
실험들에서, CFD는 BSG 막들에서 우수한 성장 특성을 보였다. CFD BSG 공정은 실리콘 소스로서 BTBAS를 사용하였고, 산화를 위해서 N2O 플라즈마를 사용하였고 붕소 도핑을 위해서 아르곤 내의 5 퍼센트 디보란 (B2H6) 을 사용하였다. 아르곤 및 N2O의 혼합물이 퍼지 가스로서 사용되었다. ~1Å/사이클의 성장 레이트가 도핑되지 않은 CFD 산화물에 대한 결과들과 일관되게 획득되었으며, 이는 붕소 노출 단계의 삽입이 CFD 성장에 악영향을 주지 않음을 입증한다. 250 Å 두께의 CFD BSG 막들은 SEM 사진들에 의해서 보이는 바와 같이 상이한 테스트 구조물들 상에서 거의 완벽한 컨포멀성을 보였다. 이러한 막들에 대한 스텝 커버리지는 밀한 구조물 및 소한 구조물 상에서 ~ 100 퍼센트인 것으로 계산되었다 (도 21). 스텝 커버리지는 동일한 피처의 상단 상에서의 막 두께에 의해서 나누어지는 피처의 측벽 상의 막 두께의 몫으로서 정의된다. 표 7은 막 내의 최종 평균 붕소 농도에 대한 붕소 노출 시간, 붕소 삽입 빈도, 및 성장 온도의 영향들을 나누도록 초기 연구로부터 나누어진 상이한 연구 사항들을 나타낸다. 25X CFD Ox는 붕소 삽입 스테이지마다 25 개의 언도핑된 (undoped) 산화물 사이클이 존재함을 의미한다. 이 샘플은 대략 500 옹스트롬까지 성장하며 따라서 전체 시퀀스는 (CFD 산화물에 대해서 1Å/사이클의 성장 레이트가 주어진다면) 대략 20 회 반복된다. 도 22에 제공된 바와 같은, 이러한 분할된 연구 결과들에 대한 SIMS 데이터는 평균 붕소 농도가 약 0.5 내지 3.5 wt% 붕소 범위 내에서 조절될 수 있고 이는 맞춤된 도핑 옵션들을 실현함을 나타낸다.
표지 증착 조건들
CFDS1 400 ℃/25x CFD Ox + 5s B2H6 노출
CFDS2 400 ℃/25x CFD Ox + 2.5s B2H6 노출
CFDS3 400 ℃/50x CFD Ox + 5s B2H6 노출
CFDS4 350 ℃/25x CFD Ox + 5s B2H6 노출
장치
임의의 적합한 공정 스테이션이 상술된 실시예들 중 하나 이상과 함께 사용될 수 있음이 이해될 것이다. 예를 들면, 도 13은 CFD 공정 스테이션 (1300) 의 실시예를 개략적으로 나타낸다. 간략성을 위해서, CFD 공정 스테이션 (1300) 은 저압 분위기를 유지하기 위한 공정 챔버 바디 (1302) 를 갖는 단독형 공정 스테이션으로서 도시된다. 그러나, 복수의 CFD 공정 스테이션 (1300) 이 공통 저압 분위기 공정 툴 내에 포함될 수 있음이 이해될 것이다. 도 13에 도시된 실시예는 일 공정 스테이션을 포함하지만, 몇몇 실시예들에서, 복수의 공정 스테이션들이 공정 툴 내에 포함될 수 있음이 이해될 것이다. 예를 들면, 도 14는 다중 스테이션 처리 툴 (2400) 의 실시예를 나타낸다. 또한, 몇몇 실시예들에서, 이하에서 상세하게 논의되는 바들을 포함하여 CFD 공정 스테이션 (1300) 의 하나 이상의 하드웨어 파라미터들이 하나 이상의 컴퓨터 제어기들에 의해서 프로그램 방식으로 조절될 수 있다.
CFD 공정 스테이션 (1300) 은 가스 분배 샤워헤드 (1306) 로 공정 가스들을 전달하기 위한 반응물 전달 시스템 (1301) 과 유체 연통한다. 반응물 전달 시스템 (1301) 은 샤워헤드 (1306) 로 전달할 공정 가스들을 혼합 및/또는 컨디셔닝하기 위한 혼합 용기 (1304) 를 포함한다. 하나 이상의 혼합 용기 유입구 밸브 (1320) 는 공정 가스들의 혼합 용기 (1304) 내로의 도입을 제어할 수 있다.
BTBAS와 같은 몇몇 반응물들이 공정 스테이션에서의 기화 및 이로의 후속 전달 이전에 액체 형태로 저장될 수 있다. 예를 들면, 도 13의 실시예는 혼합 용기 (1304) 에 공급될 액체 반응물을 기화 지점 (1303) 을 포함한다. 몇몇 실시예들에서, 기화 지점 (1303) 은 가열된 기화기를 포함할 수 있다. 이러한 기화기로부터 생성된 포화된 반응물 기체는 하류 전달 파이프에서 응축될 수 있다. 양립할 수 없는 가스들이 이 응축된 반응물에 노출되면 작은 입자들이 생성될 수 있다. 이러한 작은 입자들은 파이프를 막히게 하며 밸브 동작을 방해하며 기판을 오염시킨다. 이러한 문제를 해소하기 위한 몇몇 방식들은 잔여 반응물을 제거하도록 전달 파이프를 청소 및/또는 배기하는 것을 포함한다. 그러나, 전달 파이프를 청소하는 바는 공정 스테이션 사이클 시간을 증가시키며 이로써 공정 스테이션 쓰루풋을 저하시킨다. 따라서, 몇몇 실시예들에서, 기화 지점 (1303) 하류에 있는 전달 파이프는 열 추적된다. 몇몇 실례들에서, 혼합 용기 (1304) 도 열 추적될 수 있다. 일 비한정적 실례에서, 기화 지점 (1303) 하류에 있는 전달 파이프는 대략 100 ℃에서 혼합 용기 (1304) 에서의 대략 150 ℃로 확장되는 증가하는 온도 프로파일을 가질 수 있다.
몇몇 실시예들에서, 반응물 액체는 액체 주입기에서 기화될 수 있다. 예를 들면, 액체 주입기는 혼합 용기의 상류에 있는 캐리어 가스 스트림 내로 액체 반응물의 펄스들을 주입할 수 있다. 일 시나리오에서, 액체 주입기는 이 액체를 고압에서 저압으로 플래싱 (flashing) 함으로써 반응물을 기화시킬 수 있다. 다른 시나리오에서, 액체 주입기는 액체를 미세 액적으로 미세화하며 (atmoize) 이 미세 액적은 이후에 가열된 전달 파이프 내에서 기화될 수 있다. 보다 작은 액적이 보다 큰 액적보다 신속하게 기화되어서 액체 주입과 완전 기화 간의 지연을 저감시킬 수 있음이 이해될 것이다. 신속한 기화는 기화 지점 (13023) 으로부터의 하류의 파이프의 길이를 줄일 수 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (1304) 에 직접적으로 탑재될 수 있다. 다른 시나리오에서, 액체 주입기는 샤워헤드 (1306) 에 직접적으로 탑재될 수 있다.
샤워헤드 (1306) 및 페데스탈 (1308) 은 플라즈마에 전력을 공급하는 RF 전원 (1314) 및 매칭 네트워크 (1316) 와 전기적으로 접속된다. 몇몇 실시예들에서, 플라즈마 에너지가 공정 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수 있다. 예를 들면, RF 전원 (1314) 및 매칭 네트워크 (1316) 는 라디칼 종들의 목표 조성을 갖는 플라즈마를 형성하도록 임의의 적합한 전력에서 동작될 수 있다. 적합한 전력의 실례는 다음으로 한정되지 않지만 300 mm 웨이퍼의 경우에 100 W 내지 5000 W를 포함한다. 마찬가지로, RF 전원 (1314) 은 임의의 적합한 주파수의 RF 전력을 제공할 수 있다. 몇몇 실시예들에서, RF 전원 (1314) 은 고주파수 전력 소스 및 저주파수 RF 전력 소스를 서로 독립적으로 제어하도록 구성될 수 있다. 저주파수 RF 전력 소스의 저주파수 실례는 다음으로 한정되지 않지만 50 내지 500 kHz의 주파수들을 포함한다. 고파수 RF 전력 소스의 고주파수 실례는 다음으로 한정되지 않지만 1.8 내지 2.45 MHz의 주파수들을 포함한다. 임의의 적합한 파라미터들이 표면 반응들을 위한 플라즈마 에너지를 제공하도록 이산적으로 또는 연속적으로 조절될 수 있음이 이해될 것이다. 일 비한정적 실례에서, 플라즈마 전력은 연속적으로 전력이 공급되는 플라즈마에 비해서 기판 표면과의 이온 충돌이 감소하도록 단속적으로 펄싱될 수 있다.
몇몇 실시예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해서 인-시츄 모니터링될 수 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전류 및 전압 센서들 (예를 들어서, VI 프로브들) 에 의해서 모니터링될 수 있다. 다른 시나리오에서, 플라즈마 밀도 및/또는 공정 가스 농도가 하나 이상의 OES (optical emission spectroscopy) 센서들에 의해서 측정될 수 있다. 몇몇 실시예들에서, 하나 이상의 플라즈마 파라미터들이 이러한 인-시츄 플라즈마 모니터들로부터의 측정치들에 기초하여서 프로그램 방식으로 조절될 수 있다. 예를 들면, OES 센서가 플라즈마 전력의 프로그램 방식의 제어 (programmatic control) 를 제공하기 위한 피드백 루프 내에 사용될 수 있다. 몇몇 실시예들에서, 플라즈마 및 다른 공정 특성들을 모니터링하기 위해서 다른 모니터들이 사용될 수 있다. 이러한 모니터들은 다음으로 한정되지 않지만 적외선 모니터, 음향 모니터, 및 압력 트랜스듀서를 포함할 수 있다.
몇몇 실시예들에서, 페데스탈 (1308) 은 가열기 (1310) 를 통해서 온도가 제어될 수 있다. 또한, 몇몇 실시예들에서, CFD 공정 스테이션 (1300) 의 압력 제어는 버터플라이 밸브 (1318) 에 의해서 제공될 수 있다. 도 13의 실시예에서 도시된 바와 같이, 버터플라이 밸브 (1318) 는 하류 진공 펌프 (미도시) 에 의해서 제공된 진공을 쓰로틀한다 (throttle). 그러나, 몇몇 실시예들에서, CFD 공정 스테이션 (1300) 의 압력 제어는 CFD 공정 스테이션 (1300) 에 도입된 하나 이상의 가스의 플로우 레이트를 가변시킴으로써 조절될 수도 있다.
상술한 바와 같이, 하나 이상의 공정 스테이션들은 다중 스테이션 처리 툴 내에 포함될 수 있다. 도 14는 인바운드 로드 락 (inbound load lock) (2402) 및 아웃바운드 로드 락 (2404) 을 구비한 다중 스테이션 처리 툴 (2400) 의 실시예의 개략도이며, 인바운드 로드 락 (inbound load lock) (2402) 및 아웃바운드 로드 락 (2404) 중 어느 하나 또는 모두는 원격 플라즈마 소스를 포함할 수 있다. 대기 압력에 있는 로봇 (2406) 은 웨이퍼를 포드 (2408) 를 통해서 로딩된 카세트로부터 대기 (atmospheric) 포트 (2410) 를 통해서 인바운드 로드 락 (2402) 내로 이동시키도록 구성된다. 웨이퍼는 로봇 (2406) 에 의해서 인바운드 로드 락 (2402) 내의 페데스탈 (2412) 상으로 배치되고 대기 포트 (2410) 가 폐쇄되고 이어서 상기 로드 락이 펌핑 다운될 수 있다. 인바운드 로드 락 (2402) 이 원격 플라즈마 소스를 포함하면, 웨이퍼는 처리 챔버 (2414) 내로 도입되기 이전에 이 로드 락 내에서 원격 플라즈마 처리에 노출될 수 있다. 또한, 웨이퍼는 예를 들어서 습기 및 흡입 가스를 제거하기 위해서 인바운드 로드 락 (2402) 내에서 가열될 수 있다. 이어서, 처리 챔버 (2414) 내로의 챔버 전송 포트 (2416) 가 개방되고 다른 로봇 (미도시) 이 웨이퍼를 처리 챔버 (2414) 내에서 이후 처리를 수행할 반응기 내에 도시된 제 1 스테이션의 페데스탈 상으로 배치시킬 수 있다. 도 14에 도시된 실시예들은 로드 락들을 포함하지만, 몇몇 실시예들에서, 웨이퍼는 공정 스테이션 내로 바로 도입될 수도 있다.
도시된 처리 챔버 (2414) 는 도 14에 도시된 실시예에서는 1 내지 4로 넘버링된 4 개의 공정 스테이션을 포함한다. 각 스테이션은 가열된 또는 가열되지 않은 페데스탈 (스테이션 (1) 의 경우에 참조 번호 (2418) 로 도시됨) 및 가스 라인 유입구를 가질 수 있다. 몇몇 실시예들에서, 각 공정 스테이션은 상이한 또는 복수의 목적을 가질 수 있음이 이해될 것이다. 예를 들어서, 몇몇 실시예들에서, 공정 스테이션은 CFD 공정 모드와 PECVD 공정 모드 간에서 스위칭될 수 있다. 추가적으로 또는 이와 달리, 몇몇 실시예들에서, 처리 챔버 (2414) 는 하나 이상의 매칭된 CFD 공정 스테이션 및 PECVD 공정 스테이션 쌍을 포함할 수 있다. 도시된 처리 챔버 (2414) 는 4 개의 스테이션을 포함하지만, 본 개시에 따른 처리 챔버는 임의의 적합한 개수의 스테이션을 포함할 수 있다. 예를 들어서, 몇몇 실시예들에서, 처리 챔버는 5 개 이상의 스테이션을 포함하는 한편, 다른 실시예들에서는, 처리 챔버는 3 개 이하의 스테이션을 포함할 수 있다.
도 14는 프로세싱 챔버 (2414) 내에서 웨이퍼를 전달하기 위한 웨이퍼 핸들링 시스템 (2490) 을 또한 도시하고 있다. 몇몇 실시예들에서, 이 웨이퍼 핸들링 시스템 (2490) 은 다양한 공정 스테이션들 간 및/또는 공정 스테이션과 로드 락 간에 웨이퍼를 전달할 수 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수 있다. 비한정적 실례들은 웨이퍼 캐루셀 (wafer carousel) 및 웨이퍼 핸들링 로봇을 포함할 수 있다. 도 14는 프로세싱 툴 (2400) 의 공정 조건 및 하드웨어 상태를 제어하기 위해서 사용된 시스템 제어기 (2450) 를 또한 도시하고 있다. 이 시스템 제어기 (2450) 는 하나 이상의 메모리 장치 (2456), 하나 이상의 저장 장치 (2454) 및 하나 이상의 프로세서 (2452) 를 포함할 수 있다. 이 프로세서 (2452) 는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입출력 접속부, 스텝퍼 모터 제어기 보드 (stepper motor controller board) 등을 포함할 수 있다.
몇몇 실시예들에서, 시스템 제어기 (2450) 는 공정 툴 (2400) 의 모든 동작을 제어한다. 시스템 제어기 (2450) 는 대용량 저장 장치 (2454) 내에 저장되고 메모리 장치 (2456) 로 로딩되어서 프로세서 (2452) 에 의해서 실행되는 시스템 제어 소프트웨어 (2458) 를 실행한다. 시스템 제어 소프트웨어 (2458) 는 공정 툴 (2400) 에 의해서 수행되는 특정 공정의 타이밍, 가스 혼합, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 목표 전력 레벨, RF 전력 레벨, 기판 페데스탈, 척 및/또는 서스셉터 (susceptor) 위치 및 다른 파라미터를 제어하기 위한 인스트럭션들을 포함할 수 있다. 시스템 제어 소프트웨어 (2458) 는 임의의 적합한 방식으로 구성될 수 있다. 예를 들어서, 다양한 공정 툴의 공정를 수행하기 위해서 필요한 공정 툴 구성 요소들의 동작을 제어하기 위해서 다양한 공정 툴 구성 요소 서브루틴 또는 제어 객체가 기록될 수 있다. 시스템 제어 소프트웨어 (2458) 는 임의의 적합한 컴퓨터 판독 가능한 프로그래밍 언어로 코딩될 수 있다.
몇몇 실시예들에서, 시스템 제어 소프트웨어 (2458) 는 상술된 다양한 파라미터들을 제어하기 위한 인스트럭션들을 시퀀싱하는 IOC (input/output control) 를 포함할 수 있다. 예를 들어서, CFD 공정의 각 페이즈 (phase) 는 시스템 제어기 (2450) 에 의해서 실행되는 하나 이상의 인스트럭션을 포함할 수 있다. CFD 공정 페이즈에 대한 공정 조건을 설정하기 위한 인스트럭션들이 대응하는 CFD 레시피 페이즈에 포함될 수 있다. 몇몇 실시예들에서, CFD 공정 페이즈에 대한 모든 인스트럭션들이 해당 공정 페이즈와 동시에 실행되도록 CFD 레시피 페이즈들이 순차적으로 구성될 수 있다.
시스템 제어기 (2450) 와 연관된 대용량 저장 장치 (2454) 및/또는 메모리 장치 (2456) 상에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램이 다른 실시예들에서 사용될 수 있다. 이러한 목적을 위한 프로그램 또는 프로그램 섹션의 실례는 기판 포지셔닝 프로그램, 공정 가스 제어 프로그램, 압력 제어 프로그램, 가열기 제어 프로그램 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 기판을 페데스탈 (2418) 상에 로딩하고 이 기판과 공정 툴 (2400) 의 다른 요소 간의 이격 정도를 제어하도록 사용되는 프로세트 툴 구성 요소들에 대한 프로그램 코드를 포함할 수 있다.
공정 가스 제어 프로그램은 가스 성분 및 플로우 레이트를 제어하고 선택 사양적으로는 공정 스테이션 내의 압력을 안정화시키기 위해서 증착 이전에 가스를 하나 이상의 공정 스테이션 내로 유입시키기 위한 코드를 포함할 수 있다. 압력 제어 프로그램은 공정 스테이션 내로의 가스 플로우 또는 공정 스테이션의 배기 시스템 내의 쓰로틀 밸브를 조절함으로써 공정 스테이션 내의 압력을 제어하기 위한 코드를 포함할 수 있다.
가열기 제어 프로그램은 기판을 가열하는데 사용되는 가열부로의 전류를 제어하기 위한 코드를 포함할 수 있다. 이와 달리, 가열기 제어 프로그램은 기판으로의 열 전달 가스 (가령, 헬륨) 의 공급을 제어할 수 있다.
플라즈마 제어 프로그램은 하나 이상의 공정 스테이션 내의 공정 전극들에 인가되는 RF 전력 레벨을 설정하기 위한 코드를 포함할 수 있다.
몇몇 실시예들에서, 시스템 제어기 (2450) 와 연관된 사용자 인터페이스가 존재할 수 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 공정 상태의 그래픽 소프트웨어 디스플레이 및 포인팅 장치, 키보드, 터치 스크린, 마이클폰 등과 같은 사용자 입력 장치를 포함할 수 있다.
몇몇 실시예들에서, 시스템 제어기 (2450) 에 의해서 조절되는 파라미터들은 공정 조건과 관련될 수 있다. 비한정적 실례들은 공정 가스 성분 및 플로우 레이트, 온도, 압력, (RF 바이어스 전력 레벨과 같은) 플라즈마 조건, 압력, 온도, 등을 포함할 수 있다. 이러한 파라미터들은 사용자 인터페이스를 사용하여서 입력될 수 있는 레시피의 형태로 해서 사용자에게 제공될 수 있다.
이 공정를 모니터링하기 위한 신호가 다양한 공정 툴 센서로부터 시스템 제어기 (2450) 의 아날로그 및/또는 디지털 입력 접속부들에 의해서 제공될 수 있다. 공정를 제어하기 위한 신호는 공정 툴 (2400) 의 아날로그 출력 접속부 및 디지털 출력 접속부 상에 출력될 수 있다. 이러한 공정 툴 센서들의 비한정적 실례들은 대량 플로우 제어기, (마노미터와 같은) 압력 센서, 써모커플 등을 포함할 수 있다. 적절하게 프로그램된 피드백 알고리즘 및 제어 알고리즘이 이러한 센서들로부터의 데이터와 함께 사용되어서 공정 조건들을 유지 관리할 수 있다.
시스템 제어기 (2450) 는 다양한 반도체 가공 공정를 구현하기 위한 프로그램 인스트럭션들을 제공할 수 있다. 이러한 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도 등과 같은 다양한 공정 파라미터들을 제어할 수 있다. 이 인스트럭션들은 본 명세서에서 기술된 다양한 실시예들에 따른 막 스택의 인-시츄 증착을 동작시키도록 이러한 파라미터들을 제어할 수 있다.
본 명세서에서 상술한 장치 및/또는 공정는 예를 들어서 반도체 장치, 디스플레이, LED, 광전 패널 등의 제조 또는 가공을 위한 리소그래피 패터닝 툴 또는 공정와 함께 사용될 수 있다. 통상적으로, 이러한 툴 또는 공정은 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 사용 또는 수행될 수 있다. 막 리소그래피 패터닝은 통상적으로 각각 복수의 가능한 툴을 사용하여서 실현되는 다음의 동작들 중 몇몇 또는 모두를 포함하며, 이 동작들은 (1) 스핀 온 또는 스프레이 온 툴을 사용하여서 웨이퍼와 같은 작업 대상에 포토레지스트를 도포하는 동작, (2) 고온 플레이트 퍼니스 또는 UV 경화 툴을 사용하여서 포토레지스트를 경화하는 동작, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여서 포토레지스트를 가시광선 또는 자외선 또는 x 선 광에 노출시키는 동작, (4) 습식 벤치 (wet bench) 와 같은 툴을 사용하여서 레지스트를 선택적으로 제거하여서 이를 패터닝하도록 상기 포토레지스트를 현상하는 동작, (5) 건식 또는 플라즈마 보조 에칭 툴을 사용하여서 상기 레지스트 패턴을 그 아래의 막 또는 작업 대상에 전사하는 동작 및 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 탈피기 (stripper) 와 같은 툴을 사용하여서 포토레지스트를 제거하는 동작을 포함할 수 있다.
본 명세서에서 기술된 구성들 및/또는 방식들은 본질상 예시적이며 이러한 특정 실시예들 또는 실례들은 한정적으로 해석되지 말아야 하며 다수의 변형들이 가능함이 이해되어야 한다. 본 명세서에서 기술된 특정 방법들 또는 루틴들은 임의의 개수의 처리 전략들 중 하나 이상을 나타낼 수 있다. 따라서, 예시된 다양한 동작들은 예시된 순서로, 다른 순서로, 병렬도 또는 몇몇 경우에는 생략되어서 수행될 수 있다. 마찬가지로, 상술된 공정들의 순서는 변화될 수 있다.
본 개시의 주제 대상은 본 명세서에서 개시된 다양한 공정들, 시스템들, 구성들, 다른 특징들, 기능들, 동작들 및/또는 특성들 및 이들의 임의의 모든 균등 사항들의 모든 새롭고 비자명한 조합들 및 하위 조합들을 포함한다.

Claims (42)

  1. 반응 챔버 내에서 기판 표면 상에 막을 증착하는 방법에 있어서,
    (a) 제 1 반응물이 상기 기판 표면 상으로 흡착되게 하는 조건들 하에서 상기 제 1 반응물을 상기 반응 챔버 내로 도입시키는 단계;
    (b) 상기 제 1 반응물이 상기 기판 표면 상에 흡착된 동안에 상기 반응 챔버 내에 제 2 반응물을 도입시키는 단계;
    (c) 상기 막의 일부를 형성하도록 상기 기판 표면 상의 상기 제 1 반응물과 상기 제 2 반응물 간의 반응을 일으키게 상기 기판 표면을 플라즈마에 노출시키는 단계;
    (d) 상기 단계 (a) 내지 상기 단계 (c) 를 적어도 1 회 반복하는 단계;
    (e) 상기 단계 (a) 내지 상기 단계 (d) 동안에 도입되지 않은 도펀트 함유 재료가 상기 막의 노출된 표면과 접촉되게 하는 조건들 하에서 상기 도펀트 함유 재료를 상기 반응 챔버 내로 도입시키는 단계; 및
    (f) 상기 도펀트 함유 재료로부터 도펀트를 상기 막 내로 도입시키는 단계를 포함하는, 막 증착 방법.
  2. 제 1 항에 있어서,
    (g) 상기 단계 (e) 또는 상기 단계 (f) 후에 상기 단계 (a) 내지 상기 단계 (c) 를 반복하는 단계를 더 포함하는, 막 증착 방법.
  3. 제 1 항에 있어서,
    (g) 상기 단계 (a) 내지 상기 단계 (e) 를 반복하는 단계를 더 포함하는, 막 증착 방법.
  4. 제 1 항에 있어서,
    상기 단계 (a) 내지 상기 단계 (c) 동안에 증착된 막의 양은 0.5 내지 1 옹스트롬인, 막 증착 방법.
  5. 제 1 항에 있어서,
    상기 막으로부터의 상기 도펀트를 상기 막이 상주하는 상기 기판 표면의 피처들 내로 추동시키는 (driving) 단계를 더 포함하는, 막 증착 방법.
  6. 제 5 항에 있어서,
    상기 막으로부터의 상기 도펀트를 추동시키는 단계는 상기 막을 어닐링하는 단계를 포함하는, 막 증착 방법.
  7. 제 5 항에 있어서,
    상기 막은 상기 기판 표면의 3차원 피처 상에 상주하며,
    상기 막으로부터의 상기 도펀트를 추동시키는 단계는 상기 피처 내로의 상기 도펀트의 컨포멀 확산 (conformal diffusion) 을 제공하는, 막 증착 방법.
  8. 제 7 항에 있어서,
    상기 피처는 40 나노미터보다 크지 않은 폭을 갖는, 막 증착 방법.
  9. 제 1 항에 있어서,
    상기 기판 표면을 플라즈마에 노출시키는 단계 이전에 상기 반응 챔버로부터 상기 제 2 반응물을 퍼지 (purge) 하는 단계를 더 포함하는, 막 증착 방법.
  10. 제 9 항에 있어서,
    상기 퍼지하는 단계는 산화제를 포함하는 가스를 상기 반응 챔버 내로 흐르게 하는 단계를 포함하는, 막 증착 방법.
  11. 제 1 항에 있어서,
    상기 제 1 반응물 및 상기 제 2 반응물은 상기 반응 챔버 내에 기상 (vapor phase) 으로 공존하며,
    상기 제 1 반응물 및 상기 제 2 반응물은 상기 단계 (c) 에서 플라즈마에 노출될 때까지 상기 반응 챔버 내에서 인식할 수 있을 정도로 (appreciably) 서로 반응하지 않는, 막 증착 방법.
  12. 제 1 항에 있어서,
    상기 도펀트를 상기 막 내로 도입시키는 단계는 상기 도펀트 함유 재료를 플라즈마에 노출시키는 단계를 포함하는, 막 증착 방법.
  13. 제 1 항에 있어서,
    상기 제 1 반응물은 산화제인, 막 증착 방법.
  14. 제 13 항에 있어서,
    상기 산화제는 아산화질소인, 막 증착 방법.
  15. 제 1 항에 있어서,
    상기 제 2 반응물은,
    SiHx(NR2)4-x이며 x = 1 내지 3이며 R은 알킬 그룹들을 포함하는 알킬아미노 실란들 (alkylamino silanes); 및
    SiHxY4-x이며 x = 1 내지 3이며 Y 는 Cl, Br, 및 I를 포함하는 할로실란들 (halosilanes) 로 구성된 그룹으로부터 선택되는, 막 증착 방법.
  16. 제 1 항에 있어서,
    상기 제 2 반응물은 BTBAS인, 막 증착 방법.
  17. 제 1 항에 있어서,
    상기 도펀트 함유 재료는 포스핀, 아르신, 알킬보란들, 알킬 갈란들 (gallanes), 알킬포스핀들, 할로겐화 인들 (phosphorus halides), 할로겐화 비소들 (arsenic halides), 할로겐화 갈륨들 (gallium halides), 할로겐화 붕소들 (boron halides), 알킬보란들 (alkylboranes) 및 디보란으로 구성된 그룹으로부터 선택되는, 막 증착 방법.
  18. 제 1 항에 있어서,
    상기 막은 유전체 막인, 막 증착 방법.
  19. 제 1 항에 있어서,
    총 막 두께는 10 내지 100 옹스트롬인, 막 증착 방법.
  20. 제 1 항에 있어서,
    상기 막 내의 도펀트의 농도는 0.01 내지 10 중량 퍼센트인, 막 증착 방법.
  21. 제 1 항에 있어서,
    상기 기판 표면으로 포토레지스트를 도포하는 단계;
    상기 포토레지스트를 노광시키는 단계;
    상기 포토레지스트를 패터닝하고 패턴을 상기 기판 표면으로 전사하는 단계; 및
    상기 기판 표면으로부터 상기 포토레지스트를 선택적으로 제거하는 단계를 더 포함하는, 막 증착 방법.
  22. 반응 챔버 내에서 기판 표면 상에 유전체 막을 증착하는 방법에 있어서,
    (a) 산화제가 상기 기판 표면 상으로 흡착되게 하는 조건들 하에서 상기 산화제를 상기 반응 챔버 내로 흐르게 하는 동작;
    (b) 상기 산화제가 상기 반응 챔버로 계속 흐르는 동안에 상기 반응 챔버 내에 유전체 프리커서를 도입시키는 동작;
    (c) 상기 유전체 막의 일부를 형성하도록 상기 기판 표면 상의 상기 산화제와 상기 유전체 프리커서 간의 반응을 일으키게 상기 기판 표면을 플라즈마에 노출시키는 동작;
    (d) 상기 동작 (a) 내지 상기 동작 (c) 동안에 도입되지 않은 도펀트 함유 재료가 상기 유전체 막의 노출된 표면과 접촉되게 하는 조건들 하에서 상기 도펀트 함유 재료를 상기 반응 챔버 내로 도입시키는 동작; 및
    (e) 상기 도펀트 함유 재료로부터 도펀트를 상기 유전체 막 내로 포함시키는 동작을 포함하는, 유전체 막 증착 방법.
  23. 제 22 항에 있어서,
    상기 유전체 프리커서는 BTBAS인, 유전체 막 증착 방법.
  24. 제 22 항에 있어서,
    상기 도펀트를 상기 유전체 막으로부터 상기 기판 내로 추동시키는 동작을 더 포함하는, 유전체 막 증착 방법.
  25. 제 22 항에 있어서,
    상기 동작 (a) 내지 상기 동작 (c) 가 반복되는, 유전체 막 증착 방법.
  26. 제 25 항에 있어서,
    상기 산화제는 상기 동작 (a) 가 처음 수행되는 때에 질소에 대한 산소의 제 1 비를 포함하며,
    상기 산화제는 상기 동작 (a) 가 반복되는 때에 질소에 대한 산소의 제 2 비를 포함하며,
    상기 제 2 비는 상기 제 1 비보다 작은, 유전체 막 증착 방법.
  27. 제 26 항에 있어서,
    상기 산화제는 상기 동작 (a) 가 처음 수행되는 때에 원소 산소 (elemental oxygen) 를 포함하며,
    상기 산화제는 상기 동작 (a) 가 반복되는 때에 아산화질소를 포함하는, 유전체 막 증착 방법.
  28. 제 25 항에 있어서,
    상기 기판은 상기 동작 (c) 가 처음 수행되는 때에 제 1 온도에 있으며,
    상기 기판은 상기 동작 (c) 가 반복되는 때에 제 2 온도에 있으며,
    상기 제 2 온도는 상기 제 1 온도보다 높은, 유전체 막 증착 방법.
  29. 제 22 항에 있어서,
    상기 동작 (a) 이전에 상기 기판 표면을 상기 도펀트 함유 재료와 접촉시키는 동작을 더 포함하는, 유전체 막 증착 방법.
  30. 반응 챔버 내에서 기판 표면 상에 유전체 막을 증착하는 방법에 있어서,
    (a) 유전체 프리커서가 상기 기판 표면 상으로 흡착되게 하는 조건들 하에서 상기 유전체 프리커서를 상기 반응 챔버 내로 도입시키는 단계;
    (b) 이후에, 상기 유전체 프리커서가 상기 기판 표면 상에 흡착된 상태에서 상기 반응 챔버로부터 상기 유전체 프리커서를 퍼지하는 단계;
    (c) 상기 유전체 막의 일부를 형성하도록 상기 기판 표면 상의 상기 유전체 프리커서의 반응을 일으키게 상기 기판 표면을 플라즈마에 노출시키는 단계; 및
    (d) 상기 단계 (a) 내지 상기 단계 (c) 동안에 도입되지 않은 도펀트 프리커서가 상기 유전체 막의 일부와 접촉되게 하는 조건들 하에서 상기 도펀트 프리커서를 상기 반응 챔버 내로 도입시키는 단계를 포함하는, 유전체 막 증착 방법.
  31. 제 30 항에 있어서,
    상기 단계 (a) 내지 상기 단계 (c) 이전 및 동안에 산화제를 상기 반응 챔버 내로 흐르게 하는 단계를 더 포함하는, 유전체 막 증착 방법.
  32. 제 30 항에 있어서,
    (e) 상기 유전체 막 내로 도펀트를 도입하도록 상기 도펀트 프리커서를 반응시키는 단계를 더 포함하는, 유전체 막 증착 방법.
  33. 기판 표면 상에 도핑된 막을 증착하기 위한 장치에 있어서,
    상기 도핑된 막의 증착 동안에 기판을 홀딩하기 위한 디바이스를 포함하는 반응 챔버;
    상기 반응 챔버에 연결된 하나 이상의 프로세스 가스 유입구들; 및
    상기 장치로 하여금 동작들을 수행하게 하도록 구성되거나 설계된 제어기를 포함하며,
    상기 동작들은,
    (a) 제 1 반응물이 상기 기판 표면 상으로 흡착되게 하는 조건들 하에서 상기 제 1 반응물을 상기 반응 챔버 내로 도입시키는 동작;
    (b) 상기 제 1 반응물이 상기 기판 표면 상에 흡착된 동안에 상기 반응 챔버 내에 제 2 반응물을 도입시키는 동작;
    (c) 상기 도핑된 막의 일부를 형성하도록 상기 기판 표면 상의 상기 제 1 반응물과 상기 제 2 반응물 간의 반응을 일으키게 상기 기판 표면을 플라즈마에 노출시키는 동작;
    (d) 상기 동작 (a) 내지 상기 동작 (c) 를 적어도 1 회 반복하는 동작;
    (e) 상기 동작 (a) 내지 상기 동작 (d) 동안에 도입되지 않은 도펀트 함유 재료가 상기 도핑된 막의 노출된 표면과 접촉되게 하는 조건들 하에서 상기 도펀트 함유 재료를 상기 반응 챔버 내로 도입시키는 동작; 및
    (f) 상기 도펀트 함유 재료로부터 도펀트를 상기 도핑된 막 내로 도입시키는 동작을 포함하는, 막 증착 장치.
  34. 제 33 항에 있어서,
    상기 제어기는 상기 장치로 하여금 상기 동작 (a) 내지 상기 동작 (d) 이전 및 동안에 산화제를 상기 반응 챔버 내로 흐르게 하도록 더 설계되거나 구성되는, 막 증착 장치.
  35. 제 33 항에 있어서,
    상기 제어기는, (g) 상기 동작 (e) 또는 상기 동작 (f) 후에 상기 동작 (a) 내지 상기 동작 (c) 를 반복하는 동작이 발생하게 더 설계되거나 구성되는, 막 증착 장치.
  36. 제 33 항에 있어서,
    상기 제어기는, (g) 상기 도핑된 막으로부터의 상기 도펀트를 상기 도핑된 막이 상주하는 상기 기판 표면의 피처들 내로 추동시키는 (driving) 동작이 발생하게 더 설계되거나 구성되는, 막 증착 장치.
  37. 제 36 항에 있어서,
    상기 도핑된 막으로부터 상기 도펀트를 추동시키는 동작은 상기 도핑된 막을 어닐링하는 동작을 포함하는, 막 증착 장치.
  38. 제 33 항에 있어서,
    상기 제어기는 상기 기판 표면을 플라즈마에 노출시키기 이전에 상기 제 2 반응물을 상기 반응 챔버로부터 퍼지시키도록 더 설계되거나 구성되는, 막 증착 장치.
  39. 제 38 항에 있어서,
    상기 퍼지는 산화제를 포함하는 가스를 상기 반응 챔버 내로 흐르게 하는 동작을 포함하는, 막 증착 장치.
  40. 제 33 항에 있어서,
    상기 제어기는 상기 동작 (e) 가 상기 동작 (a) 내지 상기 동작 (d) 의 하나 이상의 반복들 간의 인터벌들에서 수행되게 하도록 더 설계되거나 구성되며,
    상기 인터벌들은 상기 도핑된 막을 증착하는 과정에 걸쳐서 변하는, 막 증착 장치.
  41. 제 33 항에 기재된 장치 및 스텝퍼를 포함하는 시스템.
  42. 제 30 항에 있어서,
    상기 유전체 프리커서는 실리콘 함유 프리커서인, 유전체 막 증착 방법.
KR1020197012231A 2011-09-23 2012-08-29 플라즈마 활성화된 컨포멀 유전체 막 증착 KR102084901B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/242,084 2011-09-23
US13/242,084 US8637411B2 (en) 2010-04-15 2011-09-23 Plasma activated conformal dielectric film deposition
PCT/US2012/052769 WO2013043330A1 (en) 2011-09-23 2012-08-29 Plasma activated conformal dielectric film deposition

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020147010949A Division KR101975071B1 (ko) 2011-09-23 2012-08-29 플라즈마 활성화된 컨포멀 유전체 막 증착

Publications (2)

Publication Number Publication Date
KR20190049906A true KR20190049906A (ko) 2019-05-09
KR102084901B1 KR102084901B1 (ko) 2020-03-05

Family

ID=47914754

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020197012231A KR102084901B1 (ko) 2011-09-23 2012-08-29 플라즈마 활성화된 컨포멀 유전체 막 증착
KR1020147010949A KR101975071B1 (ko) 2011-09-23 2012-08-29 플라즈마 활성화된 컨포멀 유전체 막 증착

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020147010949A KR101975071B1 (ko) 2011-09-23 2012-08-29 플라즈마 활성화된 컨포멀 유전체 막 증착

Country Status (6)

Country Link
JP (2) JP6199292B2 (ko)
KR (2) KR102084901B1 (ko)
CN (2) CN107342216B (ko)
SG (2) SG11201400633RA (ko)
TW (3) TWI682459B (ko)
WO (1) WO2013043330A1 (ko)

Families Citing this family (326)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
WO2014097280A1 (en) * 2012-12-21 2014-06-26 Prasad Narhar Gadgil Methods of low temperature deposition of ceramic thin films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9620502B2 (en) * 2013-04-10 2017-04-11 Samsung Electronics Co., Ltd. Semiconductor device including an extended impurity region
JP5998101B2 (ja) 2013-05-24 2016-09-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9606519B2 (en) 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9685325B2 (en) * 2014-07-19 2017-06-20 Applied Materials, Inc. Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10242848B2 (en) * 2014-12-12 2019-03-26 Lam Research Corporation Carrier ring structure and chamber systems including the same
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
FI126970B (en) 2014-12-22 2017-08-31 Picosun Oy Atomic layer cultivation in which the first and second species of source materials are present simultaneously
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9828672B2 (en) * 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
KR102317440B1 (ko) * 2015-05-27 2021-10-26 주성엔지니어링(주) 반도체 소자의 제조 방법
US9406544B1 (en) * 2015-06-12 2016-08-02 Lam Research Corporation Systems and methods for eliminating seams in atomic layer deposition of silicon dioxide film in gap fill applications
WO2016205196A2 (en) * 2015-06-16 2016-12-22 Air Products And Chemicals, Inc. Halidosilane compounds and compositions and processes for depositing silicon-containing films using same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9721887B2 (en) * 2015-08-19 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd Method of forming metal interconnection
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9909214B2 (en) * 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6509095B2 (ja) * 2015-11-04 2019-05-08 東京エレクトロン株式会社 窒化膜の形成方法
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9997351B2 (en) * 2015-12-08 2018-06-12 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for filling a cavity using angled ion beam
US9627221B1 (en) * 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10319583B2 (en) * 2016-03-13 2019-06-11 Applied Materials, Inc. Selective deposition of silicon nitride films for spacer applications
JP6540571B2 (ja) * 2016-03-24 2019-07-10 豊田合成株式会社 半導体装置の製造方法及び半導体装置
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US9725302B1 (en) * 2016-08-25 2017-08-08 Applied Materials, Inc. Wafer processing equipment having exposable sensing layers
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US9768034B1 (en) * 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR102241937B1 (ko) * 2016-11-25 2021-04-20 주식회사 원익아이피에스 반도체 소자의 갭필 방법
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6857522B2 (ja) 2017-03-17 2021-04-14 株式会社日本製鋼所 成膜方法および電子装置の製造方法並びにマスク保持体
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
WO2018204709A1 (en) 2017-05-05 2018-11-08 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10516100B2 (en) 2017-06-12 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon oxynitride based encapsulation layer for magnetic tunnel junctions
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP6869141B2 (ja) 2017-08-09 2021-05-12 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102470206B1 (ko) * 2017-10-13 2022-11-23 삼성디스플레이 주식회사 금속 산화막의 제조 방법 및 금속 산화막을 포함하는 표시 소자
US20190119815A1 (en) * 2017-10-24 2019-04-25 Applied Materials, Inc. Systems and processes for plasma filtering
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP2021506126A (ja) 2017-12-07 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation チャンバ調整における耐酸化保護層
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US20200003937A1 (en) * 2018-06-29 2020-01-02 Applied Materials, Inc. Using flowable cvd to gap fill micro/nano structures for optical components
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
CN112513320A (zh) * 2018-08-02 2021-03-16 盖列斯特科技股份有限公司 通过控制气相瞬态物种形成的薄膜沉积工艺
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
WO2020072625A1 (en) * 2018-10-03 2020-04-09 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
TW202026452A (zh) 2018-10-04 2020-07-16 日商Adeka股份有限公司 原子層堆積法用薄膜形成用原料、薄膜形成用原料、薄膜之製造方法及化合物
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
JP7465287B2 (ja) 2019-06-08 2024-04-10 アプライド マテリアルズ インコーポレイテッド 自己形成バリア層を備えた低誘電率誘電体
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
JP7259649B2 (ja) * 2019-08-30 2023-04-18 東京エレクトロン株式会社 成膜装置及び成膜方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
JP7257930B2 (ja) * 2019-10-08 2023-04-14 東京エレクトロン株式会社 基板処理方法及び基板処理装置
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220012474A (ko) * 2020-07-22 2022-02-04 주식회사 원익아이피에스 박막 증착 방법 및 이를 이용한 반도체 소자의 제조방법
CN115989336A (zh) * 2020-08-26 2023-04-18 株式会社半导体能源研究所 金属氧化物的沉积方法及存储装置的制造方法
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023132258A (ja) * 2022-03-10 2023-09-22 東京エレクトロン株式会社 埋込方法及び基板処理装置

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06177120A (ja) * 1992-10-27 1994-06-24 Sony Corp 層間絶縁膜の形成方法
JP2007180362A (ja) * 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
JP2007521658A (ja) * 2003-07-07 2007-08-02 マイクロン テクノロジー,インコーポレイテッド リンでドープした二酸化ケイ素含有層の形成方法及び集積回路の作製におけるトレンチ分離の形成方法
JP2008294260A (ja) * 2007-05-25 2008-12-04 Sony Corp 半導体装置とその製造方法並びに積層絶縁膜とその形成方法
US20090203197A1 (en) * 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
JP2010245518A (ja) * 2009-04-01 2010-10-28 Asm Japan Kk 低温でプラズマ励起原子膜の成膜によりシリコン酸化膜を成膜する方法
JP2010539730A (ja) * 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4843472B1 (ko) * 1968-05-09 1973-12-19
JPH0293071A (ja) * 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
US6156149A (en) * 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5994209A (en) * 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
JP3437832B2 (ja) * 2000-03-22 2003-08-18 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100721503B1 (ko) * 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
JP2002134497A (ja) * 2000-10-23 2002-05-10 Sony Corp 半導体装置の製造方法
JP3437830B2 (ja) * 2000-11-28 2003-08-18 東京エレクトロン株式会社 成膜方法
US7713592B2 (en) * 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7482247B1 (en) * 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
KR100622609B1 (ko) * 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
KR100924055B1 (ko) * 2005-02-17 2009-10-27 가부시키가이샤 히다치 고쿠사이 덴키 반도체 디바이스의 제조 방법 및 기판 처리 장치
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
CN100554506C (zh) * 2005-03-09 2009-10-28 东京毅力科创株式会社 半导体处理用的成膜方法及装置
JP2007019145A (ja) * 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JPWO2007043709A1 (ja) * 2005-10-14 2009-04-23 日本電気株式会社 半導体装置の製造方法およびその製造装置
US7897217B2 (en) * 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
JP4434149B2 (ja) * 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
CN101416293B (zh) * 2006-03-31 2011-04-20 应用材料股份有限公司 用于介电膜层的阶梯覆盖与图案加载
JP2007287890A (ja) * 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法、プラズマcvd装置
JP2007287889A (ja) * 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
JP5543203B2 (ja) * 2006-06-16 2014-07-09 フジフィルム マニュファクチャリング ユーロプ ビー.ブイ. 大気圧グロー放電プラズマを使用した原子層堆積の方法及び装置
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5258229B2 (ja) * 2006-09-28 2013-08-07 東京エレクトロン株式会社 成膜方法および成膜装置
US20090065896A1 (en) * 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
JP2010251654A (ja) * 2009-04-20 2010-11-04 Elpida Memory Inc 成膜方法および半導体装置の製造方法
JP2011023576A (ja) * 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
KR101732187B1 (ko) * 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06177120A (ja) * 1992-10-27 1994-06-24 Sony Corp 層間絶縁膜の形成方法
JP2007521658A (ja) * 2003-07-07 2007-08-02 マイクロン テクノロジー,インコーポレイテッド リンでドープした二酸化ケイ素含有層の形成方法及び集積回路の作製におけるトレンチ分離の形成方法
JP2007180362A (ja) * 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
JP2008294260A (ja) * 2007-05-25 2008-12-04 Sony Corp 半導体装置とその製造方法並びに積層絶縁膜とその形成方法
JP2010539730A (ja) * 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法
US20090203197A1 (en) * 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
JP2010245518A (ja) * 2009-04-01 2010-10-28 Asm Japan Kk 低温でプラズマ励起原子膜の成膜によりシリコン酸化膜を成膜する方法

Also Published As

Publication number Publication date
JP6199292B2 (ja) 2017-09-20
CN107342216B (zh) 2022-05-31
CN103890910B (zh) 2017-05-17
JP2018011067A (ja) 2018-01-18
TW201330096A (zh) 2013-07-16
KR20140079431A (ko) 2014-06-26
TWI602245B (zh) 2017-10-11
CN103890910A (zh) 2014-06-25
TWI531001B (zh) 2016-04-21
TWI682459B (zh) 2020-01-11
KR101975071B1 (ko) 2019-05-03
SG11201400633RA (en) 2014-08-28
SG10201607194PA (en) 2016-10-28
TW201735162A (zh) 2017-10-01
KR102084901B1 (ko) 2020-03-05
JP2014532304A (ja) 2014-12-04
WO2013043330A1 (en) 2013-03-28
CN107342216A (zh) 2017-11-10
TW201616576A (zh) 2016-05-01

Similar Documents

Publication Publication Date Title
KR101975071B1 (ko) 플라즈마 활성화된 컨포멀 유전체 막 증착
US10043655B2 (en) Plasma activated conformal dielectric film deposition
KR102145694B1 (ko) 플라즈마 활성화된 등각 막 성막을 위한 전구체들
KR102012532B1 (ko) 플라즈마 활성화된 컨포멀 유전체 막 증착
US9230800B2 (en) Plasma activated conformal film deposition
US20140030444A1 (en) High pressure, high power plasma activated conformal film deposition

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant