JP2021506126A - チャンバ調整における耐酸化保護層 - Google Patents

チャンバ調整における耐酸化保護層 Download PDF

Info

Publication number
JP2021506126A
JP2021506126A JP2020531063A JP2020531063A JP2021506126A JP 2021506126 A JP2021506126 A JP 2021506126A JP 2020531063 A JP2020531063 A JP 2020531063A JP 2020531063 A JP2020531063 A JP 2020531063A JP 2021506126 A JP2021506126 A JP 2021506126A
Authority
JP
Japan
Prior art keywords
chamber
protective layer
film
range
depositing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2020531063A
Other languages
English (en)
Inventor
ライ・フォンユエン
ゴング・ボー
ユアン・グアンビー
スー・チェンーフア
バラダラジャン・バドリ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2021506126A publication Critical patent/JP2021506126A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】【解決手段】いくつかの例では、ウエハ処理チャンバを調整するための方法は、チャンバ圧を所定圧範囲に設定する工程と、チャンバ温度を所定温度に設定する工程と、プロセスガス混合物をチャンバ内のガス分配装置に供給する工程と、を含む。プラズマはチャンバ内で点火され、チャンバ内の状態が監視される。閾値を満たすまたは超える監視状態の検出に基づいて、チャンバ調整動作が実施される。チャンバ調整動作は、前処理膜をチャンバの内面に堆積させる工程と、シリコンオキシカーバイド(SiCO)膜を前処理膜に堆積させる工程と、保護層をSiCO膜に堆積させる工程と、を含んでよい。【選択図】図4

Description

<優先権主張>
本特許出願は、その全てが参照により本明細書に援用される、2017年12月7日出願の米国特許仮出願第62/595,948号の優先権の利益を主張する。
本開示は、一般に、ウエハ処理チャンバにおける処理および調整サイクルに関し、特に、ウエハ処理動作およびチャンバ調整動作において耐酸化保護層を用いることによる不良の低減およびバッチサイズの拡張に関する。
本明細書に記載の背景技術の説明は、本開示の内容を一般的に提示するためである。現在、名前が挙がっている発明者の発明は、本背景技術欄で説明される範囲において、また、出願時の先行技術として認められない説明の態様で説明される範囲において、本開示の先行技術として明示的にも黙示的にも認められない。
処理チャンバにおいて繰り返されるウエハ処理サイクルは、時間と共にチャンバ壁に様々な種類の膜を堆積させうる。膜レベルが反応チャンバ内の構成要素上にますます蓄積するにつれて、膜応力は増加し、堆積膜とチャンバ表面との間の密着力は、時間と共に大きく劣化しうる。この密着力の喪失は、堆積膜の一部のチャンバ壁からの剥がれを引き起こしうる。チャンバ内に過剰な膜堆積があるときは、堆積膜は、チャンバ壁にしっかり密着せず、剥がれ落ち始める。フレークおよび剥離膜の一部は、処理チャンバ内のウエハ上に落ち、粒子または不良として現れうる。いくつかの例では、酸素に富むプラズマは、まず、堆積膜を収縮させてより高い張力をもたらし、次に、堆積膜の炭素種を消費して膜の密着力のさらなる劣化を引き起こしうるため、膜剥離を悪化させる。
いくつかの例では、ウエハ処理チャンバを調整するための方法は、チャンバ圧を所定圧の範囲に設定する工程と、チャンバ温度を所定温度に設定する工程と、プロセスガス混合物をチャンバ内のガス分配装置に供給する工程であって、プロセスガス混合物は、少なくとも酸素種およびヘリウムまたはアルゴンガスを含む、工程と、チャンバ内でプラズマを点火する工程と、チャンバ内の状態を監視する工程と、閾値を満たすまたは超える監視状態の検出に基づいてチャンバ調整動作を実施する工程であって、チャンバ調整動作は、チャンバの内面に前処理された膜を堆積させる工程と、前処理された膜にシリコンオキシカーバイド(SiCO)膜を堆積させる工程と、SiCO膜に保護層を堆積させる工程とを含む、工程を含む。
いくつかの実施形態は、添付の図面の図において限定するためではなく例示のために説明されている。
本開示のいくつかの方法例が採用されうるウエハ処理チャンバの概略図。
いくつかの実施形態例による膜収縮および膜応力の結果を示す表。
いくつかの実施形態例によって測定された欠陥性能を比較するグラフ。 いくつかの実施形態例によって測定された欠陥性能を比較するグラフ。
一実施形態例による複合保護層の断面図。
一実施形態例による方法の動作を表すフローチャート。
以下の記載は、本発明の例示的な実施形態を具現化するシステム、方法、技術、命令列、および計算機プログラム製品を含む。以下の記載では、実施形態例の十分な理解を提供するために、説明の目的で多くの特定の詳細が記載される。しかし、当業者には、本発明の主題がこれらの特定の詳細なしで実行されてよいことは明らかだろう。
本特許明細書の開示の一部は、著作権保護の対象となる内容を含んでよい。特許文献または特許開示物は、特許庁の特許出願または記録において明らかなため、著作権者は、誰かによるその模写に対して異論はない一方で、一切の全ての著作権を保有する。次の通知は、以下に説明される、本明細書の一部を形成する図面のあらゆるデータに適用される:2018年よりラム・リサーチ・コーポレーションが全ての著作権を保有する。
背景として、ウエハ処理チャンバ(例えば、Striker Carbide(登録商標)処理チャンバ)は、リモートプラズマ化学気相堆積(RPCVD)技術により極めて共形のシリコンカーバイド膜の形成を可能にする。いくつかの高共形シリコンカーバイド膜は、SPARC(登録商標)膜として知られている。チャンバ内のウエハ処理サイクル中に、何度もチャンバの洗浄動作または調整動作が要求されうる。チャンバ洗浄は、ウエハ上の欠陥性能が仕様外のときに要求されうる。上述のように、チャンバ内の膜堆積が多すぎると、堆積膜は、剥がれ落ち始めて処理済みウエハ上に粒子を堆積させうる。これにより、チャンバ内で処理されたウエハから作られるチップおよびデバイスにおいて高水準の欠陥が生じうる。チャンバ洗浄動作は、堆積膜の一部または全てを除去して、欠陥性能を再び仕様内に戻すことができる。
チャンバ洗浄の後に、通常、原子層堆積(ALD)を用いて酸化物薄膜層(前処理膜としても知られる)が処理チャンバの1つ以上の内面に堆積され、次にRPCVDを用いた別のシリコンオキシカーバイド(SiCO)薄膜層の堆積が続く。いくつかの例では、チャンバ内の堆積膜厚は、SiCOのみで構成される。SiCO膜は酸化されやすいため、酸素に富むプラズマによるチャンバ内で処理されたウエハ上へのさらなる膜堆積が、酸化問題を悪化させる。よって、洗浄後のSiCO膜の塗布は、必ずしも欠陥性能劣化の問題を解決しない。つまり、処理チャンバの「欠陥性能」、または処理チャンバで処理されるウエハで欠陥が起きることを防ぐ能力は、時間と共にどんどん落ちていく可能性がある。
他の従来手法では、ウエハへのSPARC(登録商標)膜の堆積より前に、ウエハの前処理動作が実施されて、SPARC(登録商標)膜をウエハに塗布するときに基板(ウエハ)の表面状態が改質されうる。ウエハの前処理工程は、例えば、ウエハ表面への初期層の塗布およびその表面処理を含んでよい。しかし、このウエハの事前処理動作の間であっても、チャンバ表面上の膜層は、酸素に富むプラズマによって攻撃されうる。プラズマは、チャンバ表面上の前処理膜だけでなく、チャンバ表面上の他の堆積膜層の厚さも低減しうる。厚さの低減または収縮は、チャンバ表面(例えば、チャンバ壁およびシャワーヘッドを含む)の堆積膜の「応力」に事実上、伸張性を持たせるが、次の層への前処理膜の密着自体は、同様の理由で補償されうる。これらの収縮および応力の影響は、ウエハ性能の早期欠陥、チャンバ欠陥性能の低下、高頻度のウエハ上の欠陥発生、および、欠陥ウエハからの不良チップまたは他のデバイスの排除によるバッチサイズの低減を引き起こしうる。
本開示のいくつかの例では、上述の問題は、チャンバ表面上に高炭素含有の耐酸化保護層を形成するチャンバ調整を定期的に実施して、膜応力を補償し、前処理膜への酸素に富むプラズマの攻撃の影響を軽減することによって解決される。チャンバ調整のトリガ例は、閾値に近づくまたは達する欠陥性能レベルで検出された劣化度、または、閾値に近づくまたは達する検出堆積膜応力レベルを含んでよいが、それらに限定されない。いくつかの例では、閾値は、0.05〜0.5μm(ミクロン)のチャンバ内の堆積膜の厚さに関連付けられてよい。
いくつかの例では、保護層は、化学気相堆積(CVD)によってチャンバ表面に形成される。堆積反応で用いられる化学薬品例は、シランおよびジシランなどのケイ素含有種、酸素および二酸化炭素などの酸素含有種、ならびにアルゴンまたはヘリウムなどの希釈ガスを含む。反応は、0.1〜10Torrの圧力で、100〜600℃の温度で起きてよい。閾値のチャンバ膜堆積(例えば、0.05〜0.5μmの厚さ)に達したときは、チャンバは、さらなるウエハ処理を停止する。いくつかの例では、処理の停止は、閾値の堆積厚さが検出されると自動的に起きてよい。いくつかの例では、処理チャンバは、さらに、その後、処理チャンバの内部で支持されたウエハなしで保護層の堆積を始めるように構成されている。保護層の厚さは、ウエハ処理の種類に応じて変化してよい。厚さ例は、50ナノメータ(nm)から1ミクロン(μm)の範囲にあってよい。いくつかの例では、処理チャンバの表面上に初期保護層が堆積された後に、処理チャンバは、チャンバの膜堆積がさらなる閾値の厚さ(例えば、倍の厚さ)に増加するまでウエハを処理し続けてよい。他の閾値も可能である。さらなる閾値に達するまたは近づくと、保護層は、チャンバの欠陥性能を所定の仕様内に維持するために再び初期層に堆積されてよい。いくつかの例では、保護層の堆積サイクルは、複合保護層が形成されるまで継続する。いくつかの例では、複合保護層の形成は、その外層が複合膜に密着できなくなるまで、または、先にチャンバの内面に塗布された初期膜が剥がれ落ちて、ウエハ上の欠陥および上述のような他の問題を引き起こすことが外層の崩壊によって防げなくなるまで継続する。
図4には、全体として400で示された膜素子の層を有する保護層例の断面図が示されている。この例の保護層400A〜400Nは、チャンバ壁の表面402に形成された前処理膜404の上に形成されている。図の保護層400A〜400Nは、この例では複合保護層を形成し、明確にするために以下、複合保護膜と呼ばれるだろう。これらの中に他の例の複合保護膜および層も可能である。複合保護膜400A〜400Nは、例えば、さらに上述された1つ以上の動作を実施することによって、時間と共に形成されてよい。複合保護膜400A〜400Nは、例えば、連続する層400Aから400Nによって示される、反復するまたは交互のSiCO層および保護層の対を含んでよい。対のSiCO層および保護層の形成は、複合保護膜400を延伸するために監視チャンバ状態の閾値に達するまで繰り返される。閾値例は、例えば、対の層400Nの外面で示される、複合保護膜の厚さであってよい。
いくつかの例では、Striker Carbide(登録商標)などの処理チャンバの欠陥性能を向上させる(すなわち、ウエハの欠陥を最小限にする)ために、保護層は1つ以上のチャンバ面に形成されて、前処理動作から発生する膜損傷を最小限にする。反応チャンバ内の特定の膜堆積が、例えば、上記の1つ以上の閾値に基づいて検出されると、高炭素含有SiCO膜を含む保護膜が1つ以上のチャンバ面に堆積される。いくつかの例では、保護層の内在応力は、本質的に圧縮性があるため、酸素に富むプラズマによって、または前処理膜厚の収縮によって、先に塗布された前処理膜で生じた引張応力を全てまたは部分的に補償してよい。かかる膜応力の低減または収縮の補償は、高引張応力によって生じる膜割れおよび剥落を抑制するのに役立ってよい。
いくつかの例では、保護層は、さらに、保護層における過剰な炭素原子の存在による酸素に富むプラズマ攻撃の影響から保護するための犠牲層として機能してよい。過剰炭素原子は、酸素に富むプラズマによって犠牲的に消費される。その結果、反応チャンバ内の残りの前処理膜の炭素量は、例えば、周知の優れた密着性および欠陥性能を有するSPARC(登録商標)膜に類似してよい。本開示の方法およびシステムにより、Striker Carbide(登録商標)処理チャンバなどの処理チャンバの欠陥性能は、大きく改善され維持されうる。さらに、本明細書に記載の向上したチャンバプロセスは、従来の例よりも250%大きいバッチサイズをもたらしうる。いくつかの例は、高炭素保護層について高堆積速度(例えば、50〜500オングストローム(Å)/分の堆積速度)での膜堆積を容易にする。いくつかの高炭素保護層の例は、30重量%から45重量%の間の量の炭素を含む。いくつかの保護層例は、比較的低い所要厚さを有するため、かかる保護層を形成することによる生産スループットへの影響は、最小になる。本明細書に記載の方法を用いて実施されたテストは、処理チャンバの十分な欠陥性能を維持しながら、いくつかの例ではバッチサイズが250%改善されうることを示す。
本開示のいくつかの動作例が採用されうる、膜堆積および制御テストのための適切なチャンバ改質が加えられたチャンバの例は、添付の図面の図1に示されている。プラズマエッチング(または、堆積)装置は、反応ガスが流れるチャンバが存在するリアクタを備える。チャンバ内では、ガスは、通常、高周波エネルギによってプラズマ化される。プラズマガスの高反応性イオンは、集積回路(IC)に処理されている半導体ウエハの表面上のポリママスクなどの材料と反応することができる。酸素に富むプラズマなどのプラズマガスは、上述のように前処理膜または保護膜などのチャンバ内の他の材料と反応してよい。
エッチングに先立って、ウエハは、チャンバ内に設置され、ウエハの上面をプラズマガスに曝すチャックまたはホルダによって所定位置に保持される。当技術分野では既知のいくつかの種類のチャックがある。チャックは、等温表面を提供し、ウエハのヒートシンクとして機能する。一種類では、半導体ウエハは、機械クランプ手段によってエッチングのために所定位置に保持される。別の種類のチャックでは、半導体ウエハは、チャックとウエハとの間の電界によって生成される静電力によって所定位置に保持される。本方法は、両方の種類のチャックに適用可能である。
図1は、基板をエッチングする、または基板上に成膜するために通常用いられる種類のプラズマ処理チャンバの例を表す容量結合プラズマ処理チャンバ100の例を示す。チャック102は、ウエハ104などの基板がエッチング中または堆積中に設置されうるワークホルダの例を表す。チャック102は、任意の適したチャック技術(静電、機械、クランプ、真空など)によって実施されてよい。エッチングの間、チャック102は、通常、デュアル周波数源106によって、例えば、2MHzおよび27MHzのデュアルRF周波数(低周波および高周波)が同時に供給される。
上部電極108は、ウエハ104の上方に設置される。上部電極108は、接地される。図1は、上部電極108の表面がチャック102の表面およびウエハ104よりも大きいエッチングリアクタを表す。エッチングの間に、プラズマ110は、ガスライン112を介して供給されたエッチング液ソースガスから形成され、排気ライン114を通じて排出される。電気絶縁リング109は、接地チャンバ100から上部電極108を絶縁する。閉じ込めリング116は、上部電極108と図1のチャック102などの下部電極との間に設置されてよい。一般に、閉じ込めリング116は、プロセス制御を向上させるため、および再現性を確保するために、エッチングプラズマ110をウエハ104の上方の領域に閉じ込めるのに役立つ。
RF電力がデュアル周波数電源106からチャック102に供給されたとき、ウエハ104の上に等電位場線が設定される。等電位場線は、ウエハ104とプラズマ110との間にあるプラズマシースにわたる電磁場線である。プラズマ処理の間、陽イオンは、等電位場線を横切って加速してウエハ104の表面に衝突することで、エッチング指向性の向上など所望のエッチング効果を提供する。上部電極108およびチャック102の形状により、力線は、ウエハ表面にわたって均一ではなく、ウエハ104の端部において大きく異なってよい。従って、通常、ウエハ表面全体のプロセス均一性を向上させるために、フォーカスリング118が提供される。図1を参照すると、ウエハ104は、適した誘電材料(セラミック、石英、プラスチックなど)から形成されうるフォーカスリング118の内部に配置されて示されている。よって、フォーカスリング118の存在により、等電位場線は、ウエハ104の表面全体に実質的に均一に配置されうる。
導電性シールド120は、フォーカスリング118を実質的に取り囲む。導電性シールド120は、プラズマ処理チャンバ内で実質的に接地されるように構成されている。シールド120は、フォーカスリング118の外側の望まない等電位場線の存在を防ぐ。
次に、膜応力および厚さ収縮結果の例の表200を示す添付の図面の図2を参照する。ここでは、いくつかの例において、制御例としてチャンバの前処理工程なしに下地膜がテストウエハ上に形成された。第1比較ハイブリッド膜が、同じ全面堆積時間を用いて別のテストウエハに下地膜として形成されたが、この場合、さらに上述された種類の従来のチャンバ前処理工程が、第1ハイブリッド膜の形成過程で膜厚10分の1ごとに合計10回連続して実施された。図2の表200からは、前処理動作が、制御下地膜の23.9MPaの初期値から応力第1ハイブリッド膜の99MPaの値までほぼ400%の膜応力の増加を引き起こしたことがわかるだろう。さらに、膜は、制御下地膜の1313.0(Å)から第1ハイブリッド膜の1152.6(Å)までの収縮(厚さの減少)を受けた。
第2ハイブリッド膜に関する図3A〜図3Bのグラフに比較結果が示されている。第2ハイブリッド膜は、本明細書に例示された保護層を用いて調整されたチャンバで処理されたテストウエハ上に形成された。それぞれの被テスト膜内の様々な堆積層に存在する粒子(欠陥)サイズを測定するために、図においてStn1からStn4で示された4つのステーションを備えるクアドラントモジュールが用いられた。(上記図2でテストされた種類の)第1応力付加ハイブリッド膜の結果は、図3Aに示されている。本開示の調整済み(保護された)チャンバで形成された第2ハイブリッド膜の結果は、図3Bに示されている。図3A〜図3Bの両方の図面では、4つの測定ステーションの各々について、粒子(欠陥)サイズは、図のグラフの左垂直軸に表示され、膜厚(または、堆積)は、底部水平軸に沿って表示されている。
図のように、50nmより大きい粒子サイズ(すなわち、欠陥)は、図3Aに示される第1応力付加ハイブリッド膜の4つのステーションの各々において、約0.8μmの膜厚で急上昇した。それに対して、図3Bに示される調整済みの第2ハイブリッド膜の結果では、約2μm(例えば、Stn1の1.904μm)の膜厚深さにおいてさえ、欠陥があったとしてもほとんど認められなかった。上方管理限界(UCL)(例えば、3つのシグマで設定)、下方管理限界(LCL)、および平均値のそれぞれの値は、図3Bの調整済みの第2ハイブリッド膜において明らかな改善を示している。
前述を考慮して、著しい欠陥がより大きい膜厚で起こりうることから、図の例の膜結果に基づく加工可能なバッチサイズ(すなわち、チャンバ洗浄間にウエハ上に堆積した膜レベル)は、0.8μmで確立されてよい。それに対して、本開示の方法に従って調整された膜の加工可能なバッチサイズは、著しい欠陥がこの例の値未満で起きなかったため、約2μm以上の膜厚で確立されてよい。
本開示のいくつかの実施形態は、方法を含む。図5を参照すると、ウエハ処理チャンバを調整するための方法500は、動作502において、チャンバ圧を所定圧範囲に設定する工程と、動作504において、チャンバ温度を所定温度に設定する工程と、動作506において、プロセスガス混合物をチャンバ内のガス分配装置に供給する工程であって、プロセスガス混合物は、少なくとも酸素種およびヘリウムガスまたはアルゴンガスを含むガスを含む、工程と、動作508において、プラズマをチャンバ内で点火する工程と、510において、チャンバ内の状態を監視する工程と、動作512において、閾値を満たすまたは超える監視状態の検出に基づいてチャンバ調整動作を実施する工程であって、チャンバ調整動作は、動作514において、前処理膜をチャンバの内面に堆積させる工程と、動作516において、シリコンオキシカーバイド(SiCO)膜を前処理膜上に堆積させる工程と、動作518において、保護層をSiCO膜上に堆積させる工程と、を含む、工程とを含む。
方法500のいくつかの態様は、以下の特徴または動作を含んでよい。いくつかの例では、監視状態は、チャンバの欠陥性能を含む。いくつかの例では、監視状態は、膜応力値を含む。いくつかの例では、監視状態は、チャンバの内面上への膜堆積厚さを含む。いくつかの例では、膜堆積厚さは、0.05〜0.5μm(ミクロン)の範囲内である。
いくつかの例では、保護層は、化学気相堆積(CVD)によって形成される。CVD反応で用いられる化学薬品は、シランまたはジシランなどのケイ素含有種を含んでよい。
いくつかの例では、保護層の堆積中のチャンバの所定圧範囲は、0.1〜10Torrである。いくつかの例では、保護層の堆積中のチャンバの所定温度範囲は、100〜600℃である。いくつかの例では、保護層の厚さは、50ナノメータ(nm)〜1ミクロン(μm)の範囲である。
いくつかの例では、前処理膜上にシリコンオキシカーバイド(SiCO)膜を堆積させる動作、および、SiCO膜上に保護層を堆積させる動作は、SiCO膜および保護層の対の層を複合保護膜内に形成するために連続して繰り返される。
いくつかの例では、保護層は、炭素30重量%から45重量%を含む高炭素の耐酸化保護層である。
いくつかの例では、方法500は、さらに、50〜500オングストローム(Å)/分の堆積速度で保護層を堆積させる工程を含む。
いくつかの例では、プラズマを点火する工程は、HF電力を500〜6000Wで上部電極および下部電極のいずれかに供給し、LF電力を500〜6000Wで上部電極および下部電極のその一方に供給する工程を含む。いくつかの例では、プラズマを点火する工程は、HF電力を2000〜4000Wで上部電極および下部電極のいずれかに供給し、LF電力を1000〜4000Wで上部電極および下部電極のその一方に供給する工程を含む。
特定の実施形態例を参照して実施形態が説明されたが、発明の主題の広義から逸脱することなくこれらの実施形態に様々な修正および変更が行われてよいことは明白だろう。従って、本明細書および図面は、制限的ではなく例示的な意味でとらえなければならない。本明細書の一部を構成する添付の図面は、主題が実行されうる特定の実施形態を限定ではなく例示によって示す。示される実施形態は、当業者が本明細書に開示の教示を実行できるよう十分に詳細に説明されている。本開示の範囲を逸脱することなく構造的および論理的な置換および変更が行われうるように、他の実施形態が用いられてよい、またそこから導かれてよい。よって、この発明を実施するための形態は、限定的な意味でとらえられるべきでなく、様々な実施形態の範囲は、添付の特許請求の範囲と併せて、かかる特許請求の範囲の権利を有する同等物の全範囲によってのみ定義される。
かかる発明の主題の実施形態は、本明細書では、単に便宜のため、および、1つ以上が実際に開示されている場合に本願の範囲を1つの発明または発明の概念に自発的に限定する意図なく、個別的および/または集合的に「発明」という用語で呼ばれてよい。よって、特定の実施形態が本明細書で示され説明されても、同じ目的を達成するように意図された配置は、示された特定の実施形態に置き換えられてよいことを理解されたい。本開示は、様々な実施形態のあらゆる全ての変更を網羅することを意図する。上記の実施形態の組み合わせ、および、本明細書に明記されていない他の実施形態は、当業者には上記の説明を考察すれば明らかだろう。

Claims (16)

  1. ウエハ処理チャンバを調整するための方法であって、
    前記チャンバの圧力を所定圧範囲内に設定する工程と、
    前記チャンバの温度を所定温度範囲内に設定する工程と、
    プロセスガス混合物を前記チャンバ内のガス分配装置に供給する工程であって、前記プロセスガス混合物は、少なくとも酸素種、および、ヘリウムガスまたはアルゴンガスを含むガスを含む、工程と、
    前記チャンバ内でプラズマを点火する工程と、
    前記チャンバ内の状態を監視する工程と、
    閾値を満たすまたは超える監視状態の検出に基づいてチャンバ調整動作を実施する工程であって、前記チャンバ調整動作は、
    前処理膜を前記チャンバの内面に堆積させる工程と、
    シリコンオキシカーバイド(SiCO)膜を前記前処理膜に堆積させる工程と、
    保護層を前記SiCO膜に堆積させる工程と、を含む工程と、
    を含む、方法。
  2. 請求項1に記載の方法であって、
    前記監視状態は、チャンバ欠陥性能を含む、方法。
  3. 請求項1に記載の方法であって、
    前記監視状態は、膜応力値を含む、方法。
  4. 請求項1に記載の方法であって、
    前記監視状態は、前記チャンバの前記内面上の膜堆積の厚さを含む、方法。
  5. 請求項4に記載の方法であって、
    前記膜堆積の厚さは、0.05〜0.5μm(ミクロン)の範囲内である、方法。
  6. 請求項1に記載の方法であって、
    前記保護層は、化学気相堆積(CVD)によって形成される、方法。
  7. 請求項6に記載の方法であって、
    CVD反応で用いられる化学薬品は、ケイ素含有種を含む、方法。
  8. 請求項7に記載の方法であって、
    前記ケイ素含有種は、シランまたはジシランを含む、方法。
  9. 請求項1に記載の方法であって、
    前記保護層の堆積中の前記チャンバの前記所定圧範囲は、0.1〜10Torrの範囲内である、方法。
  10. 請求項1に記載の方法であって、
    前記保護層の堆積中の前記チャンバの前記所定温度範囲は、100℃〜600℃の範囲内である、方法。
  11. 請求項1に記載の方法であって、
    前記保護層の厚さは、50ナノメータ(nm)〜1ミクロン(μm)の範囲内である、方法。
  12. 請求項1に記載の方法であって、
    シリコンオキシカーバイド(SiCO)膜を前記前処理膜上に堆積させる動作、および、保護層を前記SiCO膜上に堆積させる動作は、複合保護膜内にSiCO膜および保護層の対の層を形成するために連続して繰り返される、方法。
  13. 請求項1に記載の方法であって、
    前記保護層は、炭素30重量%から45重量%を含む高炭素の耐酸化保護層である、方法。
  14. 請求項1に記載の方法であって、さらに、
    前記保護層を50〜500オングストローム(Å)/分の範囲の堆積速度で堆積させる工程を含む、方法。
  15. 請求項1に記載の方法であって、
    前記プラズマを点火する工程は、HF電力を500〜6000Wの範囲で上部電極および下部電極のいずれかに供給し、LF電力を500〜6000Wの範囲で前記上部電極および前記下部電極の前記いずれかに供給する工程を含む、方法。
  16. 請求項1に記載の方法であって、
    前記プラズマを点火する工程は、HF電力を2000〜4000Wの範囲で上部電極および下部電極のいずれかに供給し、LF電力を1000〜4000Wの範囲で前記上部電極および前記下部電極の前記いずれかに供給する工程を含む、方法。
JP2020531063A 2017-12-07 2018-12-06 チャンバ調整における耐酸化保護層 Pending JP2021506126A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762595948P 2017-12-07 2017-12-07
US62/595,948 2017-12-07
PCT/US2018/064304 WO2019113351A1 (en) 2017-12-07 2018-12-06 Oxidation resistant protective layer in chamber conditioning

Publications (1)

Publication Number Publication Date
JP2021506126A true JP2021506126A (ja) 2021-02-18

Family

ID=66751182

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020531063A Pending JP2021506126A (ja) 2017-12-07 2018-12-06 チャンバ調整における耐酸化保護層

Country Status (6)

Country Link
US (2) US11761079B2 (ja)
JP (1) JP2021506126A (ja)
KR (1) KR20200086750A (ja)
CN (1) CN111448640A (ja)
TW (1) TW201933496A (ja)
WO (1) WO2019113351A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma

Family Cites Families (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4410395A (en) 1982-05-10 1983-10-18 Fairchild Camera & Instrument Corporation Method of removing bulk impurities from semiconductor wafers
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5154810A (en) * 1991-01-29 1992-10-13 Optical Coating Laboratory, Inc. Thin film coating and method
US5443686A (en) 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
US5654475A (en) 1996-03-25 1997-08-05 Twenty-First Century Research Corporation Methods of making intermediate oxidation products by controlling oxidation rates in an atomized liquid
US5605859A (en) 1995-07-05 1997-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making insulator structure for polysilicon resistors
DE19538983A1 (de) 1995-10-19 1997-04-24 Siemens Ag Verfahren zum Beseitigen von Kristallfehlern in Siliziumscheiben
US5647953A (en) 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5811356A (en) 1996-08-19 1998-09-22 Applied Materials, Inc. Reduction in mobile ion and metal contamination by varying season time and bias RF power during chamber cleaning
US5824375A (en) 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US6020035A (en) 1996-10-29 2000-02-01 Applied Materials, Inc. Film to tie up loose fluorine in the chamber after a clean process
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
TW460943B (en) 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US6534380B1 (en) 1997-07-18 2003-03-18 Denso Corporation Semiconductor substrate and method of manufacturing the same
JP3317209B2 (ja) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US6121164A (en) 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US5970383A (en) 1997-12-17 1999-10-19 Advanced Micro Devices Method of manufacturing a semiconductor device with improved control of deposition layer thickness
US6071573A (en) 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
KR100323874B1 (ko) 1999-12-22 2002-02-16 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
TW518686B (en) * 1999-12-29 2003-01-21 Tokyo Electron Ltd System for automatic control of the wall bombardment to control wall deposition
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
KR100382370B1 (ko) 2001-01-12 2003-05-09 주성엔지니어링(주) 어닐링장치의 서셉터 전처리방법
US6696362B2 (en) 2001-02-08 2004-02-24 Applied Materials Inc. Method for using an in situ particle sensor for monitoring particle performance in plasma deposition processes
DE10296448T5 (de) 2001-03-20 2004-04-15 Mattson Technology Inc., Fremont Verfahren zum Abscheiden einer Schicht mit einer verhältnismässig hohen Dielektrizitätskonstante auf ein Substrat
JP2002343787A (ja) 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
DE10130340A1 (de) 2001-06-26 2003-01-02 Bhs Corr Masch & Anlagenbau Bahnspannungs-Regelungs-Vorrichtung für Wellpappeanlage
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
US20030013314A1 (en) 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6720259B2 (en) 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
JP2003224076A (ja) 2002-01-30 2003-08-08 Seiko Epson Corp 半導体製造装置の排ガス処理方法
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US6815007B1 (en) 2002-03-04 2004-11-09 Taiwan Semiconductor Manufacturing Company Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
US6818570B2 (en) * 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
US20030203123A1 (en) 2002-04-26 2003-10-30 Applied Materials, Inc. System and method for metal induced crystallization of polycrystalline thin film transistors
US7204913B1 (en) 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
KR20040022056A (ko) 2002-09-06 2004-03-11 삼성전자주식회사 반응 챔버의 표면 처리 방법
JP4411215B2 (ja) 2002-11-11 2010-02-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US20040182833A1 (en) 2003-01-31 2004-09-23 Tokyo Electron Limited Method for manufacturing a substrate with a pre-seasoned plasma processing system
KR101090895B1 (ko) 2003-05-09 2011-12-08 에이에스엠 아메리카, 인코포레이티드 화학적 비활성화를 통한 반응기 표면의 패시베이션
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US7138332B2 (en) * 2003-07-09 2006-11-21 Asm Japan K.K. Method of forming silicon carbide films
JP4171380B2 (ja) 2003-09-05 2008-10-22 株式会社日立ハイテクノロジーズ エッチング装置およびエッチング方法
KR100519798B1 (ko) 2003-12-11 2005-10-10 삼성전자주식회사 향상된 생산성을 갖는 박막 형성 방법
KR100557673B1 (ko) 2003-12-22 2006-03-06 어댑티브프라즈마테크놀로지 주식회사 플라즈마 장비를 시즌닝하는 방법
US7288284B2 (en) 2004-03-26 2007-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
JP2008507847A (ja) 2004-07-23 2008-03-13 サンデュー・テクノロジーズ・エルエルシー 高エネルギー貯蔵密度及び低esrを有するコンデンサ
KR100959981B1 (ko) 2004-09-01 2010-05-27 시바우라 메카트로닉스 가부시끼가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
CN101053063B (zh) 2004-09-01 2012-10-03 艾克塞利斯技术公司 用于增加光阻移除率之装置及等离子体灰化方法
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
KR100773755B1 (ko) 2004-11-18 2007-11-09 주식회사 아이피에스 플라즈마 ald 박막증착방법
US20060189171A1 (en) 2005-02-23 2006-08-24 Chua Choon A Seasoning process for a deposition chamber
US8163087B2 (en) 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7241690B2 (en) 2005-04-12 2007-07-10 Texas Instruments Incorporated Method for conditioning a microelectronics device deposition chamber
JP2006339253A (ja) * 2005-05-31 2006-12-14 Toshiba Corp プラズマ処理装置及びプラズマ処理方法
JP4492963B2 (ja) 2005-06-14 2010-06-30 ルネサスエレクトロニクス株式会社 薄膜の成膜方法、気相成長装置、プログラム
WO2007027350A2 (en) 2005-08-02 2007-03-08 Massachusetts Institute Of Technology Method of removing surface deposits and passivating interior surfaces of the interior of a chemical vapour deposition (cvd) chamber
CN101278072A (zh) 2005-08-02 2008-10-01 麻省理工学院 使用nf3除去表面沉积物的方法
KR20080047543A (ko) 2005-08-31 2008-05-29 스미또모 가가꾸 가부시끼가이샤 트랜지스터, 유기 반도체 소자, 및 이들의 제조 방법
EP2541179A3 (en) 2005-11-23 2014-09-24 Surface Combustion, Inc. Gas generator for an atmospheric furnace for treating one or more articles
JP4476232B2 (ja) 2006-03-10 2010-06-09 三菱重工業株式会社 成膜装置のシーズニング方法
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
JP4866658B2 (ja) 2006-05-23 2012-02-01 東京エレクトロン株式会社 半導体製造装置
JP5241499B2 (ja) 2006-09-19 2013-07-17 東京エレクトロン株式会社 プラズマクリーニング方法、プラズマcvd方法、およびプラズマ処理装置
US20080118663A1 (en) 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US7704894B1 (en) 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
US20080216302A1 (en) 2007-03-07 2008-09-11 Novellus Systems, Inc. Methods utilizing organosilicon compounds for manufacturing pre-seasoned components and plasma reaction apparatuses having pre-seasoned components
US7691755B2 (en) 2007-05-15 2010-04-06 Applied Materials, Inc. Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor
KR101400918B1 (ko) 2007-05-31 2014-05-30 주성엔지니어링(주) 반도체 제조 장비 운용 방법
JP5276347B2 (ja) 2007-07-03 2013-08-28 国立大学法人 新潟大学 シリコンウェーハ中に存在する原子空孔の定量評価装置、その方法、シリコンウェーハの製造方法、及び薄膜振動子
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US20120122319A1 (en) 2007-09-19 2012-05-17 Hironobu Shimizu Coating method for coating reaction tube prior to film forming process
JP5201934B2 (ja) 2007-10-10 2013-06-05 東京エレクトロン株式会社 基板処理装置のメタル汚染低減方法
US9498845B2 (en) 2007-11-08 2016-11-22 Applied Materials, Inc. Pulse train annealing method and apparatus
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US7968439B2 (en) 2008-02-06 2011-06-28 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
JP5011148B2 (ja) 2008-02-06 2012-08-29 大陽日酸株式会社 半導体装置の製造方法、クリーニング方法及び基板処理装置
KR101577474B1 (ko) 2008-02-08 2015-12-14 램 리써치 코포레이션 플라즈마 프로세싱 장치용 rf 리턴 스트랩
JP2009263764A (ja) 2008-04-01 2009-11-12 Hitachi Kokusai Electric Inc 半導体製造装置及び半導体装置の製造方法
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US9222172B2 (en) 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
JP5123820B2 (ja) 2008-10-27 2013-01-23 東京エレクトロン株式会社 基板処理装置の真空排気方法及び基板処理装置
US8017527B1 (en) 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
US8418744B2 (en) 2009-03-24 2013-04-16 Nonferrous Materials Technology Development Centre Molten metal casting die
KR100930580B1 (ko) 2009-07-17 2009-12-09 주식회사 티지 바이오텍 다물린 에이 및 다물린 비 함량이 증가된 신규 돌외추출물의 제조방법 및 이를 이용한 대사질환 치료용 약학 조성물
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
MY179709A (en) 2009-09-10 2020-11-11 Lam Res Corp Replaceable upper chamber parts of plasma processing apparatus
CN102652186A (zh) 2009-12-22 2012-08-29 应用材料公司 利用持续的等离子体的pecvd多重步骤处理
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5571770B2 (ja) 2010-03-08 2014-08-13 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US20130012030A1 (en) 2010-03-17 2013-01-10 Applied Materials, Inc. Method and apparatus for remote plasma source assisted silicon-containing film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
TW201210058A (en) 2010-05-12 2012-03-01 Applied Materials Inc Method of manufacturing crystalline silicon solar cells using epitaxial deposition
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
JP2012216696A (ja) 2011-04-01 2012-11-08 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
US20130064973A1 (en) 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
WO2013043330A1 (en) 2011-09-23 2013-03-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
KR101926687B1 (ko) 2011-10-24 2018-12-07 엘지이노텍 주식회사 에피 웨이퍼 제조 장치, 에피 웨이퍼 제조 방법 및 에피 웨이퍼
MY171572A (en) 2012-01-30 2019-10-21 Hemlock Semiconductor Operations Llc Method of repairing and/or protecting a surface in a reactor
CN103243310B (zh) 2012-02-14 2017-04-12 诺发系统公司 在衬底表面上的等离子体激活的保形膜沉积的方法
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
WO2013148444A1 (en) 2012-03-27 2013-10-03 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN104272441A (zh) 2012-03-27 2015-01-07 诺发系统公司 钨特征填充
US10211310B2 (en) * 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
JP6257071B2 (ja) * 2012-09-12 2018-01-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US20140127852A1 (en) 2012-11-07 2014-05-08 International Business Machines Corporation Low vacuum fabrication of microcrystalline solar cells
JP6201313B2 (ja) 2012-12-27 2017-09-27 セイコーエプソン株式会社 液体噴射ヘッド及び液体噴射装置
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20150218700A1 (en) 2013-03-08 2015-08-06 Applied Materials, Inc. Chamber component with protective coating suitable for protection against flourine plasma
CN105190847A (zh) 2013-03-08 2015-12-23 应用材料公司 具有适于保护抵抗氟等离子体的保护涂层的腔室部件
US20140272184A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Methods for maintaining clean etch rate and reducing particulate contamination with pecvd of amorphous silicon filims
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
KR20230032000A (ko) 2013-04-10 2023-03-07 피코순 오와이 Ald 코팅에 의한 목표 펌프의 내부 보호
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
US9236284B2 (en) 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation
JP2017512375A (ja) 2014-01-31 2017-05-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated チャンバコーティング
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9551070B2 (en) 2014-05-30 2017-01-24 Applied Materials, Inc. In-situ corrosion resistant substrate support coating
US20150361547A1 (en) 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
US9925639B2 (en) 2014-07-18 2018-03-27 Applied Materials, Inc. Cleaning of chamber components with solid carbon dioxide particles
JP6009513B2 (ja) 2014-09-02 2016-10-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
SG11201706564UA (en) 2015-02-13 2017-09-28 Entegris Inc Coatings for enhancement of properties and performance of substrate articles and apparatus
US9828672B2 (en) * 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
CN107636374B (zh) 2015-05-07 2019-12-27 应用材料公司 一种波纹管和阀门组件
US20160329206A1 (en) * 2015-05-08 2016-11-10 Lam Research Corporation Methods of modulating residual stress in thin films
US20160375515A1 (en) 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US20180057939A1 (en) 2016-08-31 2018-03-01 Electronics And Telecommunications Research Institute Manufacturing method of transparent electrode
US10400323B2 (en) 2016-11-04 2019-09-03 Lam Research Corporation Ultra-low defect part process
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
WO2018132789A1 (en) 2017-01-16 2018-07-19 Entegris, Inc. Articles coated with a fluoro-annealed film
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US20180337026A1 (en) 2017-05-19 2018-11-22 Applied Materials, Inc. Erosion resistant atomic layer deposition coatings
US20180347037A1 (en) 2017-06-05 2018-12-06 Applied Materials, Inc. Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
US20190078206A1 (en) 2017-09-08 2019-03-14 Applied Materials, Inc. Fluorinated rare earth oxide ald coating for chamber productivity enhancement
US10134569B1 (en) * 2017-11-28 2018-11-20 Lam Research Corporation Method and apparatus for real-time monitoring of plasma chamber wall condition
KR20200086750A (ko) 2017-12-07 2020-07-17 램 리써치 코포레이션 챔버 내 산화 내성 보호 층 컨디셔닝
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US20210340670A1 (en) 2018-10-19 2021-11-04 Lam Research Corporation In situ protective coating of chamber components for semiconductor processing
WO2021029970A1 (en) 2019-08-09 2021-02-18 Applied Materials, Inc. Protective multilayer coating for processing chamber components
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning

Also Published As

Publication number Publication date
WO2019113351A1 (en) 2019-06-13
TW201933496A (zh) 2019-08-16
US20230383401A1 (en) 2023-11-30
US20210164097A1 (en) 2021-06-03
CN111448640A (zh) 2020-07-24
US11761079B2 (en) 2023-09-19
KR20200086750A (ko) 2020-07-17

Similar Documents

Publication Publication Date Title
KR102478222B1 (ko) 비정질 탄소 하드마스크 막들의 탄소-수소 함량을 감소시키기 위한 시스템들 및 방법들
US20090297731A1 (en) Apparatus and method for improving production throughput in cvd chamber
US7226869B2 (en) Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
US20190252158A1 (en) Graded in-situ charge trapping layers to enable electrostatic chucking and excellent particle performance for boron-doped carbon films
US20230383401A1 (en) Oxidation resistant protective layer in chamber conditioning
US9607811B2 (en) Workpiece processing method
KR20160095631A (ko) 기판 프로세싱 시스템들에서 하드마스크들로서 사용된 비정질 탄소 및 실리콘 막들의 금속 도핑
US20070193688A1 (en) Process tuning gas injection from the substrate edge
TW201303998A (zh) 電漿處理裝置及電漿處理方法
KR102500931B1 (ko) 하드마스크들을 위한 금속 유전체 막의 증착
US11705308B2 (en) Plasma processing apparatus
WO2021041916A1 (en) High density, modulus, and hardness amorphous carbon films at low pressure
WO2020163132A1 (en) Plasma resistant component for a plasma processing chamber
KR20210116679A (ko) 프로세스 챔버를 세정하기 위한 방법
US11699577B2 (en) Treatment for high-temperature cleans
KR101326106B1 (ko) 박막증착장비의 세정 주기 연장 방법
US20220020589A1 (en) Dielectric coating for deposition chamber
KR20090020925A (ko) 반도체 장비의 세정방법
US11572622B2 (en) Systems and methods for cleaning low-k deposition chambers
WO2023146648A1 (en) Undercoating coverage and resistance control for escs of substrate processing systems
US20200105626A1 (en) Arcing test vehicle and method of use thereof
JP2011231345A (ja) スパッタリング装置及びそのメンテナンス方法
JP2011127168A (ja) プラズマcvd装置