KR20210116679A - 프로세스 챔버를 세정하기 위한 방법 - Google Patents

프로세스 챔버를 세정하기 위한 방법 Download PDF

Info

Publication number
KR20210116679A
KR20210116679A KR1020217028835A KR20217028835A KR20210116679A KR 20210116679 A KR20210116679 A KR 20210116679A KR 1020217028835 A KR1020217028835 A KR 1020217028835A KR 20217028835 A KR20217028835 A KR 20217028835A KR 20210116679 A KR20210116679 A KR 20210116679A
Authority
KR
South Korea
Prior art keywords
cleaning
deposition chamber
gas
chamber
cleaning gas
Prior art date
Application number
KR1020217028835A
Other languages
English (en)
Inventor
병석 권
루 수
프라샨트 쿠마르 쿨쉬레쉬타
서영 이
동형 이
광덕 더글라스 이
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210116679A publication Critical patent/KR20210116679A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Abstract

본 개시내용의 구현들은 일반적으로, 반도체 처리 챔버를 세정하는 방법에 관한 것이다. 일 구현에서, 퇴적 챔버를 세정하는 방법은, 질소 함유 가스를 퇴적 챔버 내의 처리 영역 내로 유동시키는 단계, 무선 주파수 전력을 활용하여 처리 영역에 플라즈마를 타격하는 단계, 퇴적 챔버에 유체적으로 연결된 원격 플라즈마 공급원 내에 세정 가스를 도입하는 단계, 원격 플라즈마 공급원에서 세정 가스의 반응성 종들을 생성하는 단계, 세정 가스를 퇴적 챔버 내에 도입하는 단계, 및 퇴적 챔버의 내부 표면들 상의 퇴적물들을 상이한 식각 속도들로 제거하는 단계를 포함한다.

Description

프로세스 챔버를 세정하기 위한 방법
본원에 개시된 구현들은 일반적으로, 반도체 처리 챔버를 세정하기 위한 방법에 관한 것이다.
집적 회로들 및 반도체 디바이스들의 제조에서, 물질들은 전형적으로, 프로세스 챔버, 예컨대, 퇴적 챔버, 예컨대, 플라즈마 강화 화학 기상 퇴적(PECVD) 챔버에서 기판 상에 퇴적된다. 퇴적 프로세스들은 전형적으로, 물질의 일부가 퇴적 챔버의 벽들 및 구성요소들뿐만 아니라 가스 분배 샤워헤드들 상에도 퇴적되는 것을 초래한다. 챔버 벽들 및 구성요소들 상에 퇴적된 물질은 기판 간의 퇴적 속도 및 기판 상의 퇴적의 균일성에 영향을 미칠 수 있다. 이러한 잘못된 퇴적으로 인해, 챔버가 세정되지 않는 한, 반복성이 달성되기가 종종 어렵다.
그러므로, 챔버를 세정하는 개선된 방법들이 필요하다.
본 개시내용의 구현들은 일반적으로, 반도체 처리 챔버를 세정하는 방법에 관한 것이다. 일 구현에서, 퇴적 챔버를 세정하는 방법은, 질소 함유 가스를 퇴적 챔버 내의 처리 영역 내로 유동시키는 단계, 무선 주파수 전력을 활용하여 처리 영역에 플라즈마를 타격하는 단계, 퇴적 챔버에 유체적으로 연결된 원격 플라즈마 공급원 내에 세정 가스를 도입하는 단계, 원격 플라즈마 공급원에서 세정 가스의 반응성 종들을 생성하는 단계, 세정 가스를 퇴적 챔버 내에 도입하는 단계, 및 퇴적 챔버의 내부 표면들 상의 퇴적물들을 상이한 식각 속도들로 제거하는 단계를 포함한다.
다른 구현에서, 퇴적 챔버를 세정하는 방법은, 질소 함유 가스를 퇴적 챔버 내의 처리 영역 내로 유동시키는 단계, 무선 주파수 전력을 활용하여 처리 영역에 플라즈마를 타격하는 단계, 퇴적 챔버에 유체적으로 연결된 원격 플라즈마 공급원 내에 세정 가스를 도입하는 단계, 원격 플라즈마 공급원에서 세정 가스의 반응성 종들을 생성하는 단계, 세정 가스를 퇴적 챔버 내에 도입하는 단계, 및 퇴적 챔버의 내부 표면들 상의 퇴적물들을 상이한 온도들에서 제거하는 단계를 포함한다.
다른 구현에서, 퇴적 챔버를 세정하는 방법은, 제1 가스를 퇴적 챔버 내의 처리 영역 내로 유동시키는 단계, 무선 주파수 전력을 활용하여 처리 영역에 제1 가스의 플라즈마를 타격하는 단계, 퇴적 챔버에 유체적으로 연결된 원격 플라즈마 공급원 내에 제2 가스를 도입하는 단계, 원격 플라즈마 공급원에서 제2 가스의 반응성 종들을 생성하는 단계, 제2 가스를 퇴적 챔버 내에 도입하는 단계, 및 퇴적 챔버의 내부 표면들 상의 퇴적물들을 상이한 온도들에서 상이한 식각 속도들로 제거하는 단계를 포함한다.
본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있도록, 위에 간략히 요약된 본 개시내용의 더 구체적인 설명이 구현들을 참조하여 이루어질 수 있으며, 이들 중 일부는 첨부 도면들에 예시되어 있다. 그러나, 본 개시내용은 동등한 효과의 다른 구현들을 허용할 수 있으므로, 첨부 도면들은 본 개시내용의 전형적인 구현들만을 예시하며, 그러므로 그의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1은 플라즈마 시스템의 일 구현의 부분 단면도이다.
도 2는 상이한 전극 간격에서의 세정 속도들을 비교하는 그래프이다.
이해를 용이하게 하기 위해, 가능한 경우, 도면들에 공통된 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 사용되었다. 일 구현의 요소들 및 특징들이 추가의 언급 없이 다른 구현들에 유익하게 통합될 수 있다는 것이 고려된다.
본 개시내용은 일반적으로, 퇴적 챔버들, 예컨대, 집적 회로들 및 반도체 디바이스들의 제조에 사용되는 퇴적 챔버들을 세정하기 위한 방법들 및 장치를 제공한다. 본원에 설명된 방법들을 사용하여 세정될 수 있는 퇴적 챔버들은 산화물들, 예컨대, 탄소 도핑된 산화규소들 및 다른 물질들을 퇴적시키는 데 사용될 수 있는 챔버들을 포함한다. 일 구현에서, 플라즈마 챔버는 플라즈마 강화 화학 기상 퇴적(PECVD) 시스템에서 활용된다. 본원에 설명된 구현들로부터 이익을 얻도록 적응될 수 있는 PECVD 시스템들의 예들은, 프로듀서®(PRODUCER®) SE CVD 시스템, 프로듀서® GT™ CVD 시스템 또는 DXZ® CVD 시스템을 포함하며, 이들 모두는 캘리포니아주 산타 클라라 소재의 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)로부터 상업적으로 입수가능하다. 프로듀서® SE CVD 시스템(예를 들어, 200 mm 또는 300 mm)은 기판들 상에 박막들, 예컨대, 전도성 막들, 실란들, 탄소 도핑된 산화규소들 및 다른 물질들을 퇴적시키는 데에 사용될 수 있는 2개의 격리된 처리 영역들을 갖는다. 예시적인 구현이 2개의 처리 영역들을 포함하지만, 본원에 설명된 구현들은 단일 처리 영역 또는 2개 초과의 처리 영역들을 갖는 시스템들에서 유리하게 사용될 수 있다는 것이 고려된다. 또한, 본원에 설명된 구현들은, 특히, 식각 챔버들, 이온 주입 챔버들, 플라즈마 처리 챔버들, 및 스트리핑 챔버들을 포함하는 다른 플라즈마 챔버들에서 유리하게 활용될 수 있다는 것이 고려된다. 본원에 설명된 구현들은 다른 제조업자들로부터 입수가능한 플라즈마 처리 챔버들에서 유리하게 활용될 수 있다는 것이 더 고려된다.
유리하게 사용될 수 있는 챔버의 예가 도 1에 도시된다. 도 1은 2개의 개별 처리 챔버들(105)을 갖는 트윈 챔버 시스템(100)의 단면도를 도시한다. 처리 챔버들(105) 각각은 원격 플라즈마 공급원(110)에 연결된다. 원격 플라즈마 공급원들(110)은 처리 챔버들(105)의 내부로 유동되는 세정 가스들의 반응성 종들을 생성한다. 처리 챔버들(105) 각각은 또한, 샤워헤드 또는 천공된 면판(115)을 갖는다. 처리 챔버들(105) 각각은 가스 공급원(120)에 결합된다. 각각의 천공된 면판(115)은, 프로세스 가스들, 또는 전구체들, 또는 세정 가스를 가스 공급원(120)으로부터 각각의 처리 챔버들(105)의 각각의 처리 영역들(130 및 135)에 전달하기 위해 면판을 통해 형성된 개구부들(125)을 포함한다.
원격 플라즈마 공급원들(110)이 처리 챔버들(105)의 최상부에 결합된 것으로 도시되지만, 원격 플라즈마 공급원들에서 생성된 반응성 종들은 처리 챔버들(105)의 최상부, 처리 챔버들(105)의 측부, 또는 다른 위치를 통해 처리 챔버들(105)로 유동할 수 있다.
천공된 면판들(115) 각각은 전원(140)에 결합된다. 전원(140)은 처리 영역들(130 및 135) 각각의 가열식 페디스털(145)과 천공된 면판(115) 사이에 플라즈마를 생성하도록 구성된다. 가열식 페디스털(145)은 또한, 기판(도시되지 않음)을 정전기적으로 척킹하도록 구성된다. 전원(140)은 직류 전원 또는 교류 전원, 예컨대, 무선 주파수(RF) 전원일 수 있다. 플라즈마는 가스 공급원(120)으로부터의 가스들, 예컨대, 프로세스 가스들 및 세정 가스들을 해리시키는 데 활용된다.
처리 영역들(130 및 135) 각각은 펌프(150)에 결합된다. 펌프(150)는, 처리 챔버들(105)로부터 미사용 가스들 및/또는 부산물들을 제거하는 데 활용되는 진공 펌프이다. 펌프(150)는 처리 챔버들(105)의 압력을 제어하는 데 활용되는 밸브, 예컨대, 스로틀 밸브(도시되지 않음)를 포함한다.
작동 시에, 프로세스 가스들 또는 전구체들이 가스 공급원(120)으로부터 처리 영역들(130 및 135)에 공급된다. 프로세스 가스들 또는 전구체들은 천공된 면판들(115)의 개구부들(125)을 통해 유동한다. 프로세스 가스들 또는 전구체들의 플라즈마는 전원(140)에 의해 처리 영역들(130 및 135) 각각에 형성된다. 플라즈마는 처리 영역들(130 및 135) 각각에서 가열식 페디스털(145)에 의해 지지되는 기판(도시되지 않음) 상에 막들을 형성하거나 기판으로부터 막들을 식각한다.
처리 챔버들(105) 각각에서의 기판들 상의 막 형성 또는 기판들의 식각의 다수의 주기들 이후에, 처리 챔버들(105)의 내부가 세정된다. 챔버 세정 프로세스들("스트리핑" 프로세스로 또한 알려짐)은 반도체 제조에서 막 퇴적을 개선한다. 챔버 세정 프로세스들은 챔버의 건강 및 기판에 대한 프로세스 안정성을 제어한다. 반도체 디바이스들이, 더 높은 메모리 밀도를 활용하고, 그러므로, 더 두꺼운 다중스택 구조들(즉, 3D VNAND, 3D ReRAM, DRAM, NAND, 로직 및 파운드리)을 활용하기 때문에, 최단 양의 시간 내에 챔버를 완전히 세정하는 능력은 처리량을 증가시킨다. 현재의 세정 프로세스들 내에서, 막 두께가 고종횡비 요건들을 충족시키도록 스케일링될 때, 세정 시간도 마찬가지로 증가할 것이다. 예를 들어, 하드 마스크들의 두께가 2배 증가될 때, 프로세스 시간은 시간당 생산 툴당 동일한 처리량을 충족시키기 위해 이전 세대의 디바이스들의 1/2일 것으로 예상된다.
섭씨 약 400 도 초과의 온도들에서 탄소 하드마스크들을 사용하는 화학 기상 퇴적(CVD)은 반도체 디바이스 제조를 위한 가장 일반적인 하드마스크 프로세스들 중 하나이다. 이는, 세정 프로세스들에 대한 화학적 단순성 및 마스크들의 높은 식각 선택성에 기인한다. 비교적 높은 식각 선택성 및 퇴적의 용이성으로 인해, 최대 약 10 미크론(㎛)의 탄소 막들이 하드마스크로서 사용된다. 그러나, 차세대 디바이스들은 훨씬 더 두꺼운 다중스택 구조들을 활용하기 때문에, 처리량을 증가시킬 필요가 있다. 예를 들어, 탄소 기재의 하드마스크들(C, Si, N, O, F의 단일 성분 또는 다수의 성분들)이다.
반도체 디바이스들의 처리가 진보함에 따라, 챔버의 세정 속도가 전체 생산에 대해 병목을 초래할 수 있다는 것이 고려된다. 또한, 챔버를 과소세정하는 것은 시간이 지남에 따라 챔버에 축적된 잔류물들을 야기할 수 있고, 하드웨어 구성요소들을 더 손상시키거나 그러한 하드웨어 구성요소들을 보수하는 능력을 제한할 수 있다.
통상적으로, RF 세정은 고온들(섭씨 약 400 도 초과)에서 활용된다. RF 세정 프로세스들과 비교하여 RPS 세정이, 약간 더 높은 식각 속도를 갖더라도, 플루오린 기재의 화학물질을 사용하는 원격 플라즈마(RPS) 세정 프로세스는 AlFx 입자들의 형성으로 인해 실행가능한 선택사항이 아니다. 또한, 현재의 아르곤(Ar) 및 산소(O2) 기재의 RF 세정 화학물질은 천공된 면판(115)의 개구부들(125)에 형성된 산탄화알루미늄(AlOx)을 제거할 수 없다. 추가적으로, O2 함유 화학물질을 사용하는 RF 세정은 다수의 난제들을 제공하는데, 그 중 하나는 더 높은 간격(예를 들어, 가열식 페디스털(145)과 천공된 면판(115) 사이의 처리 영역들(130 및 135)의 크기)에서의 비교적 불안정한 플라즈마로 인한 불충분한 챔버 바닥 세정이다.
또한, 천공된 면판(115)의 개구부들(125) 상의 AlOx 형성은 천공된 면판(115)의 방사율(emissivity)을 변화시킨다. 방사율 변화는 시간에 따른 프로세스 드리프트를 야기하고/하거나 기판 간 반복성에 영향을 준다.
본원에 개시된 실시예들에 따르면, 다중 공급원 플라즈마 세정 방법이 제공된다. 본원에 설명된 바와 같은 다중 공급원 플라즈마 세정 방법은, 종래의 세정 방법들에 비해, 챔버 구성요소들을 효율적으로 세정하면서 처리량을 극적으로 증가시킨다.
시험을 통해, 플루오린 기반의 RPS 세정이 일반적으로, 단독 RF 세정 프로세스(예를 들어, 인-시튜로 생성된 플라즈마)보다 우수한 세정 효율을 제공한다는 것을 밝혀냈다. 그러나, 고전력 RF 세정 프로세스들은 챔버의 특정 영역들에서 RPS 세정과 비교하여 유사하거나 훨씬 더 큰 세정 효율을 제공한다. 본원에 개시된 바와 같은 다중 공급원 플라즈마 세정 방법은 RF 세정과 RPS 세정을 조합하고 우수한 결과들을 낸다.
예를 들어, (천공된 면판(115)과 가열식 페디스털(145) 사이의) 전원(140)을 사용하여 처리 영역들(130 및 135)에 적용되는, 질소/산소(N2/O2) 혼합물을 사용하는 RF 세정 프로세스가 본원에 제공된다. N2/O2 혼합물은 약 1~50% N2 대 약 99~50% O2이다. N2/O2 혼합물은 약 5 L 내지 약 25 L(slm)의 유량으로 제공된다. 챔버의 압력은 약 2 Torr 내지 약 15 Torr이다. RF 전력은 약 1000 W 내지 약 5000 W이고, 이는 처리 영역들(130 및 135)의 섭씨 약 400도를 초과하는 온도를 제공한다.
RPS 세정 프로세스가, 위에서 설명된 RF 세정 프로세스와 함께, 본원에 제공된다. RPS 세정 프로세스는, 원격 플라즈마 공급원들(110)을 사용하여, 처리 챔버들(105)의 하부 부분을 세정한다. 예를 들어, 처리 챔버들(105)의 측벽들(160)은 삼플루오린화질소/산소(NF3/O2) 혼합물을 사용하는 RPS 세정 프로세스로 세정된다. 측벽들(160)은 전형적으로, 처리 영역들(130 및 135)에 인접한 처리 챔버들(105)의 구성요소들보다 훨씬 더 차갑다. 예를 들어, 처리 영역들(130 및 135)의 온도가 섭씨 약 400 도 이상인 반면에, 측벽들(160)은 적어도 섭씨 100 도 더 차갑다. NF3/O2 혼합물은 처리 챔버들(105)에 결합된 세정 가스 공급원(165)에 의해 제공된다. NF3/O2 혼합물은 원격 플라즈마 공급원들(110)에서 플라즈마로 활성화되고, 이러한 활성화된 상태로 처리 챔버들(105)에 제공된다. NF3/O2 혼합물은 약 1~50% NF3 대 약 99~50% O2이다. NF3/O2 혼합물은 약 5 L 내지 약 25 L(slm)의 유량으로 제공된다. 챔버의 압력은 약 2 Torr 내지 약 15 Torr이다. RPS 세정 프로세스는 RF 세정 프로세스와 동시에 제공될 수 있거나, RPS 세정 프로세스는 RF 세정 프로세스 직후에 제공된다. 예를 들어, RPS 세정 프로세스는 RF 세정 프로세스 후에 챔버가 배기되고 퍼징된 후에 수행된다.
RF 세정 프로세스는 천공된 면판(115)의 개구부들(125)뿐만 아니라, 처리 영역들(130 및 135)에 인접한, 처리 챔버들(105)의 다른 부분들도 세정하는 데 활용된다. RF 세정 프로세스는 매우 빠르고 효율적이며, 천공된 면판(115)의 또는 천공된 면판 상의 국부화된 AlOx 형성들을 제거한다. 예를 들어, 천공된 면판(115)의 개구부들(125)은 개구부들(125)에 인접한 저압 구역을 도입하는 원뿔 형상이다. 종래의 아르곤 기반 퇴적 프로세스들은 이러한 저압 영역에 미세 아킹을 도입한다. 산화알루미늄의 천공된 면판(115) 상의 탄소 막들은 산탄화알루미늄으로 전환된다. 이러한 산탄화알루미늄은 Ar/O2 RF 세정 화학물질들에 의해서는 제거가 극도로 어렵거나 심지어 제거가능하지 않다. 그러나, N2/O2 세정 화학물질을 사용하여, 산탄화알루미늄이 완전히 제거된다. 그 다음, 짧은 RPS 세정은 매우 높은 세정 효율로 챔버의 나머지를 세정할 것이다. 또한, RF 세정과 함께 N2/O2를 사용하는 것은, 위에서 설명된 미세 아킹으로 인해, 천공된 면판(115)의 개구부들(125)에 형성된 잔류물에 대해 훌륭한 해결책을 제공한다. N2/O2 RF 세정은, 시간에 따른 방사율 변화들로 인한 프로세스 드리프트에 대한 효과적인 제어 메커니즘을 제공하고, 또한, 가열식 페디스털(145) 상에서의 기판의 기판 미끄러짐/정전 척킹 안정성에 대한 제어 메커니즘을 제공한다.
도 2는 상이한 전극 간격(천공된 면판(115)과 가열식 페디스털(145) 사이의 거리)에서의 세정 속도의 차이를 도시하는 그래프(200)이다. 곡선(205)은 본원에 설명된 바와 같은 N2/O2 RF 세정 방법의 성능을 도시한다. N2/O2 RF 세정 방법은 본원에 설명된 바와 같은 NF3/O2 RPS 세정 방법(곡선(210)으로 도시됨)과 비교된다. RF 세정은 챔버의 최상부(처리 영역들(130 및 135) 근처)에서 RPS 세정(식각) 속도와 비슷한 세정(식각) 속도를 갖는다. 그러나, 간격이 증가됨에 따라, N2/O2 세정 속도가 극적으로 감소한다.
대조적으로, NF3/O2 RPS 세정 방법은, 챔버의 바닥에서조차도, 그만큼 극적으로 감소하지 않는다. 챔버의 바닥에서의 NF3/O2 RPS의 세정 속도는 N2/O2 RF 세정 방식의 세정 속도와 비교하여 약 6배 더 크다. 또한, RPS로부터 도입되는 NF3/O2는 처리 영역들(130 및 135) 아래의 챔버를 주로 세정하고 있기 때문에, 원격 플라즈마 공급원들(110)의 작동 시간이 최소화된다. 이는 또한, 챔버 내부에 제공되는 플루오린의 감소로 인해, 챔버 측벽들(160) 상의 AlFx 형성을 최소화한다. 추가적으로, 챔버 구성요소들 상의 임의의 AlFx 형성은, 처리 중인 기판 상에 떨어지기보다는, 챔버의 바닥에 떨어지고, 이는 펌프(150)에 의해 제거될 수 있다.
증가된(약 2배 증가) 높은 RF 전력에 대해서는, 본원에 설명된 바와 같은 다중 공급원 플라즈마 세정 방법은, 세정 효율이, 인가된 RF 전력과 대략 상관되긴 하지만, 세정 효율은 더 큰 간격에 따라 극적으로 감소한다는 것을 보여준다.
많은 제조 프로세스들에서, 퇴적 후 세정에는 챔버 표면들을 컨디셔닝하기 위한 챔버 시즈닝 프로세스가 후속된다. 위에서 설명된 바와 같이, 질소-산소 기재의 RF 플라즈마는 질화알루미늄의 산탄화알루미늄 표면 패시베이션을 제거할 것이고, 또한, 폴리머 C-N 층을 형성하는 것에 추가하여 질화알루미늄 표면을 복구함으로써 AlFx의 형성을 최소화한다.
폴리머 C-N 층의 존재는 다수의 이점들을 제공한다. 폴리머 C-N 층의 제1 이점은 (질화알루미늄(AlN)으로 만들어진) 가열식 페디스털(145)의 마찰 계수의 증가이다. 증가된 마찰 계수는 기판 미끄러짐을 감소시켜, 아킹의 가양성(false positive) 예들, 사양에서 벗어난 막내 결함 발생, 기판 치핑 및 하드웨어 손상, 척킹의 손실, 및 후면측 침식현상을 완화시킨다. 완화된 기판 미끄러짐에 대한 이전의 전략들은, 예방 유지보수로서의 독립형 처리 또는 사양을 벗어난 성능을 복구하기 위한 독립형 처리를 통해, 줄어든 기판 중심 오차들을 보여줬다. N2/O2 RF 플라즈마를 사용하여 개발된 접근법은 세정과 병행하여 발생하고, 중앙의-기판 성능의 품질을 유지한다.
C-N 층의 제2 이점은 플루오린 기반 RPS 세정에 대한 노출로 인한 AlFx의 형성의 최소화이다. C-N은 플루오린 기반 식각에 대해 내성이 높기 때문에, C-N 필름 층 아래의 AlN은 플루오린 라디칼들로부터 보호된다. 또한, AlN은 RF 세정 동안 N2/O2 플라즈마로부터 능동적으로 유지되고, AlFx의 형성으로 인한 가열기 손상이 완화되며, 이는 500개 초과의 기판들에 대해 안정적인 막 특성들을 보여주는 반복성 시험에 의해 입증된다. 반복성 시험은 사양들의 안정적인 퇴적 속도들, 균일성 및 막 특성들뿐만 아니라, 결함들의 감소도 보여주었다.
본원에 설명된 바와 같은 다중 공급원 플라즈마 세정 방법을 활용하여, 섭씨 약 400 도 초과의 온도에서의 높은 처리량의 프로세스의 성공적인 구현이 제공된다. 본원에 설명된 바와 같은 다중 공급원 플라즈마 세정 방법은 높은 RF 전력 및 RPS 세정을 이용한 높은 처리량을 위해 구성된 챔버들을 위한 임의의 다른 프로세스들(예를 들어, 산화물/질화물/도핑된 탄소 프로세스)에 적용될 수 있다. 본원에 설명된 바와 같은 다중 공급원 플라즈마 세정 방법은 높은 처리량의 해결책을 제공함으로써, 향상된 품질 제어를 제공한다.
전술한 내용은 본 개시내용의 구현들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 구현들은 그의 기본 범위로부터 벗어나지 않고 안출될 수 있으며, 그의 범위는 이하의 청구항들에 의해 결정된다.

Claims (15)

  1. 퇴적 챔버를 세정하는 방법으로서,
    질소 함유 가스를 상기 퇴적 챔버 내의 처리 영역 내로 유동시키는 단계;
    무선 주파수 전력을 활용하여 상기 처리 영역에 플라즈마를 타격하는 단계;
    세정 가스를 상기 퇴적 챔버에 유체적으로 연결된 원격 플라즈마 공급원(remote plasma source) 내로 도입하는 단계;
    상기 원격 플라즈마 공급원에서 상기 세정 가스의 반응성 종들(reactive species)을 생성하는 단계;
    상기 세정 가스를 상기 퇴적 챔버 내로 도입하는 단계; 및
    상기 퇴적 챔버의 내부 표면들 상의 퇴적물들을 상이한 식각 속도들(etch rates)로 제거하는 단계
    를 포함하는, 방법.
  2. 제1항에 있어서,
    상기 질소 함유 가스는 질소 및 산소를 포함하는, 방법.
  3. 제2항에 있어서,
    상기 세정 가스는 질소 및 산소를 포함하는, 방법.
  4. 제3항에 있어서,
    상기 세정 가스는 플루오린을 포함하는, 방법.
  5. 제1항에 있어서,
    상기 세정 가스는 삼플루오린화질소 및 산소를 포함하는, 방법.
  6. 제1항에 있어서,
    상기 세정 가스는 상기 질소 함유 가스와 동시에 상기 퇴적 챔버 내로 유동되는, 방법.
  7. 제1항에 있어서,
    상기 세정 가스는 상기 질소 함유 가스가 상기 퇴적 챔버 내로 유동된 이후에 상기 퇴적 챔버 내로 유동되는, 방법.
  8. 퇴적 챔버를 세정하는 방법으로서,
    질소 함유 가스를 상기 퇴적 챔버 내의 처리 영역 내로 유동시키는 단계;
    무선 주파수 전력을 활용하여 상기 처리 영역에 플라즈마를 타격하는 단계;
    세정 가스를 상기 퇴적 챔버에 유체적으로 연결된 원격 플라즈마 공급원 내로 도입하는 단계;
    상기 원격 플라즈마 공급원에서 상기 세정 가스의 반응성 종들을 생성하는 단계;
    상기 세정 가스를 상기 퇴적 챔버 내로 도입하는 단계; 및
    상기 퇴적 챔버의 내부 표면들 상의 퇴적물들을 상이한 온도들에서 제거하는 단계
    를 포함하는, 방법.
  9. 제8항에 있어서,
    상기 퇴적 챔버의 상부 부분은 상기 질소 함유 가스를 사용하여 세정되는, 방법.
  10. 제9항에 있어서,
    상기 퇴적 챔버의 하부 부분은 상기 세정 가스를 사용하여 세정되는, 방법.
  11. 제8항에 있어서,
    상기 질소 함유 가스는 질소 및 산소를 포함하는, 방법.
  12. 제11항에 있어서,
    상기 세정 가스는 질소 및 산소를 포함하는, 방법.
  13. 제12항에 있어서,
    상기 세정 가스는 플루오린을 포함하는, 방법.
  14. 제8항에 있어서,
    상기 세정 가스는 상기 질소 함유 가스와 동시에 상기 퇴적 챔버 내로 유동되는, 방법.
  15. 퇴적 챔버를 세정하는 방법으로서,
    제1 가스를 상기 퇴적 챔버 내의 처리 영역 내로 유동시키는 단계;
    무선 주파수 전력을 활용하여 상기 처리 영역에 상기 제1 가스의 플라즈마를 타격하는 단계;
    제2 가스를 상기 퇴적 챔버에 유체적으로 연결된 원격 플라즈마 공급원 내로 도입하는 단계;
    상기 원격 플라즈마 공급원에서 상기 제2 가스의 반응성 종들을 생성하는 단계;
    상기 제2 가스를 상기 퇴적 챔버 내로 도입하는 단계; 및
    상기 퇴적 챔버의 내부 표면들 상의 퇴적물들을 상이한 온도들에서 상이한 식각 속도들로 제거하는 단계
    를 포함하는, 방법.
KR1020217028835A 2019-02-11 2020-02-07 프로세스 챔버를 세정하기 위한 방법 KR20210116679A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962803898P 2019-02-11 2019-02-11
US62/803,898 2019-02-11
US201962810691P 2019-02-26 2019-02-26
US62/810,691 2019-02-26
PCT/US2020/017233 WO2020167607A1 (en) 2019-02-11 2020-02-07 Method for cleaning process chamber

Publications (1)

Publication Number Publication Date
KR20210116679A true KR20210116679A (ko) 2021-09-27

Family

ID=71945909

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217028835A KR20210116679A (ko) 2019-02-11 2020-02-07 프로세스 챔버를 세정하기 위한 방법

Country Status (7)

Country Link
US (1) US20200255940A1 (ko)
JP (1) JP2022519702A (ko)
KR (1) KR20210116679A (ko)
CN (1) CN113498442A (ko)
SG (1) SG11202108354SA (ko)
TW (1) TW202035775A (ko)
WO (1) WO2020167607A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11772137B2 (en) 2021-07-23 2023-10-03 Applied Materials, Inc. Reactive cleaning of substrate support
CN114318306B (zh) * 2021-12-17 2023-08-01 杭州富芯半导体有限公司 半导体工艺方法及适用该半导体工艺方法的多腔室工艺设备

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6003526A (en) * 1997-09-12 1999-12-21 Taiwan Semiconductor Manufacturing Company, Ltd In-sit chamber cleaning method
KR100467082B1 (ko) * 2000-03-02 2005-01-24 주성엔지니어링(주) 반도체소자 제조장치 및 그 클리닝방법
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
US7588036B2 (en) * 2002-07-01 2009-09-15 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
US6923189B2 (en) * 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
CN101378850A (zh) * 2006-02-21 2009-03-04 应用材料股份有限公司 加强用于介电膜层的远程等离子体源清洁
US20070248767A1 (en) * 2006-04-19 2007-10-25 Asm Japan K.K. Method of self-cleaning of carbon-based film
CN100549226C (zh) * 2006-04-29 2009-10-14 联华电子股份有限公司 化学气相沉积设备的清洁方法
US20150361547A1 (en) * 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
WO2018026509A1 (en) * 2016-08-05 2018-02-08 Applied Materials, Inc. Aluminum fluoride mitigation by plasma treatment
US10161034B2 (en) * 2017-04-21 2018-12-25 Lam Research Corporation Rapid chamber clean using concurrent in-situ and remote plasma sources

Also Published As

Publication number Publication date
US20200255940A1 (en) 2020-08-13
CN113498442A (zh) 2021-10-12
WO2020167607A1 (en) 2020-08-20
SG11202108354SA (en) 2021-08-30
JP2022519702A (ja) 2022-03-24
TW202035775A (zh) 2020-10-01

Similar Documents

Publication Publication Date Title
US10916407B2 (en) Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
KR102158307B1 (ko) 플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스
US9793135B1 (en) Method of cyclic dry etching using etchant film
US6923189B2 (en) Cleaning of CVD chambers using remote source with cxfyoz based chemistry
EP1827871B1 (en) Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
TW202335085A (zh) 改良的鍺蝕刻系統及方法
US10755903B2 (en) RPS defect reduction by cyclic clean induced RPS cooling
US11060189B2 (en) Method to enable high temperature processing without chamber drifting
JP7401593B2 (ja) 空隙を形成するためのシステム及び方法
US10688538B2 (en) Aluminum fluoride mitigation by plasma treatment
KR20210116679A (ko) 프로세스 챔버를 세정하기 위한 방법
US20200058539A1 (en) Coating material for processing chambers
CN112930580A (zh) 处理腔室部件的清洁方法
CN116568862A (zh) 陈化处理腔室的方法
JP2023531127A (ja) 選択的な金属化合物除去のためのシステム及び方法
TWI837885B (zh) 高深寬比特徵中的金屬沉積及蝕刻
US10886137B2 (en) Selective nitride removal