KR102158307B1 - 플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스 - Google Patents

플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스 Download PDF

Info

Publication number
KR102158307B1
KR102158307B1 KR1020187034567A KR20187034567A KR102158307B1 KR 102158307 B1 KR102158307 B1 KR 102158307B1 KR 1020187034567 A KR1020187034567 A KR 1020187034567A KR 20187034567 A KR20187034567 A KR 20187034567A KR 102158307 B1 KR102158307 B1 KR 102158307B1
Authority
KR
South Korea
Prior art keywords
plasma
processing chamber
containing gas
gas
plasma treatment
Prior art date
Application number
KR1020187034567A
Other languages
English (en)
Other versions
KR20180130596A (ko
Inventor
린 장
쉐송 루
앤드류 브이. 레
장석 오
신하이 한
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180130596A publication Critical patent/KR20180130596A/ko
Application granted granted Critical
Publication of KR102158307B1 publication Critical patent/KR102158307B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Abstract

본 개시내용의 실시예들은, 반도체 기판 제조 프로세스에 활용되는 플라즈마 프로세싱 챔버에 대한 인-시튜 챔버 세정 효율 향상 프로세스에 대한 방법들을 포함한다. 일 실시예에서, 플라즈마 프로세스를 세정한 후에 플라즈마 처리 프로세스를 수행하기 위한 방법은, 플라즈마 프로세싱 챔버에서, 플라즈마 프로세싱 챔버 상에 배치된 기판이 없을 시, 세정 프로세스를 수행하는 단계; 후속하여, 적어도 수소 함유 가스 및/또는 산소 함유 가스를 포함하는 플라즈마 처리 가스 혼합물을 플라즈마 프로세싱 챔버 내에 공급하는 단계; 플라즈마 처리 가스 혼합물로부터 플라즈마를 형성하기 위해 프로세싱 챔버에 RF 소스 전력을 인가하는 단계; 및 프로세싱 챔버의 내부 표면을 플라즈마 처리하는 단계를 포함한다.

Description

플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스
[0001] 본 개시내용의 실시예들은 일반적으로, 플라즈마 프로세싱 챔버의 세정 효율을 인-시튜(in-situ)로 향상시키기 위한 방법들 및 장치에 관한 것이다. 특히, 본 개시내용의 실시예들은, 플라즈마 프로세스 이후의 플라즈마 프로세싱 챔버의 세정 효율을 인-시튜로 향상시키기 위해 수행되는 플라즈마 처리 프로세스를 위한 방법들 및 장치에 관한 것이다.
[0002] 반도체 프로세싱은 다수의 상이한 화학적 및 물리적 프로세스들을 수반하며, 그에 의해, 미세한(minute) 집적 회로들이 기판 상에 생성된다. 집적 회로를 구성하는 재료들의 층들은, 화학 기상 증착, 물리 기상 증착, 에피택셜(epitaxial) 성장, 화학 처리, 전기화학 프로세스 등에 의해 생성된다. 재료의 층들 중 일부는, 포토레지스트 마스크들 및 습식 또는 건식 에칭 기법들을 사용하여 패터닝(pattern)된다. 집적 회로들을 형성하기 위해 활용되는 기판은, 실리콘, 갈륨 비소, 인듐 인화물, 유리, 또는 다른 적절한 재료일 수 있다.
[0003] 전형적인 반도체 프로세싱 챔버는, 프로세스 구역을 정의하는 챔버 바디(body), 가스 공급부로부터의 가스를 프로세스 구역 내에 공급하도록 적응되는 가스 분배 어셈블리, 기판 지지 어셈블리 상에 포지셔닝된 기판을 프로세싱하기 위해 프로세스 가스를 에너자이징(energize)하는 데 활용되는 가스 에너자이저(energizer)(예컨대, 플라즈마 생성기), 및 가스 배기부를 포함한다. 플라즈마 프로세싱 동안에, 에너자이징된 가스는 종종, 이온들, 라디칼(radical)들, 및 프로세싱 챔버 컴포넌트들, 예컨대 프로세싱 동안 기판을 홀딩(hold)하는 정전 척(electrostatic chuck)의 노출된 부분들을 에칭하고 침식시키는 고도로 반응성인 종으로 구성된다. 부가적으로, 프로세싱 부산물들이 종종 챔버 컴포넌트들 상에 증착되고, 이는 전형적으로 고도로 반응성인 불소로 주기적으로 세정되어야만 한다. 따라서, 프로세싱 챔버의 청결을 유지하기 위해서, 프로세싱 챔버로부터 부산물들을 제거하기 위해 주기적인 세정 프로세스가 수행된다. 챔버 컴포넌트들 또는 챔버 내측 벽들 상에 증착되는 부산물들은, 전형적으로 고도로 반응성인 화학물질(chemical)들로 주기적으로 세정된다. 프로세싱 및 세정 동안의 반응성 종으로부터의 공격은, 챔버 컴포넌트들의 수명을 감소시키고 서비스 빈도(frequency)를 증가시킨다. 부가적으로, 챔버 컴포넌트의 침식된 부분들로부터의 박편(flake)들(이를테면, 알루미늄 불화물(AlF))은 기판 프로세싱 동안 미립자 오염의 소스가 될 수 있다. 또한, 세정 프로세스 동안 비교적 높은 온도의 컴포넌트 표면 상에 형성된 AlF3은 승화될 수 있지만, 이후, 세정 프로세스 후에는, 샤워헤드와 같은 비교적 낮은 온도의 챔버 컴포넌트 표면 상에 증착된다. 이러한 잔류 증착물은, 조기의(premature) 챔버 컴포넌트 고장 및 빈번한 챔버 유지보수를 초래할 수 있다. 따라서, 프로세싱 챔버의 서비스 수명을 증가시키고, 챔버 작동불능 시간(downtime)을 감소시키고, 유지보수 빈도를 감소시키고, 그리고 제품 수율들을 개선하기 위해, 챔버 컴포넌트들의 플라즈마 내성을 촉진시키고 프로세싱 및 세정 동안 챔버 컴포넌트에 대한 손상을 감소시키는 것이 바람직하다.
[0004] 따라서, 챔버 컴포넌트들의 수명을 증가시키기 위해, 프로세싱 챔버의 청결뿐만 아니라 챔버 컴포넌트들의 무결성을 유지하기 위한 개선된 프로세스에 대한 필요성이 존재한다.
[0005] 본 개시내용의 실시예들은, 반도체 기판 제조 프로세스에 활용되는 플라즈마 프로세싱 챔버에 대한 인-시튜 챔버 세정 효율 향상 프로세스를 위한 방법들을 포함한다. 일 실시예에서, 플라즈마 프로세스를 세정한 후에 플라즈마 처리 프로세스를 수행하기 위한 방법은, 플라즈마 프로세싱 챔버에서, 내부에 배치된 기판이 없을 시, 세정 프로세스를 수행하는 단계; 후속하여, 적어도 수소 함유 가스 및/또는 산소 함유 가스를 포함하는 플라즈마 처리 가스 혼합물을 플라즈마 프로세싱 챔버 내에 공급하는 단계; 플라즈마 처리 가스 혼합물로부터 플라즈마를 형성하기 위해 프로세싱 챔버에 RF 소스 전력을 인가하는 단계; 및 프로세싱 챔버의 내부 표면을 플라즈마 처리하는 단계를 포함한다.
[0006] 다른 실시예에서, 인-시튜 챔버 세정을 위한 방법은, 플라즈마 프로세싱 챔버에서, 내부에 배치된 기판이 없을 시, 세정 프로세스를 수행하는 단계; 프로세싱 챔버에서 플라즈마 처리 프로세스를 인-시튜로 수행하는 단계; 및 프로세싱 챔버에서, 플라즈마 처리 프로세스 이후 시즈닝(seasoning) 프로세스를 수행하는 단계를 포함하며, 세정 프로세스, 플라즈마 처리 프로세스, 및 시즈닝 프로세스는, 플라즈마 프로세싱 챔버에 통합되는 단일 레시피에 의해 제어된다.
[0007] 또 다른 실시예에서, 플라즈마 프로세스를 세정한 후에 플라즈마 처리 프로세스를 수행하기 위한 방법은, 원격 플라즈마 소스로부터 공급되는 불소 함유 가스를 포함하는 세정 가스 혼합물을 플라즈마 프로세싱 챔버에 공급하는 단계; 프로세싱 챔버의 내부 표면으로부터 금속 오염물들을 제거하기 위해서, 플라즈마 처리 가스 혼합물에서 생성되는, RF 소스 전력으로부터의 플라즈마를 형성하기 위해, 산소 함유 가스 및 수소 함유 가스를 포함하는 플라즈마 처리 가스 혼합물을 공급하는 단계; 및 플라즈마 프로세싱 챔버의 내부 표면 상에 시즈닝 층을 형성하기 위해 시즈닝 막 가스 혼합물을 공급하는 단계를 포함한다.
[0008] 본 개시내용의 상기 언급된 특징들이 상세하게 이해될 수 있는 방식으로, 위에서 간략하게 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조하여 이루어질 수 있으며, 이러한 실시예들 중 일부가 첨부된 도면들에 예시되어 있다. 하지만, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 유의되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0009] 도 1은 본 개시내용의 일 실시예에 따른 플라즈마 프로세싱 챔버의 개략도를 도시한다.
[0010] 도 2는 본 개시내용의 일 실시예에 따른, 세정 프로세스 이후 플라즈마 처리 프로세스를 수행하기 위한 방법의 흐름도를 도시한다.
[0011] 이해를 용이하게 하기 위해, 가능한 경우, 도면들에 대해 공통된 동일한 엘리먼트들을 지정하기 위해 동일한 참조 번호들이 사용되었다. 일 실시예에 개시된 엘리먼트들은, 특정 언급 없이 다른 실시예들 상에서 유리하게 활용될 수 있음이 고려된다.
[0012] 본 개시내용의 실시예들은, 플라즈마 프로세싱 챔버에서 수행되는 인-시튜 세정 프로세스의 세정 효율을 향상시키기 위한 방법들 및 장치를 제공한다. 일 예에서, 세정 프로세스의 세정 효율은, 챔버 세정 프로세스 이후 플라즈마 처리 프로세스를 수행하여 프로세싱 챔버로부터 프로세스 부산 잔류물(by-product residual)들을 제거함으로써 향상될 수 있다. 플라즈마 처리 동안 활용되는 플라즈마 처리 가스 혼합물의 일 예는, 수소 함유 가스 혼합물(이를테면, H2 가스) 및/또는 산소 함유 가스 혼합물을 포함한다. 플라즈마 처리 프로세스 이후, 이어서, 프로세싱 챔버의 내부 표면 상에 시즈닝 층을 코팅하기 위해 시즈닝 프로세스가 수행될 수 있다.
[0013] 도 1은, 반도체 디바이스들의 제조를 위한 반도체 상호연결 구조들로서 활용될 수 있는, 플라즈마 프로세스를 수행하기에 적절한 플라즈마 프로세싱 시스템(132)의 횡단면도이다. 프로세싱 시스템(132)은, California 주 Santa Clara의 Applied Materials, Inc.로부터 입수가능한, 적절하게 적응된 CENTURA® 또는 Producer® SE 또는 Producer® GT 또는 Producer® XP 프로세싱 시스템일 수 있다. 다른 제조자들에 의해 생산되는 것들을 포함하는 다른 프로세싱 시스템들이 본원에 설명된 실시예들로부터 이익을 얻을 수 있는 것으로 고려된다.
[0014] 프로세싱 시스템(132)은 챔버 바디(151)를 포함한다. 챔버 바디(151)는, 내부 볼륨(volume)(126)을 정의하는, 리드(lid)(125), 측벽(101), 및 최하부 벽(122)을 포함한다.
[0015] 챔버 바디(151)의 내부 볼륨(126)에 기판 지지 페디스털(pedestal)(150)이 제공된다. 페디스털(150)은, 알루미늄, 세라믹, 알루미늄 질화물, 및 다른 적절한 재료들로 제조될 수 있다. 일 실시예에서, 페디스털(150)은 알루미늄 질화물과 같은 세라믹 재료로 제조되는데, 이러한 재료는, 페디스털(150)에 열적 손상을 야기함이 없이 플라즈마 프로세스 환경과 같은 고온 환경에서 사용하기에 적절한 재료이다. 페디스털(150)은, 리프트(lift) 메커니즘(도시되지 않음)을 사용하여 챔버 바디(151) 내부에서 수직 방향으로 이동될 수 있다.
[0016] 페디스털(150)은, 페디스털(150) 상에 지지되는 기판(190)의 온도를 제어하기에 적절한 매립형(embedded) 가열기 엘리먼트(170)를 포함할 수 있다. 일 실시예에서, 페디스털(150)은, 전력 공급부(106)로부터 가열기 엘리먼트(170)에 전류를 인가함으로써 저항적으로(resistively) 가열될 수 있다. 일 실시예에서, 가열기 엘리먼트(170)는, 니켈-철-크롬 합금(예컨대, INCOLOY®) 시스(sheath) 튜브에 캡슐화된(encapsulated) 니켈-크롬 와이어로 만들어질 수 있다. 전력 공급부(106)로부터 공급되는 전류가 제어기(110)에 의해 조절되어, 가열기 엘리먼트(170)에 의해 생성되는 열을 제어하며, 이에 의해, 필름 증착 동안, 기판(190) 및 페디스털(150)이 임의의 적절한 온도 범위에서 실질적으로 일정한 온도로 유지된다. 다른 실시예에서, 페디스털은 필요에 따라 실온으로 유지될 수 있다. 또 다른 실시예에서, 페디스털(150)은, 필요에 따라 실온보다 낮은 범위로 페디스털(150)을 냉각시키기 위해, 필요에 따라 냉각장치(chiller)(도시되지 않음)를 또한 포함할 수 있다. 공급되는 전류는, 페디스털(150)의 온도를 약 섭씨 100 도 내지 약 섭씨 700 도로 선택적으로 제어하도록 조정될 수 있다.
[0017] 종래의 방식으로 페디스털(150)의 온도를 모니터링하기 위해, 열전대(thermocouple)와 같은 온도 센서(172)가 기판 지지 페디스털(150)에 매립될 수 있다. 측정된 온도는, 제어기(110)에 의해, 기판을 원하는 온도로 유지하기 위해, 가열기 엘리먼트(170)에 공급되는 전력을 제어하는 데 사용된다.
[0018] 페디스털(150)은 일반적으로, 페디스털(150)로부터 기판(190)을 리프팅하고 그리고 통상적인 방식으로의 로봇(도시되지 않음)을 이용한 기판(190)의 교환을 용이하게 하도록 구성된, 그러한 페디스털(150)을 통해 배치되는 복수의 리프트 핀들(도시되지 않음)을 포함한다.
[0019] 페디스털(150)은, 페디스털(150) 상에 기판(190)을 리테이닝(retain)하기 위해 적어도 하나의 전극(192)을 포함한다. 전극(192)은, 통상적으로 알려져 있는 바와 같이, 페디스털 표면에 기판(190)을 홀딩하는 정전력을 발생시키도록 척킹(chucking) 전력 소스(108)에 의해 드라이빙(drive)된다. 대안적으로, 기판(190)은, 클램핑(clamping), 진공, 또는 중력에 의해 페디스털(150)에 리테이닝될 수 있다.
[0020] 일 실시예에서, 페디스털(150)은, 2개의 RF 바이어스 전력 소스들(184, 186)로서 도 1a에 도시된 적어도 하나의 RF 바이어스 전력 소스에 커플링되는 전극(192)이 내부에 매립된 캐소드로서 구성된다. 도 1a에서 도시된 예가 2개의 RF 바이어스 전력 소스들(184, 186)을 도시하지만, RF 바이어스 전력 소스들의 개수는 필요에 따라 임의의 개수일 수 있다는 것이 유의된다. RF 바이어스 전력 소스들(184, 186)은 페디스털(150)에 배치된 전극(192)과 다른 전극(이를테면, 프로세싱 시스템(132)의 천장(125) 또는 가스 분배 플레이트(142)) 사이에 커플링된다. RF 바이어스 전력 소스(184, 186)는, 프로세싱 시스템(132)의 프로세싱 구역에 배치된 가스들로부터 형성되는 플라즈마 방전을 여기(excite)시키고 지속시킨다.
[0021] 도 1에 도시된 실시예에서, 듀얼 RF 바이어스 전력 소스들(184, 186)은, 매칭 회로(104)를 통해, 페디스털(150)에 배치된 전극(192)에 커플링된다. RF 바이어스 전력 소스(184, 186)에 의해 생성되는 신호는, 플라즈마 프로세싱 시스템(132)에 제공된 가스 혼합물을 이온화시킴으로써 증착 또는 다른 플라즈마 강화 프로세스를 수행하는 데 필요한 이온 에너지를 제공하도록, 매칭 회로(104)를 거쳐 단일 피드(feed)를 통하여 페디스털(150)에 전달된다. RF 바이어스 전력 소스들(184, 186)은 일반적으로, 약 50 kHz 내지 약 200 MHz의 주파수 및 약 0 와트 내지 약 5000 와트의 전력을 갖는 RF 신호를 생성할 수 있다.
[0022] 챔버 바디(151)의 최하부(122)에 형성되는 포트에 진공 펌프(102)가 커플링된다. 진공 펌프(102)는 챔버 바디(151)에서 원하는 가스 압력을 유지하는 데 사용된다. 진공 펌프(102)는 또한, 프로세싱-후(post-processing) 가스들 및 프로세스의 부산물들을 챔버 바디(151)로부터 진공배기(evacuate)한다.
[0023] 프로세싱 시스템(132)은, 프로세싱 시스템(132)의 리드(125)를 통해 커플링되는 하나 이상의 가스 전달 통로들(144)을 포함한다. 가스 전달 통로들(144) 및 진공 펌프(102)는, 미립자 오염을 최소화하기 위해 내부 볼륨(126) 내에서 층류(laminar flow)를 유발하도록 프로세싱 시스템(132)의 대향하는 단부들에 포지셔닝된다.
[0024] 가스 전달 통로(144)는, 내부 볼륨(126) 내에 가스 혼합물을 제공하기 위해, 원격 플라즈마 소스(RPS; remote plasma source)(148)를 통해 가스 패널(193)에 커플링된다. 일 실시예에서, 가스 전달 통로(144)를 통해 공급되는 가스 혼합물은, 가스 전달 통로(144) 아래에 배치되는 가스 분배 플레이트(142)를 통해 추가로 전달될 수 있다. 일 예에서, 복수의 애퍼쳐(aperture)들(143)을 갖는 가스 분배 플레이트(142)는, 페디스털(150) 위에서 챔버 바디(151)의 리드(125)에 커플링된다. 가스 분배 플레이트(142)의 애퍼쳐들(143)은, 가스 패널(193)로부터의 프로세스 가스들을 챔버 바디(151) 내에 도입시키는 데 활용된다. 애퍼쳐들(143)은, 상이한 프로세스 요건들을 위한 다양한 프로세스 가스들의 유동을 용이하게 하기 위해, 상이한 사이즈들, 개수, 분포들, 형상, 설계, 및 직경들을 가질 수 있다. 기판(190)의 표면(191) 상에 재료의 증착을 초래하는 프로세스 가스들의 열 분해를 향상시키기 위해, 가스 분배 플레이트(142)를 빠져나가는 프로세스 가스 혼합물로부터 플라즈마가 형성된다.
[0025] 가스 분배 플레이트(142) 및 기판 지지 페디스털(150)은, 내부 볼륨(126)에서, 이격된 전극들의 쌍으로 형성할 수 있다. 하나 또는 그 초과의 RF 소스들(147)은, 가스 분배 플레이트(142)와 페디스털(150) 사이에서 플라즈마의 생성을 용이하게 하기 위해, 바이어스 전위를 매칭 네트워크(145)를 통해 가스 분배 플레이트(142)에 제공한다. 대안적으로, RF 소스들(147) 및 매칭 네트워크(145)는, 가스 분배 플레이트(142) 또는 기판 지지 페디스털(150)에 커플링될 수 있거나, 또는 가스 분배 플레이트(142) 및 기판 지지 페디스털(150) 둘 모두에 커플링될 수 있거나, 또는 챔버 바디(151) 외부에 배치된 안테나(도시되지 않음)에 커플링될 수 있다. 일 실시예에서, RF 소스들(147)은, 약 30 kHz 내지 약 13.6 MHz의 주파수에서 약 10 와트 내지 약 3000 와트를 제공할 수 있다. 대안적으로, RF 소스(147)는, 내부 볼륨(126)에서의 플라즈마의 생성을 보조하는 마이크로파 전력을 가스 분배 플레이트(142)에 제공하는 마이크로파 생성기일 수 있다.
[0026] 가스 패널(193)로부터 공급될 수 있는 가스들의 예들은, 실리콘 함유 가스, 불소 함유 가스, 산소 함유 가스, 수소 함유 가스, 불활성 가스 및 캐리어 가스들을 포함할 수 있다. 반응 가스들의 적절한 예들은, SiH4, Si2H6, SiF4, SiH2Cl2, Si4H10, Si5H12, TEOS 등과 같은 실리콘 함유 가스를 포함한다. 적절한 캐리어 가스는, 질소(N2), 아르곤(Ar), 수소(H2), 알칸류, 알켄류, 헬륨(He), 산소(O2), 오존(O3), 수증기(H2O) 등을 포함한다.
[0027] 일 실시예에서, 내부 볼륨(126)에서, 가스 패널(193)로부터 그 내부 볼륨 내에 공급되는 가스들로부터 플라즈마를 형성하는 것을 보조하기 위해, 원격 플라즈마 소스(RPS)(148)가 가스 전달 통로들(144)에 교번적으로(alternatively) 커플링될 수 있다. 원격 플라즈마 소스(148)는, 가스 패널(193)에 의해 제공되는 가스 혼합물로부터 형성되는 플라즈마를 프로세싱 시스템(132)에 제공한다.
[0028] 제어기(110)는, 프로세스 시퀀스를 제어하고 가스 패널(193)로부터의 가스 유동들을 조절하는 데 활용되는, CPU(central processing unit)(112), 메모리(116), 및 지원 회로(114)를 포함한다. CPU(112)는 산업 현장(industrial setting)에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서일 수 있다. 소프트웨어 루틴(routine)들은 메모리(116), 이를테면, 랜덤 액세스 메모리, 판독 전용 메모리, 플로피, 또는 하드 디스크 드라이브, 또는 다른 형태의 디지털 저장소에 저장될 수 있다. 지원 회로(114)는 통상적으로 CPU(112)에 커플링되고, 캐시, 클록 회로들, 입력/출력 시스템들, 전력 공급부들 등을 포함할 수 있다. 제어기(110)와 프로세싱 시스템(132)의 다양한 다른 컴포넌트들 사이의 양-방향 통신들은 신호 버스들(118)로서 통칭되는 다수의 신호 케이블들을 통해 처리되며, 그 중 일부는 도 1에 예시된다.
[0029] 도 2는, 도 1에 도시된 플라즈마 프로세싱 시스템(132)과 같은 플라즈마 프로세싱 챔버를 세정한 후의 세정 효율을 향상시키기 위한 방법(200)을 예시한다. 방법(200)은, 본 개시내용의 실시예들에 따른, 세정 효율 향상 프로세스를 단일 세정 단계(예컨대, 단일 세정 레시피)에 통합할 수 있는 인-시튜 챔버 세정 프로세스를 포함한다.
[0030] 방법(200)은, 동작(202)에서, 플라즈마 프로세싱 챔버에서 세정 프로세스를 수행함으로써 시작된다. 플라즈마 프로세싱 시스템(132)이 일정 시간 기간 동안 유휴상태에 있은 후에, 또는 플라즈마 프로세싱 시스템(132)에서 플라즈마 프로세스(증착, 에칭, 스퍼터링, 또는 임의의 플라즈마 연관 프로세스를 포함함)가 수행된 후에, 챔버 잔류물들 또는 다른 오염물들을 제거하기 위해 세정 프로세스가 수행될 수 있다. 플라즈마 프로세싱 챔버의 내부(챔버 벽들, 기판 페디스털, 또는 플라즈마 프로세싱 시스템(132)에 배치된 다른 컴포넌트들을 포함함)가, 이전 플라즈마 프로세스들로부터 남은, 챔버의 내부에 존재하는 막 축적, 부산물들 또는 오염, 또는 아이들링(idling) 또는 플라즈마 프로세싱 동안 챔버 내측 벽들에서 떨어진 박편들을 가질 수 있기 때문에, 기판이 프로세싱 시스템(132)으로부터 제거된 후, 또는 후속 프로세싱을 위해 플라즈마 프로세싱 챔버 내에 기판을 제공하기 전에, 플라즈마 프로세싱 챔버의 내부 표면들을 세정하기 위해 세정 프로세스가 수행될 수 있다.
[0031] 세정 프로세스는, 플라즈마 프로세싱 챔버의 내부로부터 축적된 막 및/또는 오염물들을 제거하며, 그에 따라, 후속 플라즈마 프로세스들 동안 기판 페디스털 상에 배치된 기판 상에 원치 않는 입자들이 떨어지는 것이 방지된다. 동작(202)에서 세정 프로세스를 수행하는 동안, 플라즈마 프로세싱 시스템(132)에 기판이 존재하지 않는데, 예컨대, 내부에 배치된 기판이 없다. 세정 프로세스는 주로, 플라즈마 프로세싱 시스템(132)의 챔버 컴포넌트들 또는 내부 벽/구조들을 세정하기 위해 수행된다. 일부 경우들에서는, 필요에 따라, 기판 페디스털의 표면을 보호하기 위해, 더미 기판, 이를테면, 상부에 막 스택이 배치되지 않은 깨끗한 실리콘 기판이 프로세싱 챔버에 배치될 수 있다.
[0032] 일 예에서, 세정 프로세스는, 플라즈마 프로세싱 챔버의 내부를 세정하기 위해 프로세싱 시스템(132)에 세정 가스 혼합물을 공급함으로써 수행된다. 세정 가스 혼합물은, 적어도 불소 함유 가스 및 불활성 가스를 포함한다. 일 실시예에서, 세정 가스 혼합물에서 사용되는 바와 같은 불소 함유 가스는, NF3, SF6, HF, CF4 등으로 이루어진 그룹으로부터 선택될 수 있다. 불활성 가스는 He 또는 Ar 등일 수 있다. 일 예에서, 세정 가스 혼합물에서 공급되는 불소 함유 가스는 NF3 가스이고 불활성 가스는 Ar이다.
[0033] 동작(202)에서의 세정 프로세스 동안, 몇몇 프로세스 파라미터들이 제어될 수 있다. 일 실시예에서, 원격 플라즈마 소스(도 1에 도시된 RPS 소스(148))는, 약 5000 와트 내지 약 20000 와트, 이를테면 약 10000 와트로 플라즈마 프로세싱 시스템(132)에 공급될 수 있다. RPS 전력은, RF 소스 및 바이어스 전력 없이 또는 그와 함께 프로세싱 챔버에 인가될 수 있다. 프로세싱 챔버의 압력은, 10 Torr 미만의 압력 범위, 이를테면, 약 0.1 Torr 내지 약 10 Torr, 이를테면 약 4 Torr로 제어될 수 있다. 세정 프로세스 동안의 낮은 압력 제어는, 세정 반응의 자발성(spontaneity)을 가능하게 할 수 있는 것으로 여겨진다.
[0034] 세정 가스 혼합물에서 공급되는 불소 함유 가스는, 약 1 sccm 내지 약 12000 sccm의 유량, 예컨대, 약 2800 sccm의 유량으로 프로세싱 챔버 내에 공급될 수 있다. 세정 가스 혼합물에서 공급되는 불활성 가스는, 약 1 sccm 내지 약 300 sccm의 유량, 예컨대, 약 500 sccm의 유량으로 프로세싱 챔버 내에 공급될 수 있다.
[0035] 동작(202)에서의 세정 프로세스 이후, 동작(204)에서, 이어서, 프로세싱 챔버에서 수행되는 플라즈마 프로세스의 다른 사이클 전에, 프로세싱 시스템(132)에 남아 있는 잔류물들을 제거하기 위해 플라즈마 처리 프로세스가 수행된다. 위에 논의된 바와 같이, 챔버 컴포넌트들의 과도한-세정으로부터 초래된 챔버 박편들과 같은, 세정 프로세스로부터의 원치 않는 잔류물들이 프로세싱 챔버에 생성되거나 남아 있을 수 있다. 동작(204)에서의 플라즈마 처리 프로세스가 수행되어, 그러한 잔류물들(특히, 알루미늄 불화물(AlF3)), 또는 프로세싱 시스템(132)으로부터의 다른 오염물들을 제거하는 것을 보조함으로써, 프로세싱 시스템(132)의 청결을 향상시킬 수 있다.
[0036] 실험 결과들은, 플라즈마 처리 가스 혼합물로부터의 수소 및 산소 엘리먼트들, 특히, 수소 엘리먼트가, 프로세싱 챔버에 존재하는 금속 함유 오염물들, 이를테면, 알루미늄 불화물(AlF3)과의 반응을 보조함으로써, 플라즈마 프로세싱 챔버의 내부로부터 그러한 금속 함유 오염물들을 효율적으로 제거한다는 것을 나타내었다.
[0037] 플라즈마 처리 가스 혼합물로부터 형성되는 플라즈마는, 프로세싱 시스템(132)의 내부 표면들을 플라즈마 처리하여 알루미늄 불화물(AlF3) 또는 다른 오염 소스들과 효율적으로 반응시키기 위해 사용된다. AlF3과 같은 오염물들은 라디칼 형태들과 같은 여기 상태로 에너자이징되며, 이는 이후, 플라즈마 처리 가스 혼합물과 쉽게 반응하여, 프로세싱 시스템(132) 밖으로 용이하게 펌핑되는 AlH3 또는 HF*와 같은 휘발성 가스 부산물들 형성할 수 있다. 일 예에서, 플라즈마 처리 가스 혼합물은 적어도 하나의 수소 함유 가스 및/또는 산소 함유 가스를 포함할 수 있다. 다른 예에서, 플라즈마 처리 가스 혼합물은, 플라즈마 처리 프로세스를 수행하기 위해, 다수의 사이클들 동안 수소 함유 가스 및 산소 함유 가스를 교번적으로 공급하는 것을 포함할 수 있다. 수소 함유 가스 및 산소 함유 가스가 플라즈마 처리 가스 혼합물에서 교번적으로 공급될 때, 수소 및 산소 함유 가스는, He 또는 Ar과 같은 불활성 가스와 함께 또는 그러한 불활성 가스 없이, 별개로 그리고 각각 따로 공급될 수 있다.
[0038] 수소 함유 가스의 적절한 예들은 H2, H2O, NH3, N2H2 등을 포함한다. 산소 함유 가스의 적절한 예들은 O2, H2O, O3, H2O2, N2O, NO2, CO, CO2 등을 포함한다. 일 특정 예에서, 캐리어 가스 또는 불활성 가스가 또한 플라즈마 처리 가스 혼합물에 공급될 수 있다. 캐리어 가스의 적절한 예들은 질소(N2), 수소(H2) 등을 포함하고 그리고 불활성 가스의 적절한 예들은 He 또는 Ar을 포함한다.
[0039] 일 특정 예에서, 플라즈마 처리 가스 혼합물에서 사용되는 수소 함유 가스는 H2 또는 NH3이다. 플라즈마 처리 가스 혼합물에서 사용되는 산소 함유 가스는 N2O 또는 O2이다. 플라즈마 가스 혼합물에서 사용되는 캐리어 가스는 N2이고 그리고 플라즈마 처리 가스 혼합물에서 사용되는 불활성 가스는 Ar이다.
[0040] 플라즈마 처리 프로세스 동안, 플라즈마 처리 가스 혼합물에 포함된 수소 함유 가스는, 금속 함유 오염물들의 불소 엘리먼트들(이를테면, 알루미늄 불화물)과 반응하는 다량의 수소 엘리먼트들을 제공하여, 프로세싱 시스템(132) 밖으로 용이하게 펌핑되는 AlH3 또는 HF와 같은 휘발성 가스 부산물들을 형성하는 것으로 여겨진다. 후속하여, 휘발성 가스 부산물들, 이를테면, AlH3은, 프로세싱 챔버에서 Al* 또는 H2 가스로서 추가로 분해될 수 있다. 또한, 이어서, 산소 함유 가스로부터의 산소 엘리먼트들이 활성 금속 오염물들, 이를테면, 알루미늄 활성 종(이를테면, Al* 또는 Al-)과 반응하여 알루미늄 산화물(Al2O3)과 같은 금속 산화물을 형성할 수 있으며, 그에 따라, 챔버 컴포넌트들의 표면들 상에 얇은 층을 부동화(passivate)시킴으로써 챔버 컴포넌트들의 표면에 대한 추가적인 손상 또는 공격을 방지한다. 따라서, 적어도 수소 함유 가스 및 산소 함유 가스를 포함하는 플라즈마 처리 가스 혼합물을 활용함으로써, 프로세싱 챔버의 내부 표면이 효율적으로 세정될 수 있다.
[0041] 일부 실시예들에서, 불활성 가스(이를테면, Ar 또는 He) 또는 캐리어 가스(이를테면, N2 또는 N2O)가 플라즈마 처리 가스 혼합물에서 공급될 수 있다. 플라즈마 처리 가스 혼합물에서 공급되는 불활성 가스는, 플라즈마 처리 가스 혼합물로부터 형성되는 플라즈마에 있는 이온들의 수명을 증가시키는 것을 보조할 수 있는 것으로 여겨진다. 이온들의 증가된 수명은, 알루미늄 불화물(AlF3) 또는 오염물들의 다른 소스를 더 완전하게 반응시키고 활성화시키는 것을 보조할 수 있으며, 그에 의해, 프로세싱 시스템(132)으로부터 알루미늄 불화물(AlF3) 또는 오염물들의 다른 소스를 제거하는 것이 향상된다.
[0042] 동작(204)에서의 플라즈마 처리 프로세스 동안, 몇몇 프로세스 파라미터들이 제어될 수 있다. 일 실시예에서, RF 소스 전력(이를테면, RF 소스(147)에 의해 제공되는 전력)은, 약 50 와트 내지 약 2500 와트, 이를테면 약 750 와트로 플라즈마 프로세싱 시스템(132)에 공급될 수 있다. RF 소스 전력은, RPS 전력 또는 RF 소스 바이어스 전력 없이 또는 그와 함께 프로세싱 챔버에 인가될 수 있다. 프로세싱 챔버의 압력은, 10 Torr 미만의 압력 범위, 이를테면, 약 0.1 Torr 내지 약 10 Torr, 이를테면 약 4.5 Torr로 제어될 수 있다.
[0043] 플라즈마 처리 가스 혼합물에서 공급되는 수소 함유 가스는, 약 1 sccm 내지 약 5000 sccm의 유량, 예컨대, 약 700 sccm의 유량으로 프로세싱 챔버 내에 공급될 수 있다. 플라즈마 처리 가스 혼합물에서 공급되는 불활성 가스(이를테면, Ar 가스)는, 약 100 sccm 내지 약 8000 sccm의 유량, 예컨대, 약 3600 sccm의 유량으로 프로세싱 챔버 내에 공급될 수 있다. 플라즈마 처리 가스 혼합물에서 공급되는 캐리어 가스(이를테면, N2 가스)는, 약 100 sccm 내지 약 5000 sccm의 유량, 예컨대, 약 1500 sccm의 유량으로 프로세싱 챔버 내에 공급될 수 있다. 플라즈마 처리 가스 혼합물에서 공급되는 산소 함유 가스(이를테면, N2O 가스)는, 약 50 sccm 내지 약 50000 sccm의 유량, 예컨대, 약 11000 sccm의 유량으로 프로세싱 챔버 내에 공급될 수 있다. 하나 이상의 실시예들에서, 가스들은, 수소 함유 가스 대 산소 함유 가스의 적어도 1:30의 유동 볼륨 비, 이를테면, 약 1:1 내지 1:20의 비, 예컨대, 약 1:15의 비를 갖는 플라즈마 처리 가스 혼합물을 제공하도록 부가된다.
[0044] 프로세싱 챔버 내에 도입되는 각각의 가스의 양은, 예컨대, 제거될 챔버 잔류물들의 두께 또는 양, 세정되는 기판의 기하학적 구조, 플라즈마의 볼륨 용량, 챔버 바디의 볼륨 용량뿐만 아니라 챔버 바디에 커플링되는 진공 시스템의 능력들을 수용하도록 변경 및 조정될 수 있다는 것이 유의된다.
[0045] 동작(206)에서, 동작(204)에서의 플라즈마 처리 프로세스 이후, 시즈닝 프로세스가 수행될 수 있다. 위에 논의된 바와 같이, 프로세싱 시스템(132)에서 하나 이상의 기판들이 프로세싱된 후에, 전형적으로, 챔버 벽들에 증착 및 축적된 증착 부산물들을 제거하기 위해, 동작(202)에서의 세정 프로세스가 수행된다. 세정 가스들에 의해 챔버 벽들이 충분히 세정된 후에, 동작(204)에서의 플라즈마 처리 프로세스가 수행되어, 프로세싱 챔버로부터 세정 부산물(AlF) 또는 다른 오염물을 제거함으로써 세정 효율을 향상시킨다. 세정 부산물들이 챔버 밖으로 배기된 후에, 동작(206)에서의 시즈닝 프로세스가 프로세스 챔버에서 수행된다. 시즈닝 프로세스는, 챔버의 컴포넌트들 상에 시즈닝 막을 증착하여, 프로세싱 챔버 컴포넌트들의 세정된 표면 또는 조면화된(roughened) 표면을 시일링(seal)함으로써, 프로세스 동안 챔버 벽으로부터 생성되거나 박피(flake off)될 수 있는 오염물을 감소시키기 위해 수행된다.
[0046] 시즈닝 프로세스는, 후속 증착 프로세스 레시피에 따라 챔버의 내부 표면들 상에 재료(이를테면, 시즈닝 막)를 코팅하는 것을 포함한다. 다시 말해서, 시즈닝 막의 재료는, 기판 상에 후속하여 증착되는 막과 유사한 조성들 또는 막 특성들을 갖도록 선택될 수 있다. 본원에 설명되는 일 실시예에서, 프로세싱 챔버의 내부 표면들 상에 코팅되는 시즈닝 막은 실리콘 산화물 층이다.
[0047] 일 실시예에서, 시즈닝 막은, 시즈닝 프로세스 이후 플라즈마 프로세싱 시스템(132)에서 수행되는 후속 증착 프로세스들에 사용되는 가스 혼합물들과 실질적으로 동일한 증착 가스 혼합물을 사용하여 챔버 내부 표면 상에 증착될 수 있다. 시즈닝 막을 코팅하기 위한 프로세스 파라미터들은, 상이한 프로세스 요건들을 충족시키기 위해 후속 증착 프로세스와 동일할 수 있거나 동일하지 않을 수 있다. 시즈닝 프로세스 동안, 실리콘 전구체 가스, 산소 또는 질소 함유 가스, 및 불활성 가스가 플라즈마 프로세싱 시스템(132) 내로 유동될 수 있으며, 여기서, RF 바이어스 전력 소스들(147, 184, 186)이 라디오 주파수 에너지를 제공하여 전구체 가스를 활성화시키고 시즌 막 증착 프로세스를 가능하게 한다.
[0048] 증착 프로세스가 실리콘 산화물 막을 증착하도록 구성되는 예시적인 실시예에서, 시즈닝 막 증착을 위해, 적어도 실리콘 전구체, 산소 함유 가스, 및 불활성 가스(이를테면, 아르곤 또는 헬륨 가스)를 포함하는 가스 혼합물이 프로세싱 시스템(132)에 공급될 수 있다. 활용되는 실리콘 전구체는 SiH4 가스 또는 TEOS 가스일 수 있다. 대안적으로, 증착 프로세스가 실리콘 질화물 막을 증착하도록 구성되는 다른 예시적인 실시예에서, 시즈닝 막 증착을 위해, 적어도 실리콘 전구체, 질소 함유 가스, 및 불활성 가스를 포함하는 가스 혼합물이 프로세싱 시스템(132)에 공급될 수 있다.
[0049] RF 전력 및 가스 유량이 조정되어 상이한 실리콘 대 산화물 비로 시즈닝 막을 증착할 수 있으며, 그에 의해, 후속하는 향후-증착될(to-be-deposited) 증착 막에 대한 양호한 접착이 제공된다. 또한, RF 전력 및 가스 유량이 조정되어 시즈닝 막의 증착률을 제어할 수 있으며, 그에 의해, 시즈닝 막은, 기저 챔버 컴포넌트들, 챔버 부품들, 및 향후-증착될 부분들에 대한 양호한 보호 및 접착을 제공하도록 원하는 범위의 두께로 효율적으로 증착된다. 일 실시예에서, 시즈닝 프로세스는, 20000 Å보다 큰 두께를 갖는 시즈닝 막을 형성하기 위해, 약 1 초 내지 약 200 초 동안 수행될 수 있다.
[0050] 따라서, 진공을 깨뜨리지 않으면서 플라즈마 프로세싱 챔버의 세정 효율을 향상시키기 위해, 세정 프로세스 이후 인-시튜 플라즈마 처리 프로세스를 수행하기 위한 방법들 및 장치가 제공된다. 방법들은, 프로세싱 챔버에서 과도한-세정 잔류물들 또는 오염물들의 다른 소스들을 제거하는 것을 보조하기 위해 수소 함유 가스 및 산소 함유 가스를 활용하는 플라즈마 처리 프로세스를 포함하며, 이러한 프로세스는, 플라즈마 세정 프로세스가 수행된 후에 그러나 챔버 시즈닝 프로세스 전에 이루어진다. 인-시튜 플라즈마 처리 프로세스는, 플라즈마 프로세싱 챔버의 내부로부터 AlF와 같은 금속 오염물들을 포함하는 잔류물들을 효율적으로 제거할 수 있으며, 그에 의해, 플라즈마 프로세싱 챔버가 원하는 깨끗한 상태로 유지되고 그리고 두드러진 오염이 없는 고품질의 반도체 디바이스들이 생산된다.
[0051] 전술한 내용들이 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 안출될 수 있으며, 본 개시내용의 범위는 하기의 청구항들에 의해 결정된다.

Claims (15)

  1. 플라즈마 세정 프로세스 이후에 플라즈마 처리 프로세스를 수행하기 위한 방법으로서,
    플라즈마 프로세싱 챔버에서, 내부에 배치된 기판이 없을 시, 플라즈마 세정 프로세스를 수행하는 단계;
    후속하여, 상기 플라즈마 프로세싱 챔버 내로 적어도 수소 함유 가스 및 산소 함유 가스를 포함하는 플라즈마 처리 가스 혼합물을 공급함으로써 세정-후 플라즈마 처리 프로세스(post-clean plasma treatment process)를 수행하는 단계 ― 상기 수소 함유 가스 및 상기 산소 함유 가스는 상기 플라즈마 프로세싱 챔버 내로 교번적으로 그리고 각각 따로 공급됨 ―;
    상기 플라즈마 처리 가스 혼합물로부터 플라즈마를 형성하기 위해 상기 프로세싱 챔버에 RF 소스 전력을 인가하는 단계;
    상기 프로세싱 챔버의 내부 표면을 플라즈마 처리하는 단계; 및
    후속하여 상기 프로세싱 챔버의 내부 표면을 플라즈마 처리한 후에 시즈닝(seasoning) 프로세스를 수행하는 단계
    를 포함하는, 플라즈마 처리 프로세스를 수행하기 위한 방법.
  2. 삭제
  3. 제1항에 있어서,
    상기 플라즈마 처리 가스 혼합물에서 공급되는 수소 함유 가스는 H2, H2O, NH3 또는 N2H2를 포함하는, 플라즈마 처리 프로세스를 수행하기 위한 방법.
  4. 제1항에 있어서,
    상기 산소 함유 가스는, O2, H2O, N2O, NO2, O3, CO 및 CO2로 이루어진 그룹으로부터 선택되는, 플라즈마 처리 프로세스를 수행하기 위한 방법.
  5. 제1항에 있어서,
    상기 수소 함유 가스 및 상기 산소 함유 가스는 1:1 내지 1:20의 유동 비율로 공급되는, 플라즈마 처리 프로세스를 수행하기 위한 방법.
  6. 제1항에 있어서,
    상기 수소 함유 가스는 NH3 또는 H2이고 그리고 상기 산소 함유 가스는 N2O인, 플라즈마 처리 프로세스를 수행하기 위한 방법.
  7. 삭제
  8. 제1항에 있어서,
    상기 시즈닝 프로세스를 수행하는 단계는, 상기 프로세싱 챔버의 내부 표면 상에 실리콘 함유 시즈닝 막을 형성하는 단계를 더 포함하는, 플라즈마 처리 프로세스를 수행하기 위한 방법.
  9. 제1항에 있어서,
    상기 세정 프로세스를 수행하는 단계는, 세정을 위해 상기 프로세싱 챔버에 불소 함유 가스를 공급하는 단계를 더 포함하는, 플라즈마 처리 프로세스를 수행하기 위한 방법.
  10. 제9항에 있어서,
    상기 불소 함유 가스를 공급하는 단계는, 상기 프로세싱 챔버에 전달하기 전에 상기 불소 함유 가스로부터 원격 플라즈마를 생성하는 단계를 더 포함하는, 플라즈마 처리 프로세스를 수행하기 위한 방법.
  11. 제1항에 있어서,
    상기 프로세싱 챔버의 내부 표면을 플라즈마 처리하는 단계는, 상기 플라즈마 처리 가스 혼합물로부터 공급되는 수소 함유 가스를 이용하여 금속 함유 오염물들과 반응시키는 단계를 더 포함하는, 플라즈마 처리 프로세스를 수행하기 위한 방법.
  12. 제11항에 있어서,
    상기 수소 함유 가스를 이용하여 금속 함유 오염물들과 반응시키는 단계는, 상기 프로세싱 챔버의 상기 플라즈마 처리 가스 혼합물로부터의 산소 함유 가스로 상기 내부 표면 상에 금속 산화물을 형성하는 단계를 더 포함하는, 플라즈마 처리 프로세스를 수행하기 위한 방법.
  13. 제11항에 있어서,
    상기 금속 함유 오염물들은 AlF인, 플라즈마 처리 프로세스를 수행하기 위한 방법.
  14. 제12항에 있어서,
    상기 금속 산화물은 Al2O3인, 플라즈마 처리 프로세스를 수행하기 위한 방법.
  15. 플라즈마 세정 프로세스 이후에 플라즈마 처리 프로세스를 수행하기 위한 방법으로서,
    기판이 없을 시 원격 플라즈마 소스로부터 공급되는 불소 함유 가스를 포함하는 세정 가스 혼합물을 플라즈마 프로세싱 챔버에 공급하는 단계;
    후속하여, 상기 프로세싱 챔버의 내부 표면으로부터 금속 오염물들을 제거하기 위해서, 산소 함유 가스 및 수소 함유 가스를 포함하는 플라즈마 처리 가스 혼합물을 공급하여, 상기 플라즈마 처리 가스 혼합물에서 생성되는 플라즈마를 RF 소스 전력으로부터 형성하는 단계 ― 상기 수소 함유 가스 및 상기 산소 함유 가스는 상기 플라즈마 프로세싱 챔버 내로 교번적으로 그리고 각각 따로 공급됨 ―; 및
    후속하여, 상기 플라즈마 프로세싱 챔버의 내부 표면 상에 시즈닝 층을 형성하기 위해 시즈닝 막 가스 혼합물을 공급하는 단계를 포함하는, 플라즈마 처리 프로세스를 수행하기 위한 방법.
KR1020187034567A 2016-05-03 2017-04-11 플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스 KR102158307B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/145,750 US10002745B2 (en) 2016-05-03 2016-05-03 Plasma treatment process for in-situ chamber cleaning efficiency enhancement in plasma processing chamber
US15/145,750 2016-05-03
PCT/US2017/027020 WO2017192249A1 (en) 2016-05-03 2017-04-11 Plasma treatment process for in-situ chamber cleaning efficiency enhancement in plasma processing chamber

Publications (2)

Publication Number Publication Date
KR20180130596A KR20180130596A (ko) 2018-12-07
KR102158307B1 true KR102158307B1 (ko) 2020-09-21

Family

ID=60203108

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187034567A KR102158307B1 (ko) 2016-05-03 2017-04-11 플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스

Country Status (6)

Country Link
US (1) US10002745B2 (ko)
JP (1) JP6737899B2 (ko)
KR (1) KR102158307B1 (ko)
CN (1) CN109075030B (ko)
TW (1) TWI674617B (ko)
WO (1) WO2017192249A1 (ko)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018026509A1 (en) * 2016-08-05 2018-02-08 Applied Materials, Inc. Aluminum fluoride mitigation by plasma treatment
JP6779165B2 (ja) * 2017-03-29 2020-11-04 東京エレクトロン株式会社 金属汚染防止方法及び成膜装置
US10177017B1 (en) * 2017-07-05 2019-01-08 Applied Materials, Inc. Method for conditioning a processing chamber for steady etching rate control
KR20200117052A (ko) * 2018-03-01 2020-10-13 어플라이드 머티어리얼스, 인코포레이티드 디바이스 제작에서의 금속 하드마스크 형성 시스템들 및 방법들
US20190382889A1 (en) * 2018-06-15 2019-12-19 Applied Materials, Inc. Technique to enable high temperature clean for rapid processing of wafers
US11355620B2 (en) * 2018-10-31 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US20200176232A1 (en) * 2018-12-04 2020-06-04 Nanya Technology Corporation Etching device and operating method thereof
CN109585276B (zh) * 2018-12-27 2021-06-15 上海华力集成电路制造有限公司 一种改善多晶硅刻蚀腔体晶圆棍状缺陷的方法
US20210391537A1 (en) * 2019-01-30 2021-12-16 Applied Materials, Inc. Method for cleaning a vacuum system, method for vacuum processing of a substrate, and apparatus for vacuum processing a substrate
CN111868890B (zh) * 2019-02-27 2024-03-22 株式会社日立高新技术 等离子体处理方法以及等离子体处理装置
KR20220056877A (ko) * 2019-09-19 2022-05-06 어플라이드 머티어리얼스, 인코포레이티드 페디스털 가열기를 세정하기 위한 인-시츄 dc 플라즈마
WO2021126889A1 (en) * 2019-12-17 2021-06-24 Applied Materials, Inc. Surface profiling and texturing of chamber components
WO2021161824A1 (ja) * 2020-02-14 2021-08-19 東京エレクトロン株式会社 基板処理方法および基板処理装置
CN111549325B (zh) * 2020-06-12 2022-10-21 北京北方华创微电子装备有限公司 一种磁控溅射设备
US11626271B2 (en) * 2020-06-18 2023-04-11 Tokyo Electron Limited Surface fluorination remediation for aluminium oxide electrostatic chucks
US11674222B2 (en) 2020-09-29 2023-06-13 Applied Materials, Inc. Method of in situ ceramic coating deposition
TWI779395B (zh) * 2020-11-16 2022-10-01 友威科技股份有限公司 利用電漿蝕刻去除晶圓缺陷的重工處理設備
CN113481486A (zh) * 2021-01-20 2021-10-08 宣城睿晖宣晟企业管理中心合伙企业(有限合伙) 一种镀膜方法
US11955318B2 (en) * 2021-03-12 2024-04-09 Applied Materials, Inc. Ash rate recovery method in plasma strip chamber
US11837448B2 (en) 2021-04-27 2023-12-05 Applied Materials, Inc. High-temperature chamber and chamber component cleaning and maintenance method and apparatus
US11699577B2 (en) * 2021-05-25 2023-07-11 Applied Materials, Inc. Treatment for high-temperature cleans
US11915918B2 (en) 2021-06-29 2024-02-27 Applied Materials, Inc. Cleaning of sin with CCP plasma or RPS clean
KR20230100595A (ko) 2021-12-28 2023-07-05 삼성전기주식회사 코일 부품

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001335937A (ja) * 2000-05-29 2001-12-07 Mitsubishi Heavy Ind Ltd 金属汚染低減方法及びプラズマ装置の再生方法
US20120040536A1 (en) * 2010-08-16 2012-02-16 Applied Materials, Inc. A-si seasoning effect to improve sin run-to-run uniformity

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US6444037B1 (en) * 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
EP0933806A4 (en) * 1996-11-14 2003-01-22 Tokyo Electron Ltd CLEANING A PLASMA APPARATUS AND TREATMENT
US6868856B2 (en) 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
US20030062064A1 (en) * 2001-09-28 2003-04-03 Infineon Technologies North America Corp. Method of removing PECVD residues of fluorinated plasma using in-situ H2 plasma
US6872323B1 (en) * 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US7097716B2 (en) 2002-10-17 2006-08-29 Applied Materials, Inc. Method for performing fluorocarbon chamber cleaning to eliminate fluorine memory effect
US7371427B2 (en) * 2003-05-20 2008-05-13 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
KR100830749B1 (ko) * 2004-02-19 2008-05-20 도쿄엘렉트론가부시키가이샤 기판 처리 장치에 있어서의 처리실의 클리닝 방법, 기억매체, 플라즈마 처리 장치, 기판 처리 방법 및 클리닝의종점 검출 방법
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US7906032B2 (en) * 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
US7789993B2 (en) * 2007-02-02 2010-09-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US7572647B2 (en) * 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
JP5450187B2 (ja) * 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9533332B2 (en) 2011-10-06 2017-01-03 Applied Materials, Inc. Methods for in-situ chamber clean utilized in an etching processing chamber
US9269562B2 (en) * 2013-01-17 2016-02-23 Applied Materials, Inc. In situ chamber clean with inert hydrogen helium mixture during wafer process
JP6476369B2 (ja) * 2013-03-25 2019-03-06 株式会社Kokusai Electric クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001335937A (ja) * 2000-05-29 2001-12-07 Mitsubishi Heavy Ind Ltd 金属汚染低減方法及びプラズマ装置の再生方法
US20120040536A1 (en) * 2010-08-16 2012-02-16 Applied Materials, Inc. A-si seasoning effect to improve sin run-to-run uniformity

Also Published As

Publication number Publication date
TWI674617B (zh) 2019-10-11
US10002745B2 (en) 2018-06-19
JP6737899B2 (ja) 2020-08-12
KR20180130596A (ko) 2018-12-07
US20170323768A1 (en) 2017-11-09
JP2019515505A (ja) 2019-06-06
TW201804508A (zh) 2018-02-01
WO2017192249A1 (en) 2017-11-09
CN109075030B (zh) 2023-06-20
CN109075030A (zh) 2018-12-21

Similar Documents

Publication Publication Date Title
KR102158307B1 (ko) 플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스
US10916407B2 (en) Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US6843858B2 (en) Method of cleaning a semiconductor processing chamber
US6923189B2 (en) Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US7371688B2 (en) Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US7500445B2 (en) Method and apparatus for cleaning a CVD chamber
US20060090773A1 (en) Sulfur hexafluoride remote plasma source clean
US20080044593A1 (en) Method of forming a material layer
TWI254363B (en) Chamber cleaning method
JP2006128485A (ja) 半導体処理装置
JP2001274105A (ja) セルフクリーニング用の遠隔プラズマソースを備えた半導体処理装置
KR100786609B1 (ko) 기구 부품의 반응성 기체 청소 방법 및 공정
US20210340670A1 (en) In situ protective coating of chamber components for semiconductor processing
JP5548028B2 (ja) 堆積チャンバのリモートクリーニング方法
KR20180016308A (ko) 플라즈마 처리에 의한 불화 알루미늄 경감
JP2016086046A (ja) プラズマ処理方法
JP2000323467A (ja) 遠隔プラズマ放電室を有する半導体処理装置
EP1154036A1 (en) Gas reactions to eliminate contaminates in a CVD chamber
TW202035775A (zh) 清潔處理腔室的方法
JP3820212B2 (ja) Cvdチャンバクリーニング後にcvdチャンバをコンディショニングする方法
EP1154037A1 (en) Methods for improving chemical vapor deposition processing
EP1154038A1 (en) Method of conditioning a chamber for chemical vapor deposition
JP2001131752A (ja) プラズマクリーニング方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant